JP4422295B2 - Cvd装置 - Google Patents

Cvd装置 Download PDF

Info

Publication number
JP4422295B2
JP4422295B2 JP2000145631A JP2000145631A JP4422295B2 JP 4422295 B2 JP4422295 B2 JP 4422295B2 JP 2000145631 A JP2000145631 A JP 2000145631A JP 2000145631 A JP2000145631 A JP 2000145631A JP 4422295 B2 JP4422295 B2 JP 4422295B2
Authority
JP
Japan
Prior art keywords
substrate
ring chuck
purge gas
gas
cvd apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000145631A
Other languages
English (en)
Other versions
JP2001329370A (ja
Inventor
茂 水野
浩志 土井
清治 伊谷
暁萌 劉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Priority to JP2000145631A priority Critical patent/JP4422295B2/ja
Priority to KR1020010026228A priority patent/KR100779445B1/ko
Priority to US09/858,239 priority patent/US6663714B2/en
Priority to TW90111819A priority patent/TW573044B/zh
Publication of JP2001329370A publication Critical patent/JP2001329370A/ja
Priority to KR1020070060587A priority patent/KR100764534B1/ko
Application granted granted Critical
Publication of JP4422295B2 publication Critical patent/JP4422295B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Description

【0001】
【発明が属する技術分野】
本発明は、CVD装置に係り、特に、半導体集積回路の配線材料等に用いられるCu薄膜形成用のCVD装置に関する。
【0002】
【従来の技術】
近年、半導体デバイスの高集積化に伴い、集積回路を形成する金属配線の配線幅や配線間隔などの寸法は益々縮小する傾向にある。このような配線寸法の縮小により、配線抵抗は増大し、また配線間隔が狭くなると配線間の寄生容量も増大するため、集積回路内部における電気信号遅延時間が増大するという問題が起こる。この場合、配線抵抗の増大を抑制するために配線の高さを大きくし配線断面積を増大させることも行われるが、配線間が向き合う面の面積が増大し寄生容量が増大するため、無闇に配線高さを大きくすることはできない。この信号遅延の間題は、0.1ミクロン程度の配線寸法においては集積回路の正常な動作を妨げるほど深刻となってくる。また、配線幅の縮小による抵抗及び電流密度の増大は、ジュール熱による配線温度上昇やエレクトロマイグレーション等を引き起こし、集積回路の信頼性を低下させることにもなる。
そこで、これら信号遅延や信頼性低下の問題を解決するために、最近金属配線の材料としてAlよりも抵抗が低く、融点が高いCuが用いられるようになってきた。
【0003】
一方、半導体集積回路においては、多層配線構造を用いた3次元的配線が行われるが、配線寸法の縮小は三次元的な配線の結線を行う接続孔の微細化を伴うことになる。この微細な接続孔内部への金属材料の埋め込み方法として、電解メッキによるCu埋め込みが行われている。
メッキには、下地としてCu薄膜(シードCu層)が必要であり、これにはスパッタリング法による成膜が行われている。しかし、配線寸法が0.1ミクロンレベルとなりアスぺクト比(孔の深さと開口径との比)が増大すると、スパッタリング法では段差被覆性が悪いため、孔側壁に十分な厚さのシード層が形成されずメッキ不良が生じるといった問題がある。アスペクト比がさらに大きくなると電解メッキ法でも孔内の埋め込みに不良が起こる。このような微細孔における金属配線の埋め込みの問題を解決し、開口径0.1ミクロン以下の微細孔内部においても均一なシード層の形成や完全な埋め込みを行うために、最近CVD法(化学的気相成長法)によるCuの埋め込み技術が注目され、検討されている。CVD法によるCu埋め込みについては、例えば、Jpn.J.Appl.Phys.Vol.37(1998)pp.6358−6363に記載されているように、十分な成膜速度でアスペクト比7以上の微細孔へも完全な埋め込みが可能であるとの研究報告がなされ、Cuの埋め込み技術として有望視されている。
【0004】
以上述べてきたように、今後の一層の高集積化、高特性化が進められる半導体集積回路においては、Cu配線及び埋め込みに関する技術は極めて重要であり、Cu薄膜形成用のCVD方法及び装置の半導体量産プロセスにおける重要性は益々高まっている。このようなCu−CVD装置の半導体量産プロセスヘの展開は従来のメタルCVD装置を応用することによって達成されると考えられる。そこで、現在、メタルのCVD装置として最も確立された技術であるタングステンCVD装置のガス導入機構部をCu−CVD装置の原料に適したものに変更することで、Cu−CVD装置として動作させる検討が試みられている。
【0005】
タングステンCVD法におけるガス導入機構は、液体原料である六フッ化タングステンの蒸気を通常のガスマスフローコントローラーにより蒸気流量を制御して成膜室内に導入するものである。−方、Cu−CVD法の場合、原料としては、例えば、Cu(hfac)(tmvs)等の有機系液体原料が用いられるが、いずれも蒸気圧は室温で100Pa以下と低いため、通常のガスマスフローコントローラーを用いることはできない。そこで、例えば、Jpn.J.Appl.Phys.Vol.37(1998)pp.6358−6363に記載されているように、液体原料を液体マスフローコントローラーにより所定の流量で気化器に送り、気化器で気化した後、成膜室に送る導入方法が用いられる。これら液体マスフローコントローラ及び気化器からなる原料ガス導入機構がタングステンCVD法のガス導入機構と異なるところである。
この他、気化したガスを直接成膜室内に導入するガス導入部分や、基板加熱機構、排気機構については従来のタングステンCVD装置で用いられる機構と同様なものが用いられる。
【0006】
ここで、半導体製造プロセスにおいては、タングステンのようなメタル薄膜をCVD法で形成する場合、高特性集積回路を安定して生産するためには、パーティクルの発生を極力抑える必要があり、この観点から基板裏面等への成膜を防止する必要がある。また、特に、Cu薄膜の場合、基板裏面の成膜防止は、次の理由によりタングステン等に比べ一層に重要となる。即ち、CuはSi中で高速に拡散し、かつSi半導体の特性に多大な影響を及ぼす物質であり、基板温度が高いほど拡散速度が大きくなるため、高温で成膜を行うCVDにおいては成膜中の基板裏面への膜付着や原料の回り込み防止はとりわけ重要となるのである(J.Electrochem.Soc.,2258−2260(1999))。
【0007】
この基板裏面への成膜や原料ガスの付着防止方法は、タングステンCVD法において確立された幾つかの方策がCu−CVD法においても適用できると考えられる。そこで、生産に用いられている従来のタングステンCVD装置における基板裏面への原料ガス回り込み防止機構について概括する。
【0008】
第一の例として、特開平7−221024号に開示されているCVD装置を図5に示す。減圧容器31内に、原料ガス導入部35とこれに対向して基板載置用ホルダ33とが配置され、原料ガス導入部35から放出されたガスは分解等して、基板32上に薄膜が形成される。ここで、ホルダ33は、リフト41により上下に移動し、成膜時には上昇してリングチャック34を持ち上げ基板32の表面とリングチャック34の先端部40の下方水平面とを全周にわたって接触させることにより、基板裏面への原料ガスの回り込みを阻止する構成となっている。また、基板交換時にはホルダー33は下降し、リングチャック34は支持部材36により支持される。
原料ガスの未反応分及び副生成ガスは、室70から支持部材36に形成された開口39を通って室71に流れ込み、排気ポート38を介して容器外部に排出される。また、室72には、原料ガスや副生成ガスが室72側に流れ込むのを防止するために、パージガス導入管42が設けられており、室72内に導入されたパージガスはリングチャック34と支持部材36との間の隙間を通って室70に流れ込み、原料ガス等とともに容器外に排出される。
【0009】
図5の原料ガス回り込み防止機構は、基板外周部の全周にわたってリングチャックと基板とを接触させて原料ガスの回り込みを防止するものであるため、リングチャックと基板とが接触する部分の距離が重要となる。また、この方法では、リングチャックが基板に接しているため、接触部においては基板からリングチャック先端部にわたって膜付着が起こることになる。
同様な構成が、特願平5−38904号、米国特許No.5000113、同No.5094885にも開示されている。
【0010】
また、特許第2603909号には、図6に示すように、上下移動するリングチャック34の先喘部の下方面で、内周先端縁より1〜1.5mm外周側に取り付けられたピン43によって基板32をホルダ33上に固定し、リングチャックと基板との間からパージガスを吹出させて原料ガスが基板の裏面に回り込むのを防止する機構が開示されている。この方法では、原料ガスの回り込みを防止する上で、パージガス流量、ピンの高さで決まるリングチャックと基板との間隔A、及びリングチャックが基板を覆う距離Bが重要となる。なお、ピンはリングチャック先端の内周より内側に位置しているので、ピンと基板との接触部に膜付着が起こることは無い。
【0011】
さらに、特開平4−233221号公報には、図7に示すように、基板32をホルダ33上に真空チャックによって固定し、基板外周部端付近にガス溝45からパージガスを吹出させて原料ガスが基板裏面に回り込むのを防止する機構が開示されている。この場合、原料ガスの回り込みを防止する上でパージガス流量が重要となる。真空チャックは、ホルダ33表面のチャック溝44と基板32との間の空間を、成膜室用とは別の排気系で排気しチャック溝44と成膜室との間で差圧を設けることによって基板32を固定するものである。この方法の利点は基板全面への成膜が可能であり、チップ収率が上がり半導体生産効率が増大することにある。
【0012】
【発明が解決しようとする課題】
しかしながら、上記図5に示すCVD装置(特開平7−221024号公報)では、基板32とリングチャック34端の接触部分にも膜が形成されるため、リングチャックを基板から脱着するときに、接触部で膜剥離が起こり、Cuのパーティクルを発生させるという問題がある。Cuパーティクルがホルダ上に落下すれば、次に処理される基板の裏面に付着しCu汚染が生じることになる。
また、原料ガスの回り込み防止の確度を高めるためには、基板外周部をリングチャックで覆う幅を大きくする必要があり、このため基板上の成膜面積を減少せざるを得ないという問題がある。
【0013】
さらに、本発明者が原料ガスの回り込み防止効果のより高い装置構成を検討する中で、図5の構成のCVD装置には重大な問題があることが判明した。すなわち、生産装置の場合は基板搬送機構を設ける必要があるが、室71の外側壁及び内側壁37に基板搬送用アームの出入口(基板搬送口)を設ける構成にすると、たとえパージガスを流した場合であっても原料ガス回り込み防止効果が大きく低下してしまうことが判明した。
この問題を解決すべく、基板搬送口の取り付け位置等を含めた装置全体構造を種々検討したところ、原料ガスが減圧容器に導入されてから容器外に排出される経路のおける原料ガスの流れが原料ガスの回り込みの程度に大きく影響し、ガス流れを軸対称として淀みのないガス流を形成することにより、原料ガス回り込み防止効果を向上できることが分かった。すなわち、図5の装置に、基板搬送口を設けることにより原料ガス回り込み防止効果が低下したのは、少なくとも基板径の幅にわたって開口39を設けることができなくなるため、ガスの流れが不均一となり原料ガスの滞留等が起こり、室72側に回り込んで基板の裏面汚染を引き起こしたものと考えられる。また、室72にパージガスを導入し、室70側にパージガスを流したとしても、このような構成ではパージガスの流れが偏ってしまい、十分な効果を発揮できなくなるものと考えられる。
【0014】
第二の例(特許第2603909号公報)では、基板32とリングチャック34との隙間にパージガスを流したとしても、その流量、流れの不均一性等により、原料ガスがパージガスの流れに逆らい濃度の低い方向へ拡散してしまう場合がある。しかもリングチャックが基板を覆う距離Bは1〜1.5mmと非常に短いため、原料ガスは基板近傍のホルダ33に到達し、基板処理枚数が増えるにしたがってホルダ表面に膜付着が生じ、基板裏面汚染の原因となる。
また、基板32をピン43で固定しピンの高さで決まる隙間Aを通してパージガスを流しており、ピンに成膜が起こらないようにリングチャック先端からピンまで一定の距離を必要とするために、第一の例と同様に基板全面への成膜は不可能である。
【0015】
第三の例(特開平4−233221号公報)の場合は、成膜基板32とホルダ33とのシールは真空チャックによる単純な接触であり、真空チャックによって基板端近傍の雰囲気ガスが吸引されるため、基板近傍に存在する原料ガスは基板裏面に侵入し基板裏面の汚染を生じさせる場合がある。またこの方法は、成膜圧力とチャック溝44との圧力差を利用して基板をチャックするものであるため、1.5kPa以下の低圧成膜への適用は難しいという問題がある。
【0016】
このように、従来のタングステンCVD装置等で用いられている方策は、それぞれ一長一短があり、歩留まり、チップ収率、安定した生産性の観点から未だ十分といえず、基板裏面付着防止効果のより優れた機構が望まれている。特に、半導体回路の配線用のCu薄膜形成に用いるCVD装置の場合には、上述したように、極微量でも原料ガスが基板裏面に付着すれば集積回路の特性を劣化させてしまうことから、タングステン等に比べてより一層厳密な付着防止機構が要求され、例えば、基板裏面へのCu汚染量を1x1013cm−2未満に抑える必要があると言われている(J.Electrochem.Soc.,2258−2260(1999))。
【0017】
以上述べたような状況において、本発明は、従来型装置の問題を解決し、高い生産性を有し基板裏面への汚染が少なく、歩留まりが高いCVD装置を提供することを目的とする。
さらに、本発明の目的は、今後一層の高集積化、高特性化が一層進められる半導体集積回路に適用可能なCu薄膜形成用のCVD装置を提供することにある。
【0018】
【課題を解決するための手段】
本発明者は、従来の問題点を解決し上記目的を達成するために、減圧容器の内部構造、ガスの流れ、基板の固定方法等と基板裏面の汚染との関係について種々の基礎的検討を行い、得られた知見を基にさらに検討を進めた結果として以下に示す本発明を完成したものである。
【0019】
すなわち、本発明のCVD装置は、減圧容器内に設けられた加熱ホルダ上に基板を載置して、原料ガス及び副生成ガスの基板裏面への回り込みを防止する機能を有するリングチャックで固定し、基板に対向して設けられたガス導入部から原料ガスを吹出して、基板上に原料ガスの構成元素の少なくとも1つを含む薄膜を堆積させるCVD装置において、前記リングチャックを受ける支持部材を減圧容器の側壁に設け、前記リングチャック、支持部材及び基板で減圧容器内部を上下に分割し、上部側をさらに容器天板と前記支持部材とを連結して設けられた内部壁により成膜室と排気室とに分割し、下部側を基板の搬送室とし、前記成膜室と前記排気室とはいずれも同じ中心軸の周りに軸対称に形成されかつ前記内部壁に設けられた貫通口により連通しており、前記成膜室と前記搬送室とは前記リングチャックと前記支持部材との間に形成された隙間により連通していることを特徴とする。
【0020】
このように、減圧容器内部を上下に分割して、上部側に成膜室と排気室を同じ水平高さに設け、さらに同一中心軸に対して軸対称に配置することにより、成膜室及び排気室内で淀みのないガス流を形成することができる。この結果、下部に設けた搬送室側への原料ガス及び副生成ガスの回り込みは抑制され、基板裏面への成膜、付着を抑えることができる。
【0021】
また、CVD装置は、前記リングチャックを受ける支持部材を減圧容器の側壁に設け、前記リングチャック、支持部材及び基板で減圧容器内部を上下に分割し、上部側をさらに容器天板から前記リングチャックに所定の隙間を残して降ろされた内部壁により成膜室と排気室とに分割し、下部側を基板の搬送室とし、前記成膜室と前記排気室とはいずれも同じ中心軸の周りに軸対称に形成され、かつ前記内部壁とリングチャックとの間の隙間又は/及び前記内部壁に設けられた貫通口により連通しており、前記排気室と前記搬送室とは前記リングチャックと前記支持部材との間に形成された隙間により連通していることを特徴とする。かかる構成とすることにより、パージガスは搬送室から直接排気室へ流れ、成膜室内に流れ込まないため、成膜室内の流れをより淀みのないガス流とすることができ、搬送室へ原料ガス等がの侵入する量をさらに少なくすることが可能となる。
【0022】
さらに、CVD装置は、減圧容器内に設けられた加熱ホルダ上に基板を載置して原料ガス及び副生成ガスの基板裏面への回り込みを防止する機能を有するリングチャックで固定し、基板に対向して設けられたガス導入部から原料ガスを吹出して、基板上に原料ガスの構成元素の少なくとも1つを含む薄膜を堆積させるCVD装置において、前記リングチャックは、内周縁の下部にテーパー部が形成され、該テーパー部により基板を押さえて前記加熱ホルダ上に固定するとともに、該テーパー部に設けられたパージガス出口から基板外周部にパージガスを放出する構成としたことを特徴とする。
【0023】
このように、リングチャックのテーパー部で基板外周のエッジを押さえ固定するため、原料ガス及び副生成ガスの基板裏面側への回り込みを確実に防止することができる。また、基板との接触が線接触であるため、基板からの熱伝導が抑えられ、基板との接触部を含めたリングチャック上への成膜が抑制される。さらには、テーパー部に設けられたパージガスの出口から基板外周部にパージガスを吹出させることにより、Cuの場合のように、微量の拡散も許されない場合であっても確実に原料ガス等の裏面回り込みを遮断することが可能となる。
しかも、ガス流量を調節することにより、原料ガスの裏面回り込みを確実に遮断しつつ、基板表面のほぼ全面に薄膜を形成することができるため、半導体チップの収率を向上させることが可能となる。
【0024】
【発明の実施の形態】
以下に本発明の実施の形態を図を参照して説明する。
本発明の第1の実施の形態であるCVD装置の構成例を図1の概略断面図に示す。図1は、成膜時の減圧容器の内部を示すものであり、図に示すように、減圧容器1の内部は、成膜室100,排気室101、搬送室102の3室に分割されており、搬送室の上部に成膜室と搬送室とが同じ中心軸の周りに対称な軸対称構造をとるように配置されている。
【0025】
基板2は上下移動可能な加熱ホルダ3上に載置され、ドーナツ状の形をしたリングチャック4の内周先端部に形成されたテーパー縁が基板2のエッジに全周接することによって基板は固定されている。基板に対向する位置に、外部の原料ガス供給機構19に連結し、成膜に必要な原料ガスを成膜室100内に導入するためのガス導入部5が設置されている。ガス導入部には、原料ガスが基板に向かって均一に吹出されるように、所定の間隔で多数のガス吹出し孔が設けられている。成膜室100と排気室101は、加熱ホルダ下降時にリングチャックを受け、支持する支持部材16と容器の天板とに連結された内部壁6により仕切られ、両者は内部壁6に形成された貫通口11により空間的に結合している。また、排気室101は、容器側壁に設けられた排気ポート10、バルブ14を介して外部の排気機構17に連結されており、成膜室に導入された原料ガスの未反応分及び副生成ガスは、貫通口11,排気ポート10を通って外部に排出される。
【0026】
一方、容器の下部側に配置された搬送室102には、加熱ホルダ3の他、基板交換時に基板を一時的に支持するピン9、基板搬出入用の搬送口8及びスリットバルブ7が設けられている。なお、支持部材16とリングチャック4とのは、所定の間隔の隙間50が形成されている。
【0027】
まず、図1を用いて、加熱ホルダ上に基板を載置し、続いて基板上に成膜する手順を説明する。
加熱ホルダ3を不図示の上下駆動機構により、最下端まで下降させると、ピン9が加熱ホルダ上に突き出た状態となる。続いて、スリットバルブ7を開けて、未処理基板を把持したロボットアーム(不図示)を搬送室内に挿入し、ピン9上に基板を載置する。
ここで、駆動機構により加熱ホルダを上昇させる。基板がリングチャック4に接してこれを押し上げて上昇し、所定の位置で停止する。リングチャック4と支持部材16との隙間50の好ましい距離は約0.3〜1.0mmである。
【0028】
基板2は、加熱ホルダ3内部に設けられたヒータ12からの熱伝導によって所望の温度に加熱される。なお、加熱ホルダの温度は熱電対13とヒーター12に接続されたヒータパワー供給機構22によって制御され、最適化されたヒーター12形状によって基板は面内均一に加熱される。一方、ガス導入部5よりキャリアガスと伴に原料ガスが成膜室100内に導入され、基板上に所望の薄膜が形成される。一方、未反応原料ガス及び副生成ガスは貫通孔11を通して排気室101に流れ込み、排気ポート10を通して容器外部に排気される。
【0029】
前述したように、Cuのようなメタルが基板裏面に付着すると、半導体基板の内部に拡散し、集積回路特性を劣化させる原因となる。従って、メタル薄膜形成時に、基板裏面等を原料ガスから遮蔽し、清浄な状態に維持することは、所望の特性の半導体集積回路を安定して生産する上で極めて需要である。
以下に、図1の示すCVD装置について、その基板裏面汚染防止機構を詳細に説明する。
【0030】
基板裏面汚染の原因として、原料ガスや副生成ガス(以下「原料ガス等」という)の直接的な基板裏面への回り込みによる汚染や、加熱ホルダや搬送機構に付着した原料ガス及びそれらの表面に形成された膜による間接的な汚染がある。これらは原料ガス等が搬送室側に回りこむことによって起こると考えて良い。
【0031】
それに対し、図1に示すCVD装置の第一の基板裏面汚染防止機構は、まず、減圧容器1の内部構造を、成膜室100、排気室101及び搬送室102に仕切ることにある。これにより、搬送室がガスの流れの経路にならないようにし、基本的に原料ガス等が基板2裏面や加熱ホルダ3及び搬送機構に流入する経路を無くしたため、基板裏面の汚染を防止することができる。さらに、成膜室100及び排気室101を搬送室102上部側で、同じ水平高さに配置し、かつ同一中心軸に対し軸対称構造をとって配置することにより、ガスの流れが軸対称流となり淀みのないガス流が形成される。この結果、ガスの排気が効率よく行われ、容器内雰囲気の清浄化が速やかに行われるので基板裏面の汚染防止を効果的に行うことができる。さらには、成膜室100、排気室101さらには搬送室102の隅部を滑らかな曲面形状とするのが好ましく、これにより、より淀みのないガス流を形成することができる。また、排気ポート10についても上記中心軸の周りに軸対称に複数個設けることにより、さらに均一な軸対称流を形成することが可能となる。
【0032】
図1の構成のCVD装置において、原料ガス等が成膜中に搬送室に回りこむとすれば、その経路はリングチャック4と基板2との接触部、及びリングチャック外縁部と支持部材16との間の隙間である。また、リングチャックと基板との接触部に膜が付着すると、リングチャック脱着時に膜剥離を起こし、パーティクルを発生させてしまうことになる。従って、第二の基板裏面汚染防止機構として、リングチャックと基板との接触部の微細な隙間を通しての原料ガス等の拡散を防止する機構と該接触部での膜付着防止機構が設けられ、第三の基板裏面汚染防止機構として、リングチャック外縁部と容器壁との隙間50を通しての原料ガス等の回り込み防止機構が設けられている。以下にこれらの基板裏面汚染防止機構について述べる。
【0033】
まず、第二の基板裏面汚染防止機構を図2を用いて説明する。
図2(a)は、基板の端部分に接するリングチャックの詳細を示した概略断面図である。リングチャック先端のテーパー部分が基板端と全周にわたって接触しており、この接触によって原料ガスが基板裏面へ回り込む経路を遮断している。しかし、両者の接触部は原料ガスに曝されるため、基板外周部からリングチャックにわたって膜付着が起こり得る。この膜付着を防止するため、接触部の内周側にパージガス(第2パージガス)を吹き付けできるように工夫が施されている。
【0034】
加熱ホルダ3から供給される第2パージガスはリングチャック内に設けられた複数の半径方向に伸びる供給路200によって、リングチャック内の円環状の供給路201に供給され、更に供給路201に連結された隙間202に供給され、その出口から、基板端部に吹出される。隙間202は、リングチャック先端部において、円周方向に平行で連続的に形成された隙間、若しくは一定間隔で仕切りられた不連続的な隙間のいずれでも良い。ここで、隙間202のコンダクタンスを供給路201に比べて小さくすることにより、リングチャック内周に沿ってより均一にパージガスを吹出すことができる。
【0035】
加熱ホルダ3からリングチャック4への第2パージガスの供給は、リングチャック4と加熱ホルダ3とは接触できないため、加熱ホルダ3内のパージガス供給路203の出口部分と、リングチャック内のパージガス供給路200の入口部分とを図に示したような凹凸の関係に形成し、供給路以外の空間にできるだけ漏れることなく供給できるように工夫されている。一方、第2パージガスは外部に設けられた第2パージガス供給機構20から加熱ホルダ3内の供給路204に供給される。供給路204は加熱ホルダ上部にて円環状の分配路205によって複数の放射状の供給路203に技分かれし、パージガスは円周方向に均等に配分されリングチャック内の供給路200に供給される。ここで、放射状の供給路203の数は8本乃至24本とするのが好ましい。このパージガスによって基板とリングチャックの接触部への成膜が防止され、かつ接触部の微細な隙間を拡散で通過しようとする原料ガス等の侵入を防ぐことが可能となる。
【0036】
また、リングチャックのパージガスの出口部を、図2(b)に示すように、基板表面に垂直にすることによって、より高い付着防止効果が得られる。パージガス流量によって基板外周部における成膜範囲を制御可能であり、かつリングチャックと基板との接触部が基板端であることから、ほぼ基板表面の全面に成膜可能である。また基板をリングチャックで固定するため広い圧力範囲で成膜可能である。
【0037】
次に、第三の基板裏面汚染防止機構を図1、2を用いて説明する。
外部に設けられた第1のパージガス供給機構21より加熱ホルダのパージガス供給路206にパージガス(第1パージガス)が供給され、加熱ホルダ3の下部から搬送室102内にパージガスが吹出される。供給路206は上部にて円環状の分配路207によって複数の放射状の供給路208に枝分かれし、第1パージガスは円周方向に均等に配分されて搬送室内に導入される。このことによる効果は、搬送室内の流れを対称にし隙間50を通してのパージガスの流れを円周方向に均一化することによって淀みの無い流れを形成できることである。ここで、放射状の供給路208の数は8本乃至24本とするのが好ましい。
【0038】
この第1パージガスの供給によって、成膜中において搬送室102内の圧力は成膜室100内の圧力よりも高くなっており、パージガスはリングチャック4外縁部と支持部材16との隙間50を通って成膜室内に流れる。搬送室と成膜室との圧力差が数百Paであれば粘性流として成膜室内へ流れるため、成膜室からの原料ガスの回り込みは効果的に抑制可能となる。成膜室と搬送室との圧力差を大きくすることで該隙間からの流れは円周方向でより一層均一なものとなる。更に、リングチャック4と支持部材16との隙間50の形状を図のように楔型の凹凸の組合せ等、複雑にすることにより原料ガス等の回り込み防止効果を一層高めることが可能となる。また、リングチャックと支持部材とを互いに嵌合する凹凸構造とすることにより、基板交換時にリングチャックと容器壁との接触によってリングチャックの位置出しが毎回行われるという効果も付与することができる。
なお、搬送室内の圧力をある程度高くすることにより、第1のパージガスを隙間50だけでなく、その一部をリングチャック4のパージガス供給経路200を通して成膜室に流すことができ、このようにして、場合によっては、第2のパージガスを省略することも可能である。
【0039】
本発明においては、搬送室の圧力は通常成膜室の圧力よりも10%程度高く設定されるため、両者の圧力差が大きくなると、その圧力差により、成膜中にリングチャックがチャタリングを起こしたり、移動したりする場合がある。リングチャックが移動等すると、ガス流が乱れ、さらには原料ガスが搬送室側に流れ込むという問題を引き起こすことになる。さらには、リングチャックが基板や支持部材と擦れるため、パーティクルの発生や基板損傷を引き起こすことにもなる。
従って、リングチャックは、このような圧力差に耐え、移動等しない十分な重量のものとするのが好ましい。例えば、200mm径基板の場合、5kg程度以上の300mm径リングチャックが好適に用いられる。
【0040】
また、リングチャックは、基板に対して均等に加重をかける構造とするのが好ましい。基板及び形成する薄膜の種類、成膜条件等によっては、基板を抑える力に偏りがあると基板温度に偏りが生じ、形成される薄膜の膜厚均一性が低下する場合があるからである。この一例を図4に示す。
図4(a)はリングチャックの底面に馬蹄型の重りを取り付けて全体を5kgとして、Cu薄膜を形成したときのシート抵抗の分布を示すものである。一方、図4(b)は厚手のリングチャック(5kg)を用いて、同様にCu薄膜を形成したときのシート抵抗の分布を示すものである。図において、等高線は1%間隔で示してあり、太線が平均値の等高線である。
図から明らかなように、馬蹄形の重りをつけたリングチャックを用いた場合の膜厚分布[(最大値−最小値)/(最大値+最小値)]は±7.3%であるのに対し、均等加重型のリングチャックを用いた場合の膜厚分布は±3.3%と大幅に向上することが分かる。
【0041】
更に、成膜中以外に基板裏面汚染が起こる原因として、ガス導入部5の内壁などに吸着し残留する原料ガスが、成膜終了後の基板交線時に放出され成膜室内に入り込むことによって、基板裏面や加熱ホルダ表面を汚染する場合がある。そこで、このガス導入部の内部に残留する原料ガスによる基板裏面汚染を防止する対策(第四の基板裏面汚染防止機構)を以下に述べる。
【0042】
原料ガス及びキャリアガスの成膜室100への導入を停止し基板上への成膜を終了した後も暫くは第1及び第2パージガスの供給は継続される。所定時間経過後、切換バルブ15を開き、排気室101に連結した排気機構17とは別の外部の排気機構18によりガス導入部5の内部を排気する。これにより、原料ガス供給機構19の前段までが排気されることになる。このとき、成膜室内に流れ込むパージガスの一部がガス導入部5内を通して排気されるため、ガス導入部内がパージガスによりパージされ、ガス導入部内に残留する原料ガスは外部に速やかに排気される。この間、成膜室及び排気室内もパージガスによってパージが行われている。
【0043】
所定時間のパージ後、第2パージガスの供給を停止し、基板交換が行われる。第2パージガスの供給を停止するのは、基板近傍での第2パージガスの流れによって、基板交換時に基板が所定の位置からズレる可能性があるからである。一方、第1パージガスは基板交換及び搬送に支障が無い程度の流量が継続して供給される。この状態では、依然として搬送室から成膜室及びガス導入部内へのガスの流れがあるため、パージ後もガス導入部に僅かに残留する原料ガスが侵入するのを抑制できることになる。従って、以上の第四の防止機構によって基板交換時においても基板裏面及び加熱ホルダを含む搬送機構への原料ガスの付着による汚染を防止することができる。
【0044】
図1に示すCVD装置を用いて、200mm〜300mm基板上にCu薄膜を形成する場合の好適な成膜条件としては、加熱ホルダ温度が170〜200℃、成膜圧力が0.1〜1kPa、原料ガスであるCu(hfac)(tmvs)流量が0.1〜1g/min、キャリアガスとしてH流量が50〜200sccm、Ar流量が50〜200sccm、N流量が50〜200sccm、リングチャックヘ供給される第2のパージガス流量は10〜100sccm、第1のパージガス流量は10〜500sccmである。基板交換時における第1のパージガス流量は5〜100sccmである。ここで、パージガスとしては、Ar、N等の不活性ガスが用いられる。
【0045】
以上の条件により、200mm径の基板上にCuの成膜を行ったところ、表面のほぼ全面に成膜を行うことができ、しかも、原子吸光分析の結果、基板裏面汚染量はCu原子について1x10ll原子/cm以下と、極めて低い値に抑止できることが分かった。
【0046】
次に、本発明の第二の実施形態を説明する。
図3は、本発明のCVD装置の他の構成例を示す概略断面図である。図1のCVD装置と構造的に異なる主な点は、成膜室100と排気室101を分離する内部壁6の取り付け位置の違いである。すなわち、図3のCVD装置では、内部壁が容器天板からリングチャック4上に降ろされ、リングチャックと内部壁との間に所定の隙間60を残して取り付けられている。成膜室100と排気室101とは、内部壁6に設けられた貫通孔11及び内部壁6とリングチャックとの問の隙間60とによって空間的に結合しており、また搬送室102と排気室101とがリングチャック4と容器壁との隙間50により空間的に結合している。
【0047】
内部壁6とリングチャック4との間の隙間60の距離は、成膜時において0.5〜5.0mmとするのが好ましく、これは内部壁の高さによって調整される。この隙間60は貫通孔11と同様に成膜室100から排気室101への原料ガス等の流れの経路の一部となる。ここで、第一の実施形態と同様に、成膜室内の流れを軸対称流とする。
【0048】
また、パージガスが搬送室から直接排気室へ流れ込む構成としてあるのが第一の実施形態と大きく異なっている。この効果は、リングチャック4と支持部材16との隙間50から吹出されるパージガスが成膜室内に流れ込まないため、成膜室内の流れがより単純で循還流が無く、原料ガス等の成膜室内での滞在時間が短くなる。また、排気室の圧力は成膜室よりも相対的に低いため、隙間50を通して搬送室へ原料ガス等がの侵入する量を、第1の実施形態に比べてさらに少なくすることができる。
その他の構造及び機能は第一の実施例と同じであり、前述の基板裏面汚染防止についても同じ機構であり同じ動作が行われる。
また、図3の装置の場合についても、好適な成膜条件は図1の装置の場合とほぼ同様である。図3の装置を用いて、第一の実施形態と同様の成膜実験を行ったところ、第一の実施形態と同等若しくはそれ以上の裏面付着防止効果が得られることが確認された。
【0049】
以上述べてきたように、図1及び2のCVD装置は、いずれも第1〜第4の基板裏面付着防止機構を具備していることから、Cu薄膜を半導体基板上に形成する場合であっても、裏面汚染量を高特性半導体集積回路の動作特性に全く影響しないレベル以下の極めて軽微な汚染レベルに抑えることが可能となり、一層の高特性化・高集積化が図られる次世代の集積回路の安定した生産に大きく貢献するものである。なお、本発明のCVD装置は、上記実施の形態に記載したものに限定されるものではない。例えば、第1〜第4の基板裏面汚染機構の全てを設ける必要は必ずしもなく、要求される基板裏面汚染防止量に応じた装置構成とすればよい。
なお、本発明のCVD装置は、Cu薄膜の形成に好適に用いられるが、これに限らず、タングステン等のメタル薄膜、半導体薄膜等、種々の薄膜形成に適用できるものである。
【0050】
【発明の効果】
以上から明らかなように本発明によれば、基板上に薄膜を形成するCVD装置において、基板表面のほぼ全面に成膜が可能でかつ原料ガスや副生成ガスによる基板裏面汚染を極めて低く抑えることができ、生産性と歩留まりが高いCVD装置を提供することができる。
【図面の簡単な説明】
【図1】本発明のCVD装置の一構成例を示す概略断面図である。
【図2】リングチャックの内周部周辺を示す拡大概略断面図である。
【図3】本発明のCVD装置の他の構成例を示す概略断面図である。
【図4】シート抵抗の分布を示す等高線図である。
【図5】従来のガス回り込み防止機構を示す概略図である。
【図6】従来のガス回り込み防止機構を示す概略図である。
【図7】従来のガス回り込み防止機構を示す概略図である。
【符号の説明】
1 容器、
2 基板、
3 加熱ホルダ、
4 リングチャック、
5 ガス導入部、
6 内部壁、
7 スリットバルブ、
8 搬送口、
9 ピン、
10 排気ポート、
11 貫通口、
12 ヒーター、
13 熱電対、
14 圧力調整バルブ、
15 切換バルブ、
16 支持部材、
17、18 排気機構、
19 原料ガス供給機構、
20 第2パージガス供給機構、
21 第1パージガス供給機構、
22 ヒーターパワー供給機構、
31 減圧容器、
32 基板、
33 ホルダ、
34 リングチャック、
35 原料ガス導入部、
36 支持部材、
37 内側壁、
38 排気ポート、
39 開口、
41 リフト、
42 パージガス導入管、
43 ピン、
44 チャック溝、
45 パージガス溝、
50 リングチャックと支持部材との間の隙間、
60 リングチャックと内部壁との間の隙間、
100 成膜室、
101 排気室、
102 搬送室、
200 リングチャックパージガス供給管、
201 円環状供給路、
202 隙間、
203、204 第2パージガス供給路、
205,207 円環状分配路、
206 第1パージガス供給路。

Claims (16)

  1. 減圧容器内に設けられた加熱ホルダ上に基板を載置して、原料ガス及び副生成ガスの基板裏面への回り込みを防止する機能を有するリングチャックで固定し、基板に対向して設けられたガス導入部から原料ガスを吹出して、基板上に原料ガスの構成元素の少なくとも1つを含む薄膜を堆積させるCVD装置において、
    前記リングチャックを受ける支持部材を減圧容器の側壁に設け、前記リングチャック、支持部材及び基板で減圧容器内部を上下に分割し、上部側をさらに容器天板と前記支持部材とを連結して設けられた内部壁により成膜室と排気室とに分割し、下部側を基板の搬送室とし、前記成膜室と前記排気室とはいずれも同じ中心軸の周りに軸対称に形成されかつ前記内部壁に設けられた貫通口により連通しており、前記成膜室と前記搬送室とは前記リングチャックと前記支持部材との間に形成された隙間により連通していることを特徴とするCVD装置。
  2. 減圧容器内に設けられた加熱ホルダ上に基板を載置して、原料ガス及び副生成ガスの基板裏面への回り込みを防止する機能を有するリングチャックで固定し、基板に対向して設けられたガス導入部から原料ガスを吹出して、基板上に原料ガスの構成元素の少なくとも1つを含む薄膜を堆積させるCVD装置において、
    前記リングチャックを受ける支持部材を減圧容器の側壁に設け、前記リングチャック、支持部材及び基板で減圧容器内部を上下に分割し、上部側をさらに容器天板から前記リングチャックに所定の隙間を残して降ろされた内部壁により成膜室と排気室とに分割し、下部側を基板の搬送室とし、前記成膜室と前記排気室とはいずれも同じ中心軸の周りに軸対称に形成され、かつ前記内部壁とリングチャックとの間の隙間又は/及び前記内部壁に設けられた貫通口により連通しており、前記排気室と前記搬送室とは前記リングチャックと前記支持部材との間に形成された隙間により連通していることを特徴とするCVD装置。
  3. 前記搬送室に第1パージガスの導入部を設け、前記リングチャックと前記支持部材との間に形成された隙間により前記搬送室から前記成膜室又は前記排気室に第1パージガスが流れる構成としたことを特徴とする請求項1又は2に記載のCVD装置。
  4. 前記リングチャックと前記支持部材との対向する部分に、少なくとも一部分が互いに嵌合する凸凹を形成したことを特徴とする請求項1又は2に記載のCVD装置。
  5. 前記加熱ホルダの内部に、第1パージガスの供給路を複数設け、第1パージガスを軸対称に前記搬送室に吹出す構成としたことを特徴とする請求項3又は4に記載のCVD装置。
  6. 前記リングチャックは、内周縁の下部にテーパー部が形成され、該テーパー部により基板を押さえ固定するとともに、該テーパー部に設けられた第2パージガスの出口から基板外周部に第2パージガスを放出する構成としたことを特徴とする請求項1〜5のいずれか1項に記載のCVD装置。
  7. 前記第2パージガスの出口は、前記リングチャックの内周に沿って形成されていることを特徴とする請求項6に記載のCVD装置。
  8. 前記第2パージガスの出口は、基板との接触部より内周側に位置することを特徴とする請求項6又は7に記載のCVD装置。
  9. 前記第2パージガスの出口は、パージガスが基板面に対し略垂直に放出されるように構成したことを特徴とする請求項6〜8のいずれか1項に記載のCVD装置。
  10. 前記リングチャックに、第2パージガスの導入口及びこれと連通するガス供給路を複数設け、該複数のガス供給路が内周側に設けられた環状供給路を介して前記第2パージガスの出口に連結されていることを特徴とする請求項6〜9のいずれか1項に記載のCVD装置。
  11. 前記加熱ホルダに、第2パージガスの供給路とこれに連通する吹出し口が複数設けられ、該複数の吹出し口を前記リングチャックの複数の第2パージガスの導入口に対応する位置に形成し、前記第2パージガスの吹出し口から吹出された第2パージガスが前記リングチャックの第2パージガスの導入口に送られる構成としたことを特徴とする請求項10に記載のCVD装置。
  12. 前記加熱ホルダの第2パージガスの吹出し口部と前記リングチャックの第2パージガスの導入口部とが、互いに嵌合するように凹凸関係にあることを特徴とする請求項11に記載のCVD装置。
  13. 前記ガス導入部の内部を排気するための第2の排気機構を設けたことを特徴とする請求項1〜12のいずれか1項に記載のCVD装置。
  14. 前記リングチャックは、前記搬送室と前記成膜室又は/及び前記排気室との圧力差によって移動することがない重量としたことを特徴とする請求項1〜13のいずれか1項に記載のCVD装置。
  15. 前記リングチャックは、基板を均等に加重する構造としたことを特徴とする請求項14に記載のCVD装置。
  16. Cuの薄膜形成に用いることを特徴とする請求項1〜15に記載のCVD装置。
JP2000145631A 2000-05-17 2000-05-17 Cvd装置 Expired - Fee Related JP4422295B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000145631A JP4422295B2 (ja) 2000-05-17 2000-05-17 Cvd装置
KR1020010026228A KR100779445B1 (ko) 2000-05-17 2001-05-14 Cvd 장치
US09/858,239 US6663714B2 (en) 2000-05-17 2001-05-17 CVD apparatus
TW90111819A TW573044B (en) 2000-05-17 2001-05-17 CVD apparatus
KR1020070060587A KR100764534B1 (ko) 2000-05-17 2007-06-20 Cvd 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000145631A JP4422295B2 (ja) 2000-05-17 2000-05-17 Cvd装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009218346A Division JP5164950B2 (ja) 2009-09-24 2009-09-24 Cvd装置

Publications (2)

Publication Number Publication Date
JP2001329370A JP2001329370A (ja) 2001-11-27
JP4422295B2 true JP4422295B2 (ja) 2010-02-24

Family

ID=18652113

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000145631A Expired - Fee Related JP4422295B2 (ja) 2000-05-17 2000-05-17 Cvd装置

Country Status (4)

Country Link
US (1) US6663714B2 (ja)
JP (1) JP4422295B2 (ja)
KR (2) KR100779445B1 (ja)
TW (1) TW573044B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105431924A (zh) * 2014-04-09 2016-03-23 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
DE10064942A1 (de) * 2000-12-23 2002-07-04 Aixtron Ag Verfahren zum Abscheiden insbesondere kristalliner Schichten
DE10211442A1 (de) * 2002-03-15 2003-09-25 Aixtron Ag Vorrichtung zum Abscheiden von dünnen Schichten auf einem Substrat
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US20050051196A1 (en) * 2003-09-08 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd., Developer dispensing apparatus with adjustable knife ring
KR100578129B1 (ko) * 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7579276B2 (en) 2004-10-15 2009-08-25 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
KR101463581B1 (ko) * 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP2009503876A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド 半導体処理用堆積装置
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
GB0605554D0 (en) * 2006-03-20 2006-04-26 Boc Group Plc Gas supply apparatus
JP4911583B2 (ja) * 2006-08-28 2012-04-04 ルネサスエレクトロニクス株式会社 Cvd装置
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8281739B2 (en) * 2007-03-01 2012-10-09 Applied Materials, Inc. RF shutter
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
WO2010016499A1 (ja) * 2008-08-05 2010-02-11 東京エレクトロン株式会社 載置台構造
JP2010153483A (ja) * 2008-12-24 2010-07-08 Toyota Motor Corp 成膜装置、及び、成膜方法
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
CN102732860B (zh) * 2011-04-14 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔及具有其的化学气相沉积设备
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5794876B2 (ja) * 2011-09-28 2015-10-14 株式会社アルバック Cvd装置
US8877075B2 (en) * 2012-02-01 2014-11-04 Infineon Technologies Ag Apparatuses and methods for gas mixed liquid polishing, etching, and cleaning
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10115573B2 (en) * 2014-10-14 2018-10-30 Applied Materials, Inc. Apparatus for high compressive stress film deposition to improve kit life
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2018513567A (ja) * 2015-04-24 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フローアイソレータリングを含むプロセスキット
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10090174B2 (en) * 2016-03-01 2018-10-02 Lam Research Corporation Apparatus for purging semiconductor process chamber slit valve opening
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6778553B2 (ja) * 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6698001B2 (ja) * 2016-10-24 2020-05-27 東京エレクトロン株式会社 処理装置及びカバー部材
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
CN117174641A (zh) * 2017-04-07 2023-12-05 应用材料公司 在基板边缘上的等离子体密度控制
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR102548233B1 (ko) * 2017-11-28 2023-06-27 도쿄엘렉트론가부시키가이샤 처리 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7407125B2 (ja) 2018-04-20 2023-12-28 ラム リサーチ コーポレーション エッジエクスクルージョン制御
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7042880B1 (ja) * 2020-09-24 2022-03-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112369465B (zh) * 2020-10-10 2022-09-13 浙江农林大学 一种覆膜装置及其覆膜方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11685996B2 (en) * 2021-03-05 2023-06-27 Sky Tech Inc. Atomic layer deposition device
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JPH0538904A (ja) 1991-08-01 1993-02-19 Sumitomo Rubber Ind Ltd オフロード用タイヤ
JP2603909B2 (ja) 1992-06-24 1997-04-23 アネルバ株式会社 Cvd装置、マルチチャンバ方式cvd装置及びその基板処理方法
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5888304A (en) 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
JPH08222556A (ja) 1995-02-14 1996-08-30 Tokyo Electron Ltd 熱処理装置
JPH08233221A (ja) 1995-02-28 1996-09-10 Hitachi Home Tec Ltd ガス燃焼装置
JPH1041251A (ja) 1996-07-26 1998-02-13 Sony Corp Cvd装置およびcvd方法
JP3796005B2 (ja) * 1997-05-15 2006-07-12 アプライド マテリアルズ インコーポレイテッド マスク装置及び成膜装置
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105431924A (zh) * 2014-04-09 2016-03-23 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US10446418B2 (en) 2014-04-09 2019-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US10727096B2 (en) 2014-04-09 2020-07-28 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构

Also Published As

Publication number Publication date
US20010042514A1 (en) 2001-11-22
KR100764534B1 (ko) 2007-10-09
KR20010106245A (ko) 2001-11-29
TW573044B (en) 2004-01-21
KR20070073704A (ko) 2007-07-10
JP2001329370A (ja) 2001-11-27
KR100779445B1 (ko) 2007-11-26
US6663714B2 (en) 2003-12-16

Similar Documents

Publication Publication Date Title
JP4422295B2 (ja) Cvd装置
KR100217351B1 (ko) 기판을 프로세싱하는 동안 가스를 기초로하여 기판의 이면을 보호하는 방법 및 장치
TWI669410B (zh) 成膜方法及成膜裝置
US5383971A (en) Differential pressure CVD chuck
US9976217B2 (en) Film forming method using reversible decomposition reaction
US6096135A (en) Method and apparatus for reducing contamination of a substrate in a substrate processing system
JP3477953B2 (ja) 熱処理装置
CA2138292C (en) Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten cvd
WO2005041285A1 (ja) シャワーヘッド及びこれを用いた成膜装置
JPWO2006041169A1 (ja) 基板処理装置及び半導体装置の製造方法
KR100705170B1 (ko) 막 형성 장치
US6365495B2 (en) Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
JP3258885B2 (ja) 成膜処理装置
JP3253002B2 (ja) 処理装置
JP2004146516A (ja) 成膜方法
JP5164950B2 (ja) Cvd装置
JP2006274316A (ja) 基板処理装置
KR100629540B1 (ko) 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
JP2004339566A (ja) 基板処理装置
KR20210158171A (ko) 기판처리방법 및 이에 사용되는 기판처리장치
TW202301412A (zh) 多區域半導體基板支撐
JP2004273648A (ja) プリコート層の形成方法及び成膜方法
JP2001085356A (ja) 薄膜の形成方法およびその形成装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090727

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090811

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091201

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121211

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4422295

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131211

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees