JPH0799162A - Cvdリアクタ装置 - Google Patents

Cvdリアクタ装置

Info

Publication number
JPH0799162A
JPH0799162A JP6106460A JP10646094A JPH0799162A JP H0799162 A JPH0799162 A JP H0799162A JP 6106460 A JP6106460 A JP 6106460A JP 10646094 A JP10646094 A JP 10646094A JP H0799162 A JPH0799162 A JP H0799162A
Authority
JP
Japan
Prior art keywords
substrate
wafer
cvd
reactor
cvd reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6106460A
Other languages
English (en)
Inventor
Eisuke Nishitani
英輔 西谷
Susumu Tsujiku
進 都竹
Natsuyo Chiba
なつよ 千葉
Norihiro Uchida
憲宏 内田
Hide Kobayashi
秀 小林
Naoyuki Tamura
直行 田村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP6106460A priority Critical patent/JPH0799162A/ja
Priority to US08/263,323 priority patent/US5574247A/en
Publication of JPH0799162A publication Critical patent/JPH0799162A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【目的】異物発生がなく、チャンバクリーニングのいら
ないCVDリアクタ装置を提供する。さらに、モニタを
連結し、プロセス状態監視、プロセス条件制御を行える
CVDリアクタ装置を提供する。 【構成】ウエハにガスを供給するためのガスシャワ、ウ
エハ固設用水冷ウエハ抑え部材、ウエハ支持ピン、ウエ
ハ引き上げピン、チャンバ底部リング及びウエハ下部空
間に不活性ガス供給するガス導入パイプを設け、ウエハ
表面のみで反応させる。また、リアクタ中の反応ガスを
サンプリングしモニタすると共に、ウエハからの放射光
を検知し、温度をモニタする。 【効果】異物発生低減によるCVD工程の歩留向上が達
成される。さらに、モニタの付加により、自動運転化を
推進し生産性向上に寄与できる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は基板上のCVD薄膜形成
を行うためのCVDリアクタ装置に係り、特に、基板の
所望する部分以外での薄膜形成を行わせず、デポ異物の
発生が少なく、チャンバクリーニングを必要としないた
め、スループットが高く装置稼働率の高い熱CVDに好
適なCVDリアクタ装置に関する。さらに、基板表面上
での反応のみをリアルタイムにモニタでき、またチャン
バクリーニングによるモニタ装置へのダメージがなく長
期間の経時劣化なしに安定してモニタが可能な、自動運
転に適用し易く生産性に優れた熱CVDに好適なCVD
リアクタ装置に関する。
【0002】
【従来の技術】LSIの高集積化に伴い、LSI製造工
程はより高度な技術が要求されるようになっている。例
えば、素子−配線間あるいは各配線間を接続する配線設
計の困難性が増大するという問題に対しては、その解決
手段として多層配線技術が不可欠な技術となっている。
この場合、下層配線と、層間絶縁膜を介してその上方に
配設した上層配線とを接続するために、必要に応じて、
絶縁膜に微細な導通孔(以下、スル−ホ−ルと呼ぶ)を設
け、このスル−ホ−ルを導体で穴埋めする方法が取られ
ている。
【0003】このスル−ホ−ルを穴埋めする方法として
は、幾つかの方法が、知られているが、その中でもスル
−ホ−ル径が微細な場合にも穴埋め性の良好な方法の一
つとして、例えばタングステンのごとき金属の選択CV
D(Chemical Vapor Deposition: 化学的気相成長法)が
最も実用性ある方法として知られている。このタングス
テン(以下、Wと記す)のCVDには、全面成膜により基
板全面に被覆性の好い膜を成膜しそのまま配線として用
いる場合と、選択成膜によりスル−ホ−ルの部分のみ穴
埋めしその上にAl(アルミニウム)等の比抵抗の低い金
属材料を成膜する場合とがあるが、後者を例にとり以下
に述べる。
【0004】Wの選択CVDは、250℃以上に加熱した
試料基板上に六フッ化タングステン(WF6)及び水素(H
2)あるいは(SiH4)の混合ガスを導入、接触させて、下
記のいずれかの反応により、下地金属(ここではアルミ
ニウムの場合を示す)上にW膜を成長させる方法であ
る。
【0005】
【化1】 WF6+2Al→W+2AlF3 WF6+3H2→W+6HF 2WF6+3SiH4→2W+3SiF4+3H2 ………
(化1) ここでは試料基板として、例えばシリコンウエハを用
い、このウエハ表面に下地金属としてAlパタ−ンが形
成され、その上にSiO2等の絶縁膜が形成され、しかも
この絶縁膜には下地金属を露出させているスル−ホ−ル
パタ−ンが形成されている場合を例にとった。
【0006】この場合、SiO2等の絶縁膜上では、(化
1)の反応は起こらず、Wがスルーホール内に露出した
Al上にのみ選択的に成長し、スルーホールの穴埋めが
達成されることになる。なお、この種のWの選択CVD
に関連するものとしては、例えばジャーナル オブ エ
レクトロケミカル ソサイアティ、第131巻 (1984年) 1
427頁から1433頁 (J. Electrochem. Soc., 131, 1427
(1984))やECS日本支部第一回シンポジウム(1988)
「超LSI用CVD技術」予稿集、第48頁から第65頁に
記載されている。
【0007】なお、この種の従来技術としては特開昭64
-17424号公報、特開平4-226027号公報、特開平4-233221
号公報、特開平4-268724号公報、特開平4-294526号公報
等がある。
【0008】一方、近年LSIの高度化が進むに従っ
て、設備投資金額の肥大化、製造工程の増大化等により
生産コストの上昇が著しくなっている。生産コストの低
減には、自動化による人件費削減が有効と考えられてお
り、自動化を行うための必須技術であるモニタリング技
術の開発が進められている。なお、LSIの自動化技術
に関連するものとしては、例えば、インテグレイティッ
ド プロセシング フォーマイクロエレクトロニクス
サイエンス アンド テクノロジー、 IBMジャーナ
ル オブ リサーチ アンド デベロップメント、第36
巻 (1993年) 233頁(”Integrated Processing for Micr
oelectoronics Sience and Technology", IBM J. Res.
Develop. Vol. 36(2) p.233(1992))に記載されている。
【0009】
【発明が解決しようとする課題】上記に示した選択CV
D法は、LSI配線の微細・多層化に対して有力な方法
であるが実用化する上での問題点も抱えている。それは
上記した選択的成膜における選択性は必ずしも完璧では
なく、膜が形成して欲しくないウエハの裏面にも金属が
成長することである。すなわち、ウエハを加熱するため
にリアクタ内に設けたサセプタは、ウエハと同じあるい
はそれ以上の温度に加熱されているため、CVD原料ガ
スがその表面に接するだけで容易に膜形成が生ずる。さ
らに、ウエハの裏面は絶縁膜に覆われておらず活性なシ
リコン面が露出しているため、原料ガスが接触すると成
膜反応が進行するのである。このような不要な膜形成
は、大抵、接着性が弱く剥がれ易いため、CVDリアク
タ内の異物発生、発塵の原因となり、処理プロセスの歩
留低下を招く。さらに、この不要な膜形成は、選択CV
Dだけの問題ではなく、全面CVDにおけるCVDリア
クタ内の異物発生、発塵による歩留り低下の原因にもな
っている。
【0010】このため、近年の枚葉方式のコールドウォ
ール型のCVD装置では、ウエハ裏面に形成した膜のエ
ッチングやチャンバクリーニングを一枚毎に行なうこと
が主流になりつつある。CVD前のリアクタ内の チャ
ンバクリーニングについては、1992年発行のConf. Pro
c. of Advanced Metallization for ULSI Applications
(1991, NJ & Tokyo;MRS)167〜172頁および249〜253頁
において記載されている。
【0011】しかしながら、CVD前のリアクタ内のチ
ャンバクリーニングは、チャンバ壁等、特にサセプター
等の加熱した部分へのプラズマダメージを与え、この場
合には上記のデポ異物ではなくリアクタ構成材料が分解
変質した異物を発生させるといった問題が新たに生ず
る。
【0012】一方、前述したように生産コスト削減を目
的とした自動無人運転化を行うためのプロセスモニタリ
ング技術の開発が進められており、本発明が対象とする
CVD工程では、主に質量分析計や発光分析計を用いた
反応ガスモニタや、赤外線放射温度計を用いたウエハ温
度モニタの適用が検討されている。しかし、従来のCV
Dリアクタではウエハ裏面やCVDリアクタ内での不要
な部分での膜形成が起こっているため正確なウエハ表面
上での反応をモニタすることは困難である。特に、選択
CVDではウエハ表面上での反応領域が極めて少なくウ
エハ裏面やCVDリアクタ内での不要な部分での成膜反
応量の方が圧倒的に多いため、ウエハ表面上での反応を
モニタすることは実質的に不可能である。また、通常赤
外線放射温度計をウエハ温度のモニタに用いる場合に
は、特開平4-130746に述べられているように、赤外線を
検知部に伝達するための石英性の導光体や透過窓が使わ
れるが、従来のCVDリアクタではチャンバクリーニン
グを行った際のプラズマダメージによる導光体や透過窓
の劣化に伴い赤外線の透過率が徐々に低下し、ウエハ温
度のモニタは実質的に不可能となる。
【0013】本発明は、上記ウエハ裏面やCVDリアク
タ内の不要な部分での膜形成が生じない、すなわち、チ
ャンバクリーニングを必要としない高スループット、高
稼働率のCVDリアクタ装置を提供することを目的とす
る。さらに、本発明のCVDリアクタと反応ガスモニタ
あるいはウエハ温度モニタを連結し、正確にウエハ表面
上での反応のみをリアルタイムにモニタし、長期間での
経時的なモニタ変動なしに安定してウエハ温度をモニタ
することにより、自動運転可能なCVDリアクタ装置を
提供することを目的とする。
【0014】
【課題を解決するための手段】上記目的を達成するため
に、本発明は、リアクタ内で反応性ガスにより基板表面
にCVD反応膜を形成するCVDリアクタ装置におい
て、上記リアクタ内に設置された上記基板表面側と上記
基板裏面側とに上記リアクタ内の空間を分離し、上記基
板表面側の空間に上記基板表面の大部分が接するように
上記基板表面の周辺部のみを抑えるための基板抑え部材
を有し、この基板抑え部材と上記基板表面周辺部の間に
僅かな隙間を設けて上記隙間のみを通して上記基板表面
側の空間と基板裏面側の空間を連通し、上記基板表面側
の空間のみに少なくともCVD原料ガスを含むガスを充
満し、かつ上記基板裏面側の空間にはCVD原料ガスを
含まない不活性ガス等の成膜に関与しないガスのみを上
記基板表面側の空間内の圧力よりも高い圧力になるよう
充満し、上記基板裏面側の空間に充満した上記CVD原
料ガスを含まない不活性ガス等の成膜に関与しないガス
を、上記隙間から低い圧力側の上記基板表面側の空間に
流出させて上記基板表面側のCVD原料ガスが上記基板
裏面および上記基板表面周辺部に接することを抑え、上
記基板を所望の温度に加熱する手段を設け、上記基板表
面側のCVD原料ガスの接する上記リアクタ内壁の表面
温度を、上記基板表面を除いて、水冷等の冷却手段を用
いて成膜下限温度以下にした第1の構成を採用した。
【0015】また、上記目的を達成するために、本発明
は、反応性ガスにより基板表面にCVD反応膜を形成す
るCVDリアクタ装置において、上記基板表面側から反
応性ガスを基板表面上に供給するガス導入手段と、上記
基板表面の大部分が露出するように上記基板周辺部を抑
えるためのリング状あるいは額縁状の基板抑え部材と、
上記基板抑え部材を上下に移動させる基板抑え部材移動
手段と、上記基板抑え部材に固定され、上記基板裏面を
外側から引っかけて上記基板を引き上げるための複数個
の引き上げピンと、上記基板抑え部材の下方に位置し、
上記基板の外側で上記基板を囲むように上記基板抑え部
材と接触するリング状あるいは額縁状のストッパと、上
記基板抑え部材とストッパの接触部を気密シ−ルするシ
ール手段と、上記ストッパの内側に固定され、上記基板
が上記基板抑え部材により押し下げられたとき上記基板
を破損させることのない力で下方から押し上げて、自身
は下方に屈曲する複数個のバネ状の基板支持ピンと、及
び、上記反応性ガスが上記基板裏面側に流れ込むのを防
ぐため、上記基板裏面側の、上記ストッパの内壁面、上
記基板抑え部材の下面及び上記リアクタの底部で囲まれ
る空間に不活性ガスを供給する不活性ガス供給手段を有
し、上記リアクタ外から移送されてきた上記基板を上記
リアクタ内に保持するとともに、上記反応性ガスによる
CVD成膜領域を上記基板の周辺部を除く上記基板表面
のみに限定させて成膜するようにした第2の構成を採用
した。
【0016】上記目的を達成するために、本発明は、上
記第2の構成において、上記基板表面を除いて、上記リ
アクタ内壁、上記ガス導入手段表面、上記基板抑え部材
の上面等の、反応性ガスの接する表面の温度を、水冷等
の冷却手段により成膜反応下限温度以下にしてある第3
の構成を採用した。
【0017】上記目的を達成するために、本発明は、上
記第1の構成において、上記基板を加熱する手段が、上
記CVDリアクタ外部にあるランプ等の加熱光源からの
放射光を透過窓を通して上記基板へ直接照射する第4の
構成を採用した。
【0018】上記目的を達成するために、本発明は、上
記第1の構成において、上記基板を加熱する手段が、上
記CVDリアクタ外部にあるランプ等の加熱光源からの
放射光を透過窓を通して照射し加熱されるサセプタを有
し、このサセプタを上記基板裏面側に設置して上記基板
に接触させて、サセプタから熱伝導および熱輻射させる
ようにした第5の構成を採用した。
【0019】上記目的を達成するために、本発明は、上
記第5の構成において、上記サセプタが、上記基板より
も大きなサイズを有し、かつ、上記引き上げピンにより
上記基板のみ引き上げられるようにするため、上記基板
引上げピンの上下において上記基板引上げピンに接触し
ないための切欠き部が設けてあるとともに、上記基板支
持ピン上に設置してある第6の構成を採用した。
【0020】上記目的を達成するために、本発明は、上
記第1の構成において、上記基板を加熱する手段が、上
記基板に接触して上記基板裏面側に設置し抵抗加熱等に
より加熱されるヒータステージより成り、基板へ熱伝導
及び熱輻射させるようにした第7の構成を採用した。
【0021】上記目的を達成するために、本発明は、上
記第7の構成において、上記ヒータステージが、上記基
板よりも大きなサイズを有し、かつ、上記基板引き上げ
ピンにより上記基板のみ引き上げられるようにするた
め、上記基板引上げピンの上下において上記基板引上げ
ピンに接触しないための切欠き部を設けてあるととも
に、上記基板支持ピン上に設置してある第8の構成を採
用した。
【0022】上記目的を達成するために、本発明は、上
記第1または第2の構成において、上記CVDリアクタ
を他の処理リアクタと連結させた第9の構成を採用し
た。
【0023】上記目的を達成するために、本発明は、上
記第1または第2の構成において、上記CVDリアクタ
を反応ガスモニタと連結し、上記基板表面での反応のみ
をモニタできる機能を付加した第10の構成を採用した。
【0024】上記目的を達成するために、本発明は、上
記第1または第2の構成において、上記CVDリアクタ
を基板温度モニタと連結し、経時変化なしに上記基板の
温度をモニタできる機能を付加した第11の構成を採用し
た。
【0025】上記目的を達成するために、本発明は、上
記第1または第2の構成において、上記CVDリアクタ
を反応ガスモニタおよび基板温度モニタと連結し、上記
基板表面での反応のみをモニタすると共に経時変化なし
に基板温度をモニタできる機能を付加した第12の構成を
採用した。
【0026】上記目的を達成するために、本発明は、上
記第10または第12の構成において、上記CVDリアクタ
に連結された反応ガスモニタが、上記CVDリアクタ内
のガスの一部を導入するガス導入管と差動排気された質
量分析計で構成されている第13の構成を採用した。
【0027】上記目的を達成するために、本発明は、上
記第11または第12の構成において、上記CVDリアクタ
に連結された基板温度モニタが、上記基板裏面から放射
される赤外光を一端面側で受光して他端面側に伝達する
導光体と、該赤外光を取り込んで、その赤外光の強度を
検出するとともに、その検出結果から上記基板の温度を
算出する算出手段で構成されている第14の構成を採用し
た。
【0028】上記目的を達成するために、本発明は、上
記第11または第12の構成において、上記CVDリアクタ
に連結された基板温度モニタが、上記CVDリアクタの
内部を大気と遮断すると共に上記基板裏面から放射され
る赤外光を上記CVDリアクタ外に取り出すための透過
窓と、該透過窓を透過した赤外光を集光するための集光
レンズと、該赤外光を取り込んで、その赤外光の強度を
検出するとともに、その検出結果から上記基板の温度を
算出する算出手段で構成されている第15の構成を採用し
た。
【0029】
【作用】上記第1の構成により、シリコンウエハ等のウ
エハ表面の所望する部分のみに高選択でCVD膜を成長
させることができるため、スルーホールなどの微細接続
孔のCVD穴埋め処理において、成膜工程全般における
膜剥がれに起因する異物不良低減に多大の効果がある。
また、CVD前のチャンバークリーニングが不要となる
ことから、スループットの向上が図れ、チャンバーから
の発塵も低減でき長時間の連続運転が可能になることか
ら稼働率が向上する。
【0030】上記第2の構成により、選択性の低下を防
止するため、成膜の必要のないウエハの裏面、側面及び
ウエハ表面の端部に供給するガスの流路と成膜が必要な
ウエハ表面に供給するガスの流路を分け、かつウエハの
みがCVD反応の起こる温度以上にしてあり、かつウエ
ハの側面を通ってウエハ裏面に廻り込もうとする反応性
ガスがウエハ裏面側の不活性ガスによりパージされ、ウ
エハ側面や裏面に吸着できないので、ウエハの表面側に
流入されるWF6やSiH4等のCVD反応ガスは実質
上、ウエハ端部を固定するウエハ抑え部材より内側のウ
エハ表面部にしか吸着しない。
【0031】上記第3の構成により、ウエハ以外ではC
VD反応の生ずる温度にはならないので、CVD反応は
ウエハ表面側の所望する領域のみで起こり、ウエハ端
部、及びリアクタ内表面等で起こらない。このことは、
不必要なCVD反応が抑制されることを意味しており、
従来のウエハ全面の成膜における選択性を向上させるこ
とができる。
【0032】上記第4の構成により、ウエハへの直接光
照射による場合は、ウエハ内の温度分布を均一にするた
めのランプ等の光学系の調整が難しい代わりにプロセス
中のウエハ温度を応答性良く変えることができる。
【0033】上記第5あるいは6の構成においては、加
熱手段がCVDリアクタ外部にあるランプ等の加熱光源
からの放射光を透過窓を通して照射し加熱されたウエハ
裏面側に設置しウエハに接触したサセプタからの熱伝導
及び熱輻射であることから、サセプタを用いた場合は温
度を変化させるのは難しいが比較的簡単に温度分布を均
一にすることができる。
【0034】上記第7あるいは8の構成においては、加
熱手段がウエハに接触してウエハ裏面側に設置した抵抗
加熱等により加熱されたヒータステージからの熱伝導及
び熱輻射としていることから、ヒータステージを用いた
場合は温度を変化させるのは難しいが比較的簡単に温度
分布を均一にすることができる。
【0035】上記第9の構成により、さらに、前処理室
等複数の処理室に対するウエハの搬送もできるため、将
来必要とされる薄膜成膜工程の複合化、連続自動運転化
に寄与できる。
【0036】上記第10あるいは13の構成により、ウエハ
以外ではCVD反応の生ずる温度にはならず、CVD反
応がウエハ表面側の所望する領域のみで起こるため、モ
ニタの対象が実際にデバイス製作上必要となる反応進行
モニタの情報を得た上で、リアルタイムのプロセス条件
制御や製品ウエハの品質保証が可能となり、プロセス中
のバラツキを低減できるため、自動運転化を推進し生産
性向上に寄与できる。
【0037】上記第11あるいは14、あるいは15の構成に
より、ウエハ表面以外での不要な膜形成が起こらずチャ
ンバクリーニングを行う必要がなくなることから、プラ
ズマダメージによる温度モニタ部品の劣化に伴う経時変
化なしに上記基板の温度をモニタできるため、リアルタ
イムのプロセス条件制御や製品ウエハの品質保証が可能
となり、プロセス中のバラツキを低減できるため、自動
運転化を推進し生産性向上に寄与できる。
【0038】上記第12の構成により、反応進行モニタと
温度をモニタが同時にモニタできるため、より精緻なリ
アルタイムのプロセス条件制御や製品ウエハの品質保証
が可能となり、プロセス中のバラツキを低減できるた
め、自動運転化を推進し生産性向上に寄与できる。
【0039】
【実施例】以下、本発明の実施例について、図面に基づ
いて説明する。
【0040】〔実施例1〕図1は本発明の第1実施例に
おける第1のCVDリアクタ(直接光照射)の要部の断面
を示す説明図である。
【0041】図1に示すように、基板としてはシリコン
のウエハ2が用いられ、このウエハ2は、ウエハ2の表
面が上側に向けられ、リング状のウエハ抑え部材5によ
りウエハ2の上面周辺部が抑えられ、また、後述する、
3個のウエハ支持ピン6によりウエハ2の下面周辺部が
固定されてCVDリアクタ(反応室)9の内部に設置され
ている。
【0042】1は水冷機構を有するガスシャワ−で、ガ
スシャワ−1の吹き出し孔1aよりCVDガスがウエハ
2に吹き付けられ、該CVDガスは排気口から排気され
る。
【0043】ウエハ抑え部材5は、その上面にウエハ引
き上げロッド3が固設され、このウエハ引き上げロッド
3はシリンダ機構からなる上下機構4a(4bはガスシ
ャワー上下機構、両者とも図中では省略してある)によ
って上下させられることにより上下に動かすことができ
る。また、ウエハ抑え部材5にはウエハ引き上げピン7
が3個取り付けられており、ウエハ抑え部材5を引き上
げることによりウエハ2は引き上げピン7の上に乗って
ウエハ抑え部材5と共に上方に持ち上げられる。
【0044】ウエハ支持ピン6はバネ材からなり、ウエ
ハ抑え部材5が下方に降りてきたときのストッパを兼ね
るリアクタ底部リング部10に固定されている。リアク
タ底部リング部10のウエハ抑え部材5との接触面には
Oリングシール11が設置され、接触面の気密を保持す
るようになっている。
【0045】リアクタ9の外部下方には図示しない加熱
光源が設置され、この加熱光源によりリアクタ9下方の
光照射窓8を通してウエハ2は光照射加熱される。
【0046】ウエハ2の下面、ウエハ抑え部材5の下
面、及び光照射窓8とストッパを兼ねるリアクタ底部リ
ング10の内面により囲まれて空間Euが構成され、こ
の空間Euを不活性ガスで満たすための不活性ガス導入
パイプ12が設けられている。
【0047】次に、ウエハ2の支持部分について説明す
る。
【0048】図2及び図3は第1のCVDリアクタ装置
(光直接照射)におけるパージガス流路を示し、図2はウ
エハ引き上げピン7、ウエハ抑え部材5とウエハ2の位
置関係を示す断面斜視図、図3はウエハ支持ピン6a、
ウエハ抑え部材5とウエハ2の位置関係を示す断面斜視
図である。
【0049】高選択性を確保するためには、前述したよ
うにウエハ表面以外でのCVD反応を抑制する必要があ
る。そのために、ウエハ2裏面を不活性なArガスによ
りパージすることによって、CVDガスがウエハ2裏面
に侵入しその部分で膜成長が起こることの抑制を図って
いる。ここでは、ウエハ引き上げピン7をウエハ抑え部
材5に溶接して一体化させてある。
【0050】リアクタ底部リング部10には、ウエハ抑
え部材リング5と接触する位置にOリング11が配設さ
れ、ウエハ2裏面部側の空間Euとウエハ2表面部側の
空間Eoのシール性が向上されている。そして、図2及
び図3に示すように、パージArガスの流路をウエハ抑
えリング5に設けた隙間d(例えば0.25mm)の突起5aの
間に限定し、ウエハ2表面部側に供給されるCVDガス
がウエハ2裏面側に廻り込む確率を小さくしてある。な
お、この効果については評価結果で後述する。
【0051】図4は図3と異なる変形例を示すもので、
ウエハ引き上げピン、ウエハ抑え部材とウエハの位置関
係を示す断面斜視図で、この図において、6bはウエハ
支持ピンであり、その他の構成は前記実施例と同様であ
る。
【0052】上述したウエハ2への直接光照射による加
熱方法とは異なる場合、すなわちウエハサセプタを用い
た第2のCVDリアクタ装置の例を図5ないし図7に示
し、また、ヒータステージを用いた第3のCVDリアク
タ装置の例を図8ないし図10に示してあり、加熱手段
が異なる以外は全て図1と同様である。
【0053】図5は第2のCVDリアクタ装置を示す
図、図6及び図7は第2のCVDリアクタ装置(サセプ
タ光照射)におけるパージガス流路を示し、図6はウエ
ハ引き上げピン7、ウエハ抑え部材5とウエハ2の位置
関係を示す断面斜視図、図7はウエハ支持ピン6、ウエ
ハ抑え部材5とウエハ2の位置関係を示す断面斜視図で
ある。
【0054】これらの図において、13はサセプタで、
サセプタ13は、CVDリアクタ9外部にあるランプ等
の加熱光源からの放射光を光照射窓8を通して照射し加
熱される。このサセプタ13はウエハ2裏面側に設置さ
れ、かつウエハ2に接触させられており、サセプタ13
からウエハ2に熱伝導及び熱輻射させるようにしてあ
る。またサセプタ13は、ウエハ2よりも大きなサイズ
を有し、かつ、基板引き上げピン7によりウエハ2のみ
引き上げられるよう基板引き上げピン7の上下において
ウエハ引き上げピン7に接触させないための切欠き部1
3aが設けられてあるとともに、ウエハ支持ピン6上に
設置されている。なお、その他の構成は上記第1のCV
Dリアクタ装置と同様である。
【0055】図8は第3のCVDリアクタ装置を示す説
明図、図9及び図10は図8に示す第3のCVDリアク
タ装置(ヒータステージ)におけるパージガス流路を示
し、図9はウエハ引き上げピン7、ウエハ押さえ部材5
とウエハ2の位置関係を示す断面斜視図、図10はウエ
ハ支持ピン6、ウエハ抑え部材5とウエハ2の位置関係
を示す断面斜視図である。
【0056】これらの図において、14はヒータステー
ジで、ヒータステージ14は抵抗加熱等により加熱され
る。ヒータステージ14はウエハ2裏面側に設置され、
かつウエハ2に接触させられており、ヒータステージ1
4からウエハ2に熱伝導及び熱輻射させるようにしてあ
る。またヒータステージ14は、ウエハ2よりも大きな
サイズを有し、かつ、基板引き上げピン7によりウエハ
2のみ引き上げられるよう基板引き上げピン7の上下に
おいてウエハ引き上げピン7に接触させないための切欠
き部14aが設けられてあるとともに、ウエハ支持ピン
6上に設置されている。この第3のCVDリアクタ装置
の場合、前記第1、第2のCVDリアクタ装置と異なり
光照射窓は設けらておらず、リアクタ9下方は閉鎖され
ている。なお、その他の構成は上記第1のCVDリアク
タ装置と同様である。
【0057】次に、本発明におけるCVDリアクタ装置
を用い、W膜をウエハ2上のスル−ホ−ル内にのみ選択
的に成膜するための工程(図12(a))及びウエハ全面に
成膜するための工程(図12(b))について説明する。
【0058】図11は実施例の実験を行うために使用し
たCVDリアクタ装置を示す説明図、図12(a)はW膜
をウエハ2上のスルーホール内にのみ選択的に成膜する
ための工程図、図12(b)はウエハ2全面に成膜するた
めの工程図、図13ないし図15には、それに伴うウエ
ハ2の搬送におけるCVDリアクタ9内での上下機構の
位置関係を示す説明図である。
【0059】CVDリアクタ装置は、図11に示すよう
に、ウエハ搬送室17を中心に、ゲート弁24を介し
て、手前にロード/アンロード室16、右に前処理室1
5、左にCVD室(CVDリアクタ)9を有する構成であ
る。CVDリアクタ9は、反応ガスのモニタの可能な四
重極質量分析計(QMS)18を備えている。19はコン
ダクタンス調整バルブ、20はターボ分子ポンプ、21
はロータリーポンプ、22はRF電源、23はQMS電
源本体、24はゲートバルブ、25はウエハフォークで
ある。
【0060】図12(a)、(b)にて、成膜を行なう前に
まずCVDリアクタ9に隣接して設けられている前処理
室15において前処理を行なう。この前処理はウエハ2
表面を清浄にするために行う。すなわち、Alの自然酸
化膜を除去してAl配線とW膜の接触抵抗を低減するた
め、及び絶縁膜表面に存在する金属性汚れや活性点を除
去あるいは安定化することにより絶縁膜上でのW膜の成
膜を防ぐために行う。図12(a)の前処理では、ハロゲ
ンガスここではBCl3のプラズマでウエハを処理するこ
とにより達成される(S1〜S6)。一方、全面CVDにおい
ては、特に選択性を考慮する必要がないため、Arガス
のみのプラズマでウエハ2を処理することにより達成さ
れる(S21〜S26)。前処理後ウエハ2を大気に曝すことな
く、CVDリアクタ9に搬送する(S7、S27)。
【0061】次に、搬送されたウエハ2をCVDリアク
タ内で受取り固定する方法について、説明する。
【0062】まず、図13に示すように、ウエハ2は搬
送レベル(搬送面)Lで前処理室15からウエハフォ−ク
25に乗せられて反応室(CVDリアクタ)9内に水平搬
送される。次に、図14に示すように、ガスシャワー1
とウエハ持ち上げロッド3を上下機構4aと4bを用い
て上昇させることにより、ウエハ2はウエハ引き上げピ
ン7にウエハ2の端部の一部を支えられ搬送レベルLよ
り引き上げられる。これによりウエハフォ−ク25を反
応室9外部の所定の位置に戻すことが出来る。次に、図
15に示すように、ガスシャワ−1とウエハ持ち上げロ
ッド3を下降させるとウエハ2はウエハ支持ピン6の上
に設置される。さらにウエハ持ち上げロッド3を下降さ
せると、ウエハ持ち上げロッド3に取り付けられたウエ
ハ抑え部材5がウエハ2の表面端部をバネ機構により押
圧し、ウエハ2を図1で示したごとく反応室9内に固定
設置することができる。なお、ここで使用したウエハ2
は、Siウエハ上に形成したAl配線上にプラズマCVD
等により絶縁膜(SiO2)を成膜した後、フォト・エッチ
ング工程により直径0.5μm(深さ1.2μm)のスルーホー
ルを多数個開口させたテストウエハを用いた。
【0063】そして、ウエハ2を固定設置後、リアクタ
9内を十分真空排気した後(S8)、不活性ガスであるAr
ガスを図1の不活性ガス導入パイプ12及びガスシャワ
ー1よりそれぞれ流量50sccm、60sccm導入する(S9)。反
応室9内の圧力は排気量を調節することにより、2.7 P
aに保持する。なお、Arガスは前述したようにウエハ2
側面と裏面に反応性ガスが接触するのを防ぐため及び反
応性ガスを希釈してウエハ2表面での膜厚均一性を向上
するために用いる。
【0064】次に加熱光源を点灯しウエハ2を230℃ま
で加熱した後(S10)、反応性ガスとしてWF6ガスを5sc
cm、SiH4ガスを4sccmの流量でガスシャワー1よりウ
エハ2に吹きかける(S11)。これにより、W膜が、ウエ
ハ2のスル−ホ−ル上にのみ選択成膜し(S12)、スル−
ホ−ルを埋め込むことができるとともに、本実施例で用
いたウエハ2の側面及び裏面は、Siが露出した活性な
表面で通常W膜が成膜する表面であるのにもかかわら
ず、本実施例ではウエハ2の側面及び裏面には全くW膜
が成膜しなかった。また、走査型電子顕微鏡(SEM)に
よりウエハ表面の様子を観察したが、スルーホールには
十分良好にWが埋め込まれているのが確認されたばかり
か、絶縁膜上には全くWの粒子が観測されず、選択性が
極めて良好であることを確認した。
【0065】次に、本発明によるCVDリアクタ装置の
性能評価を行ったのでこれについて説明する。
【0066】本発明CVDリアクタ装置の特徴であるウ
エハ2表面のみへの成膜が、実際にどの程度のレベルで
行なわれているのかを調べるために以下の性能評価を行
った。
【0067】(1)本発明リアクタ内での反応量の評価 ウエハ2以外の部分でのCVD反応量を図11に示した
四重極質量分析計(以下QMSと略す)18を用いて評価
した。
【0068】用いたサンプルは、全面成膜ではSiベア
ウエハを用い、選択成膜では上記したスルーホール付ウ
エハを用い、成膜条件は全く同じに行なった。また、ウ
エハ上に全く成膜させないためのウエハとして、全面に
熱酸化によって形成したSiO2付きのSiウエハを用い
た。この熱酸化で形成したSiO2表面は表面欠陥がな
く、原理的にはウエハ表面上で成膜する部分が全くない
ため、仮にQMS18で反応が検出された場合にはウエ
ハ表面以外で反応した成分と考えられる。
【0069】選択成膜では、図12(a)に示したよう
に、前処理室15でBC13/Ar=5/100sccm(BC1
3、Arそれぞれの流量が、5sccm、100sccmであるこ
とを表わす),1.3Paの条件下において、150W,3min
のプラズマ処理を行った。ただし、BC13前処理後は、
加熱処理をさらに実施しないと成膜ラグタイムが増加す
る。そこでこのウエハをCVD室9に入れて350℃、3m
inの加熱処理をした後、CVDを実施した。選択性を確
保するためにCVDガスの導入はWF6を5sec先行させ
た後SiH4を流した。また全面成膜では、図12(b)に
示したプロセスで成膜した。さらに、熱酸化によって形
成したSiO2上への空デポでは、前処理を行なわずに上
記選択成膜と同じCVD条件で行なった。
【0070】まず、熱酸化膜によるSiO2ウエハを用い
て、QMS18における分析管内の反応による反応ガス
成分あるいは電気的なノイズ成分を明らかにするため
に、ウエハ加熱を行なわず、すなわち成膜反応は全くな
くCVD原料ガスが分析管内に入った状態でのSiF3+
イオンの量をQMS18により測定した。次に、ランプ
を点灯しウエハを230℃に加熱して、SiF3+の量を測
定し、リアクタ9内での反応量を測定した。次に、比較
のためにSiウエハ及び、選択性評価用ウエハを用い、
全面及び選択CVD時のSiF3+量についても測定し
た。
【0071】このようにして得たリアクタ9内のCVD
反応量を評価した結果を図16に示してある.ところ
で、CVD反応は次式のいずれかで表される。
【0072】
【化2】 WF6+2SiH4 ⇒ W+2SiHF3+3H2 …… (化2)
【0073】
【化3】 2WF6+3SiH4 ⇒ 2W+3SiF4+6H2 …… (化3) したがって、反応生成物SiF4あるいはSiHF3がイオ
ン化したSiF3+をモニタすることにより反応の有無を
判断することができる。図16よりわかるように全面C
VDでのSiF3+の相対ピーク強度が104、選択CVD
時が5×102であるのに対し、SiO2ウエハでのピーク強
度は1.1であり、これはウエハ加熱無しの場合のピーク
強度1とほぼ等しくノイズレベルと考えられる。
【0074】これにより、ウエハを除くリアクタの内
壁、ウエハ支持部等の、リアクタ内部での反応は、全面
CVDの場合のウエハでの反応量の1/104以下、選択C
VDの場合のウエハ反応量の1/102以下に抑制されてい
ると言える。
【0075】さらに上記の評価実験における成膜時のS
iF3+ピーク強度の時間変化を図17および図18に示
してある。図17は全面成膜時のSiF3+ピーク強度の
時間変化を示す説明図、図18は選択成膜時のSiF3+
ピーク強度の時間変化を示す説明図である。選択成膜時
では、前処理を行なった後に加熱処理を行なっても成膜
開始までの遅れ時間が発生していることが分かる。
【0076】(2)ウエハ裏面へのArガス供給量とウエ
ハ裏面への膜堆積の評価 図19はウエハ2の裏面側に流すArガス供給量を変化
させた時のウエハ2裏面での膜堆積の状態を示す説明図
である。ここでは、CVDの条件が変化しないよう、ウ
エハシャワー1から流す希釈Arガス流量(Ar(上)と略
記する)とウエハ2裏面に流すArガス供給量(Ar(下)と
略記する)を合わせたトータルArガス流量が一定となる
流量条件で、ウエハ裏面へのArガス供給量を変化させ
た。
【0077】この結果を示す図19によると、CVDガ
スを導入した直後はQMS分析管内での反応分に相当す
るピーク強度の変化は観測されるが、時間の経過に伴っ
たウエハ2裏面側での成膜に対応するピーク強度の増加
分はほとんど検出されない。すなわち、Ar(上)/Ar
(下)=60/50(sccm)の流量条件下ではウエハ2裏面側で
の膜堆積は観測されない。
【0078】図20、図21は、流量条件を夫々、Ar
(上)/Ar(下)=80/30(sccm)、Ar(上)/Ar(下)=100
/10(sccm)とウエハ裏面側のArガスパージ量を減らし
ていった時のピーク強度の変化を示す説明図である。こ
の図20及び図21に示すように、ウェハ裏面側のAr
ガスパージ量の低減に伴い、徐々に裏面側の堆積反応量
が増加している。この評価で用いたSiO2付ウエハは熱
酸化によって形成したため、ウエハ裏面も完全にSiO2
で覆われており、CVD後に装置から取り出したウエハ
を目視した限りでは成膜した痕跡がなく、したがってウ
エハ裏面側の空間Eu において唯一高温となるウエハ支
持ピン6に成膜したものと予測される。
【0079】上記の評価から、ある程度の流量で不活性
ガスによるパージを行なえば、本発明のCVDリアクタ
装置では完全にウエハ裏面側への膜堆積が抑制されるこ
とが明らかとなった。ただし、Ar流路断面積とAr流量
から計算すると、ガス流量が50(sccm)の時、ウエハ表
面にはウエハ抑え部材5とSiウエハの隙間から約330m
/secの勢いでArガスが流れることとなり、膜厚分布へ
の影響について次に検討した結果を述べる。
【0080】 (3)ウエハ表面に成膜したW膜厚分布の評価 上記の評価において、Ar(下)流量をある程度増加すれ
ば完全にウェハ裏面への膜堆積を抑えられることを示し
たがその反面、Ar(下)流量を増加することによる膜厚
分布の低下が予測される。そこで、上記Ar(下)流量の
影響を調べるため、全面CVDを行った。ここで、ウエ
ハ2はSi基板を用い、プラズマ前処理は、全面成膜で
あるので図12(b)に示したAr100sccmのみでスパッタ
エッチを実施し、成膜時間は20minとした。
【0081】膜厚分布の測定は、触針式段差計により行
なった。Wの段差は、成膜後のW膜上にエレクトロワッ
クスでマスクし、W膜をH2O2でエッチングした後、ワ
ックスをトリクロロメタン(クロロホルム)により除去す
ることで、形成した。ワックスによるマスクの間隔は、
ウェハ周辺部は1mmとし、それ以外は20mmとした。
【0082】図22は全面成膜ウエハの膜厚分布のパー
ジArガス流量依存性を示す説明図である。横軸はオリ
フラに平行な軸におけるウエハ2中央からの距離を示
し、縦軸は膜厚分布の平均値に対するバラツキを示し
た。図22から明らかなように、膜厚分布は、裏面Ar
ガスの流量が増えるほど悪くなる傾向にあり、裏面Ar
ガス流量10sccmで±2%、50sccmでは±8%(5インチ
ウエハ2において直径120mm以内の領域での膜厚分布の
標準偏差)となり、予想通り膜厚分布が低下した。しか
し、本実施例におけるウエハ2表面とウエハ押さえ部材
5間のAr流出隙間がd=0.25mmあり、この隙間dのギャ
ップを小さくしてArパージ流量を減らすことにより、
膜厚分布の向上が図れるものと予測される。さらに、加
熱ランプの光照射強度分布によるウエハ2の温度分布の
影響も含んでおり、これらの改善によりさらに上記膜厚
分布を向上出来るものと考えられる。しかし、本実施例
はWの選択CVDについて述べたが、プロセス条件(例
えば、ガス流量条件、リアクタ内圧力)が変わると最適
なギャップも変わって来るため、本実施例で示したd=
0.25mmという値が特別な意味を持つ値ではない。
【0083】図23はウエハエッジ部の膜厚分布を示す
説明図である。ウエハ抑え部材5の端はウエハ2の端か
らおよそ1.3mmにあると考えられる。膜厚の分布はウエ
ハ端からおよそ2mmでほぼ完全に立ち上がっており、こ
のデータを見るかぎりウエハエッジ部でパージArによ
りCVDガス濃度が薄くなりエッジ部の膜厚が薄くなる
という問題は生じてない。パージArのエッジ部のW膜
厚への影響は問題にならない程度であることが確認され
た。
【0084】 (4)連続成膜における選択性破れの処理枚数依存性評価 本発明によるCVDリアクタ装置では、ウエハ2表面以
外での不要な膜堆積が生じないことを既に示した。すな
わち、本CVDリアクタがチャンバクリーニングなしに
連続処理が可能であることを意味している。そこで、チ
ャンバのクリーニングなしに連続成膜を行った際のリア
クタ性能の劣化を加速的に評価した。成膜条件の詳細及
びウエハ2の流れについては図25の表に示した。ここ
では、このリアクタ性能の劣化が、ウエハ2の連続処理
における熱酸化膜(以後SiO2と略す)上での選択性破れ
によるW粒子発生個数の増加であると定義した。ここで
は、1枚あたり通常の10倍の時間でSiベアウエハを用
いて全面成膜したウエハ2を100枚連続で処理するとい
った加速的な処理を、SiO2上への選択成膜の間に入れ
評価し、全体で1011枚の成膜を行った。異物個数の測定
評価では、あらかじめ異物数の測定済みの熱酸化膜付き
ウエハ2を用いた。SiO2上デポでの成膜条件は、選択
成膜において成膜量が0.4μmとなるような条件に設定
した。全面成膜にはSiベアウエハを用い、W膜の接着
性を高めるためにSi還元反応を1分間行った後SiH4還
元による全面成膜を20分行った。
【0085】図24は選択性の破れの成膜枚数依存性を
示す説明図である。横軸にチャンバのクリーニングをせ
ずに成膜を行った延べの成膜枚数及び積算成膜量を示
し、縦軸は全面成膜100枚毎に成膜処理を行った酸化膜
表面上の0.3μm以上の異物数を表す。図24中、初期
値は成膜処理前の酸化膜上の異物数であり、ウエハ2に
よってバラツキはあるが、およそ2〜18個のレベルであ
った。これに対し、成膜後の異物数は20〜60個の値を示
し、ほぼ一定のレベルであった。この値はバックグラウ
ンドレベルとほぼ同じである。ここで云う、バックグラ
ウンドレベルとは、成膜による異物の付着ではなく、ウ
エハハンドリングやウエハ2をガスに曝すことにより生
じた異物数の平均値である。ウエハを真空ピンセットで
ウエハケースから別のウエハケースに移すウエハハンド
リングは1回、ガスに曝す処理については、実際の成膜
ガスで3回、Arガスで1回行った結果、バックグラウン
ドレベルは34個/ウエハであった。
【0086】上記に対し、実際に成膜した場合の異物数
の平均値は48個/ウエハであった。従って、上記のバッ
クグラウンドレベルを差し引いた、すなわち純粋に成膜
によって付着したと考えられる発生異物数は14個/ウエ
ハとなり、5インチウエハ上におけるLSIチップ数の
1/5程度であり、許容限度内と考えられる。さらに、
この値はウエハの処理枚数に依存せず概ね一定であるこ
とから、CVDリアクターのクリーニングなしでも異物
が増加しないことを示している。
【0087】今回の加速的に行った連続成膜がクリーニ
ングを必要とせずに1000枚まで可能であったことを通常
の選択成膜プロセスでの処理枚数に置き換えると以下の
ようになる。ここでは、仮にウエハ面積の1/100の領
域への選択成膜を考える。加速試験での1枚の全面成膜
では、20分で1.2μmの膜が堆積し、これは0.4μmの膜
厚を2minで成膜する選択成膜に換算すれば、成膜量で3
00枚に、成膜時間で10枚に相当する。したがって、1000
枚の連続成膜は、成膜量で300000枚、成膜時間では1000
0枚の選択成膜がチャンバクリーニング無しに連続処理
できると見積もれる。上記の見積もりでは、ウエハ面積
の1/100の領域への選択成膜を仮定したが、実際の一
般に行われる微細スルーホールへのタングステン埋込み
を目的とした選択成膜では、微細スルーホールの開口面
積がウエハ面積の1/1000以下になることが推定され、
上記の見積もり以上の枚数において連続成膜できるもの
と考えられる。以上から、本発明によるCVDリアクタ
装置が1枚毎のクリーニングを必要とせず、それに起因
するスループットの低下に対し十分な効果のあることが
確認できた。さらに、時間的制約上連続処理1000枚まで
しか評価出来なかったが、実験終了後のチャンバ内部を
目視観察した限りでは、なお継続的な連続処理が可能で
あると考えられる。
【0088】以上、本発明の実施例を述べてきたが、本
発明は上述したWの選択成膜の場合に限らず、Al、M
o、Cu等のメタルの選択CVD工程に適用できる事はも
ちろん、ウエハの側面や裏面に成膜を望まないブランケ
ットCVD(全面CVD)工程に対して、メタル膜、絶縁
膜、半導体膜の熱CVD、さらに成膜反応の律速過程が
表面の熱反応である限りプラズマCVDであっても適用
できることはもちろんである。
【0089】〔実施例2〕次に、本発明によるCVDリ
アクタ装置を用いた場合には、その特徴であるウエハ2
表面のみでしか反応しないことを活かして、成膜反応モ
ニタを接続することにより、従来には得られなかった効
果を調べた実施例を以下に述べる。すなわち、本発明に
よるCVDリアクタ装置では、実際にデバイス製作上必
要となる情報が得られるため、リアルタイムにモニタし
て、このモニタデータをプロセス条件制御に利用した
り、または製品ウエハの品質保証に用いることにより、
プロセス中のバラツキ低減や、自動運転化の推進により
生産性向上に寄与できることを以下に示す。また、チャ
ンバクリーニングの必要がないことから、プラズマダメ
ージによる部品劣化に伴う経時変化がないため、温度モ
ニタを本発明のリアクタ装置へ適用した場合、プロセス
条件制御や製品ウエハの品質保証が可能となることも以
下に述べる。
【0090】図26は、本発明におけるCVDリアクタ
装置の反応ガスモニタと制御系を連結した第2、第3の
実施例のCVD装置図である。
【0091】本図は先に本発明のCVDリアクタがウエ
ハ表面以外の不要な部分に成膜が起こらないことを説明
するために示した図11とほぼ同じ構成であるが、本図
では図11に加え、反応ガスモニタである四重極質量分
析計(QMS)18からのモニタデータを制御用コンピュ
ータ35に伝達し、反応状態に応じてバルブ開閉制御ユ
ニットを用い、ガス(WF6)導入開閉バルブ34の駆動
制御を行っている。さらに図26では、所定のウエハ温
度に安定制御するために、ファイバーケーブル27を経
由した赤外放射温度計26からのウエハ温度モニタデー
タを用いて、ランプ制御電源32によって加熱ランプ3
1の強度をコントロールしている。
【0092】また、図27は、本発明におけるCVDリ
アクタ装置と制御系を連結した第4の実施例のCVD装
置図であるが、ウエハ温度モニタの方式がファイバーケ
ーブル27を用いず、ウエハ加熱を図8に示すヒータス
テージ14を用いて行った以外は図26と同じ構成であ
る。
【0093】先ず、成膜した後の個々のウエハ間におけ
る膜厚のバラツキが抑えられることを、W膜をウエハ全
面に成膜する工程を例にとって説明する。
【0094】先のSiH4ガスの還元反応とは異なり、こ
こで対象とするH2ガスの還元によるCVD反応は次式
のように表される。
【0095】
【化4】WF6+H2 ⇒ W+6HF …… (化4) そこで、反応生成ガスであるHFをモニタすることによ
り、W膜の成長状況を把握することができる。図28
(a)は、従来の反応ガスモニタを用いない場合のCVD
工程図であり、(b)は本発明において反応ガスモニタを
用いた場合のCVD工程図である。従来方法の工程を説
明した図28(a)は、先の図12(b)において示した工
程と還元ガスがSiH4からH2に変わった事以外は殆ど
同じである。しかし、本発明の工程である図28(b)で
は、W成膜中に反応ガスモニタによりHFガスをHF+
としてモニタし、制御用コンピューター35でHF+イ
オン強度を積算し、その積算量が最初に設定した値とな
ったところで、バルブ開閉ユニットおよび制御用コンピ
ューター35によりガス導入開閉バルブ34を閉じてW
F6の導入を停止することで、常に所望した成膜量が得
られるように制御している部分が、従来と異なってい
る。この成膜中の反応ガスをモニタした結果を図29に
示す。
【0096】図29は、本発明の実施例におけるCVD
中のHF+ピーク強度の時間変化を示した図であり、W
F6ガスを導入してもすぐには成膜反応は開始せず、あ
る程度の遅れ時間の後に反応が開始していることが分か
る。この遅れ時間を成膜遅れ時間と呼ぶ。さらに、予め
設定した値とイオン強度積分量が等しくなったところで
WF6ガスの導入を停止したが、速やかに反応が停止し
ていることも分かる。
【0097】ここで、QMS18からのデータを受け取
っている制御用コンピューター35では、実際にWF6
ガスが導入される前からHF+ピーク強度のノイズレベ
ルを算出するための測定を開始しており、WF6ガスが
導入された後のHF+ピーク強度から上記ノイズを引い
た上で積分演算を行っている。本実施例における成膜反
応量に相当するイオン強度積分量を図29の網掛け部分
に示した。
【0098】本発明の膜厚バラツキを抑える効果を実証
するために、従来の単にCVD原料ガスの導入時間を成
膜時間として成膜した場合の膜厚バラツキと、本発明の
反応ガスモニタによる制御を行って成膜した場合の膜厚
バラツキを比較した。
【0099】図30は、成膜遅れ時間が変化するように
意図的に表面酸化膜エッチング前処理時間を変化させた
場合のウエハ上で、成膜時間を変化させた場合の成膜時
間と膜厚の関係を示す図であり、図31は、上記と同じ
ものをイオン強度積分量と膜厚の関係に置き換えた場合
の図である。ここで、従来の成膜時間(原料ガスの導入
から停止までの時間を成膜時間とした)だけで膜厚を制
御しようとした場合には、図30に示されたように、成
膜前のウエハ表面状態の違い(ここでは、エッチング前
処理時間の違い)により大きく膜厚が変動するのに対
し、本発明のイオン強度積分量による膜厚制御を行った
場合には、図31に示されたように、約2%程度の精度
で膜厚バラツキが抑えられることが実証できた。
【0100】次に、リアクタに温度モニタを導入した場
合、従来のリアクタに適用した場合と比較して、本発明
のリアクタではチャンバクリーニングの必要がないこと
から、プラズマダメージによる部品劣化に伴う経時変化
がないことを以下に述べる。〔実施例1〕において図
1、図5、図8に示したリアクタ構造に対して、ウエハ
温度モニタを連結するための改造を行った後の本発明の
CVDリアクタ装置の第1から第4の実施例のリアクタ
断面図を図32から図35に示した。
【0101】本発明のウエハ温度モニタでは、ウエハ裏
面からの赤外放射光を石英製ロッド28で集光してリア
クタ外に導出する方法、及び直接赤外線透過窓30を通
してレンズで集光する方法を用いた。
【0102】図32及び図33に示した光照射によるウ
エハ加熱では、石英製ロッド28は直径1.5mmの石英棒
を1回曲げたものを用い、受光端部がCVDの原料ガス
や反応生成ガス(図示せず)に接触することを防ぐため
のパージArガスの導入口12に接続された管状の遮光
カバー29により保護されている。また、この管状のカ
バーは温度測定のノイズとなる照射光が石英ロッド28
の側面より入射することを防ぐ働きがある。石英製ロッ
ド28は直径1mmのファイバーケーブル(図示せず)に
直接接続され、これにより、ウエハからの放射光は放射
温度計26に伝送される。ここで得られたウエハ温度の
データは、放射温度計26(図26に示す)に接続され
たランプ制御電源32に入力され、加熱ランプ31から
の照射強度が所定のウエハ温度になるようにフィードバ
ック制御するのに用いられる。
【0103】また、図34に示したヒータステージ14
によるウエハ加熱では、加熱ランプ31によるウエハ温
度モニタの配置上の制約がないことから直状の石英製ロ
ッド28を用い、特に照射光によるノイズを抑える必要
性がないことから遮光カバーも設けていない。さらに、
ヒータステージ14によるウエハ加熱による図35に示
した方法では、石英製ロッド28を用いずに、ウエハ裏
面からの赤外放射光を直接赤外線透過窓30を通してレ
ンズで集光する方法を用いた。
【0104】次に図29に示した成膜条件において、H
2ガスの還元によるWの全面成膜を行い、その時に表示
される赤外線温度計26の読みを処理枚数を追って変化
していく様子を図36に示した。ここでは、同時に同じ
赤外線温度計26を従来方式の市販装置に適用し、全く
同じ成膜条件でWの全面成膜を行った時の赤外線温度計
26の読みを比較して示した。ただし、従来方式の市販
装置では、本発明のリアクタとは異なり、ウエハ表面以
外にも成膜が起こるため、ウエハを1枚処理する度にチ
ャンバークリーニングを行った。
【0105】図36から明らかなように、従来方式の市
販装置では1枚目(初期性能)の成膜後のチャンバクリ
ーニングからウエハ表示温度が低下し、4、5枚目まで
著しく、その後は緩やかな低下が観測されたのに対し、
本発明のリアクタ装置では、全くウエハ表示温度の低下
は見られなかった。従来方式での低下は、ウエハ2裏面
から放射される赤外光の集光面となる石英製ロッド28
の端面が、チャンバクリーニング中のプラズマダメージ
によって徐々に粗くなり、集光効率が低下したためと考
えられる。実際にこの評価を行った後に石英製ロッド2
8の端面を目視したところ、本発明のリアクタ装置に導
入した石英製ロッド28では全く劣化がなかったのに対
し、従来方式の石英製ロッド28では端面部が白く曇っ
ているのが観測された。
【0106】さらに、上記で評価した赤外線温度計の初
期性能と、本発明のリアクタと従来のリアクタで20枚
の成膜処理を行った後の性能を比較した。この結果を図
37に示す。従来方式のリアクタ装置に導入した石英製
ロッド28では、端面部が白く曇ったために集光効率が
低下したため実際のウエハ温度よりも低く表示するよう
になっただけではなく、端面部の表面の粗れにより、ウ
エハ裏面からの放射光以外からの散乱光を拾い易くなっ
たために測定下限温度が上昇している。これに対し、本
発明のリアクタ装置に接続した赤外放射温度計では、ウ
エハの処理後も初期性能と全く変わらない性能が得られ
ている。
【0107】上記のように、本発明のリアクタ装置では
チャンバクリーニングを必要としないため、リアクタ内
に導入したモニタに用いる部品がプラズマダメージによ
る劣化を伴わないため、経時変化なしに安定してプロセ
ス状態(ここではウエハ温度)をモニタすることが可能
となる。
【0108】
【発明の効果】以上説明したように、請求項1記載の発
明によれば、シリコンウエハ表面の所望する部分のみに
高選択でCVD膜を成長させることができるため、スル
−ホ−ルなどの微細接続孔のCVD穴埋めが必要なLS
Iや計算機等の多層プリント板等の多層配線の信頼性向
上に寄与することができる。また、CVD反応室内部を
含め、不要な部分での膜形成を防止できるため、CVD
成膜工程全般における膜剥がれに起因する異物不良低減
にも多大の効果が有る。またCVD前のチャンバークリ
ーニングが不要となることから、スループットの向上が
図れ、チャンバーからの発塵も低減でき長時間の連続運
転が可能になることから稼働率が向上する。
【0109】請求項2記載の発明によれば、選択性の低
下を防止するため、成膜の必要のないウエハの裏面、側
面及びウエハ表面の端部に供給するガスの流路と成膜が
必要なウエハ表面に供給するガスの流路を分け、かつウ
エハのみがCVD反応の起こる温度以上にしてあり、か
つウエハの側面を通ってウエハ裏面に廻り込もうとする
反応性ガスがウエハ裏面側の不活性ガスによりパージさ
れ、ウエハ側面や裏面に吸着できないので、ウエハの表
面側に流入されるWF6やSiH4等のCVD反応ガスは
実質上、ウエハ端部を固定するウエハ抑え部材より内側
のウエハ表面部にしか吸着しない。
【0110】請求項3記載の発明によれば、ウエハ以外
ではCVD反応の生ずる温度にはならないので、CVD
反応はウエハ表面側の所望する領域のみで起こり、ウエ
ハ端部、及びリアクタ内表面等で起こらない。このこと
は、不必要なCVD反応が抑制されることを意味してお
り、従来のウエハ全面の成膜におけるウエハ表面以外の
リアクタ内壁やサセプタ表面で前記した反応によって生
じる活性物質の量を低減でき、結果として選択性を向上
させることができる。
【0111】請求項4記載の発明によれば、ウエハへの
直接光照射による場合は、プロセス中にウエハ温度を応
答性良く変えることができる。
【0112】請求項5、6及び7記載の発明によれば、
加熱手段がCVDリアクタ外部にあるランプ等の加熱光
源からの放射光を透過窓を通して照射し加熱されたウエ
ハ裏面側に設置しウエハに接触したサセプタからの熱伝
導及び熱輻射であることから、比較的簡単に温度分布を
均一にさせやすいという特徴がある。
【0113】請求項8、9及び10記載の発明によれ
ば、加熱手段がウエハに接触してウエハ裏面側に設置し
た抵抗加熱等により加熱されたヒータステージからの熱
伝導及び熱輻射としていることから、比較的簡単に温度
分布を均一にさせやすいという特徴がある。
【0114】請求項11記載の発明によれば、さらに、
前処理室等複数の処理室に対するウエハの搬送もできる
ため、将来必要とされる薄膜成膜工程の複合化、連続自
動化に寄与するところ大である。
【0115】請求項12及び14記載の発明によれば、
実際にデバイス製作上必要となる情報のみを得、リアル
タイムにプロセス条件制御や製品ウエハの品質保証が可
能となり、プロセス中のバラツキを低減できるため、自
動運転化を推進し生産性向上に寄与できるという特徴が
ある。
【0116】請求項12及び15記載の発明によれば、
ウエハ以外ではCVD反応の生ずる温度にはならず、C
VD反応がウエハ表面側の所望する領域のみで起こるた
め、モニタの対象が実際にデバイス製作上必要となる反
応進行モニタの情報を得た上で、リアルタイムのプロセ
ス条件制御や製品ウエハの品質保証が可能となり、プロ
セス中のバラツキを低減できるため、自動運転化を推進
し生産性向上に寄与できるという特徴がある。
【0117】請求項13、16及び17記載の発明によ
れば、ウエハ表面以外での不要な膜形成が起こらずチャ
ンバクリーニングを行う必要がなくなることから、プラ
ズマダメージによる温度モニタ部品の劣化に伴う経時変
化なしに上記基板の温度をモニタできるため、リアルタ
イムのプロセス条件制御や製品ウエハの品質保証が可能
となり、プロセス中のバラツキを低減できるため、自動
運転化を推進し生産性向上に寄与できるという特徴があ
る。
【0118】請求項14記載の発明によれば、反応進行
モニタと温度をモニタが同時にモニタできるため、より
精緻なリアルタイムのプロセス条件制御や製品ウエハの
品質保証が可能となり、プロセス中のバラツキを低減で
きるため、自動運転化を推進し生産性向上に寄与できる
という特徴がある。
【図面の簡単な説明】
【図1】本発明におけるCVDリアクタ装置の第1の実
施例を示す断面図である。
【図2】第1のCVDリアクタ装置におけるパージガス
流路での、ウエハ引き上げピン、ウエハ抑え部材とウエ
ハの位置関係を示す断面斜視図である。
【図3】第1のCVDリアクタ装置におけるパージガス
流路での、ウエハ支持ピン、ウエハ抑え部材とウエハの
位置関係を示す断面斜視図である。
【図4】第1のCVDリアクタ装置におけるパージガス
流路での、図3と異なるウエハ支持ピン、ウエハ抑え部
材とウエハの位置関係を示す断面斜視図である。
【図5】本発明におけるCVDリアクタ装置の第2実施
例を示す断面図である。
【図6】第2のCVDリアクタ装置におけるパージガス
流路での、ウエハ引き上げピン、ウエハ抑え部材とウエ
ハの位置関係を示す断面斜視図である。
【図7】第2のCVDリアクタ装置におけるパージガス
流路での、ウエハ支持ピン、ウエハ抑え部材とウエハの
位置関係を示す断面斜視図である。
【図8】本発明におけるCVDリアクタ装置の第3実施
例を示す断面図である。
【図9】第3のCVDリアクタ装置におけるパージガス
流路での、ウエハ引き上げピン、ウエハ抑え部材とウエ
ハの位置関係を示す断面斜視図である。
【図10】第3のCVDリアクタ装置におけるパージガ
ス流路での、ウエハ支持ピン、ウエハ抑え部材とウエハ
の位置関係を示す断面斜視図である。
【図11】本発明におけるCVD装置およびQMSシス
テムを接続した概要図である。
【図12】(a)は本発明の実施例の選択CVDにおける
工程図である。(b)は本発明の実施例の全面CVDにお
ける工程図である。
【図13】本発明のCVDリアクタ装置における搬送方
法を示し、CVDリアクタにウエハフォークを挿入した
状態の説明図である。
【図14】本発明のCVDリアクタ装置における搬送方
法を示し、ウエハを引き上げた状態の説明図である。
【図15】本発明のCVDリアクタ装置における搬送方
法を示し、CVD中あるいはその前後においてウエハを
下げた状態の説明図である。
【図16】本発明のCVDリアクタ内部での反応量の比
較を示す説明図である。
【図17】本発明の実施例におけるCVD中のSiF3+
ピーク強度の時間変化を示し、選択CVDを行った時の
説明図である。
【図18】本発明の実施例におけるCVD中のSiF3+
ピーク強度の時間変化を示し、全面CVDを行った時の
説明図である。
【図19】本発明の実施例において、熱酸化膜付きウエ
ハ上でウエハ表面(上)側とウエハ裏面(下)側に流すパー
ジ用Arガスの流量を変化させた時のCVD中のSiF3+
ピーク強度の時間変化を示し、Ar(上)Ar(下)=60/50
(sccm)の時の説明図である。
【図20】本発明の実施例において、熱酸化膜付きウエ
ハ上でウエハ表面(上)側とウエハ裏面(下)側に流すパー
ジ用Arガスの流量を変化させた時のCVD中のSiF3+
ピーク強度の時間変化を示し、Ar(上)Ar(下)=80/30
(sccm)の時の説明図である。
【図21】本発明の実施例において、熱酸化膜付きウエ
ハ上でウエハ表面(上)側とウエハ裏面(下)側に流すパー
ジ用Arガスの流量を変化させた時のCVD中のSiF3+
ピーク強度の時間変化を示し、Ar(上)Ar(下)=100/10
(sccm)の時の説明図である。
【図22】本発明の実施例におけるW膜厚分布の裏面パ
ージガス流量依存性を示した図である。
【図23】本発明の実施例におけるウエハエッジ部での
W膜厚分布を示した図である。
【図24】本発明の実施例において、チャンバークリー
ニングなしに連続的に処理を行った場合の選択性破れの
処理枚数依存性を示す説明図である。
【図25】成膜条件の詳細及びウエハの流れを示す説明
図である。
【図26】本発明におけるCVDリアクタ装置のモニタ
と連結した第1の実施例のCVD装置図である。
【図27】本発明におけるCVDリアクタ装置のモニタ
と連結した第2の実施例のCVD装置図である。
【図28】(a)は、従来の反応ガスモニタを用いない場
合のCVD工程図である。(b)は本発明において反応ガ
スモニタを用いた場合のCVD工程図である。
【図29】本発明の実施例におけるCVD中のHF+ピ
ーク強度の時間変化を示した図である。
【図30】本発明の実施例において、前処理時間を変化
させた場合のウエハ上で、成膜量を変えた際に、従来の
反応ガスモニタを用いない場合における成膜時間と膜厚
の関係を示す図である。
【図31】本発明の実施例において、前処理時間を変化
させた場合のウエハ上で、成膜量を変えた際に、本発明
による反応ガスモニタを用いた場合におけるイオン強度
積分量と膜厚の関係を示す図である。
【図32】本発明におけるCVDリアクタ装置のモニタ
と連結した第1の実施例のCVDリアクタの断面図であ
る。
【図33】本発明におけるCVDリアクタ装置のモニタ
と連結した第2の実施例のCVDリアクタの断面図であ
る。
【図34】本発明におけるCVDリアクタ装置のモニタ
と連結した第3の実施例のCVDリアクタの断面図であ
る。
【図35】本発明におけるCVDリアクタ装置のモニタ
と連結した第4の実施例のCVDリアクタの断面図であ
る。は本発明の実施例の選択CVDにおける工程図であ
る。
【図36】本発明の実施例において、本発明のリアクタ
と従来のリアクタでウエハを処理したのに伴う赤外放射
温度計によるウエハ温度計測での経時変化を比較して示
した図である。
【図37】本発明の実施例において、本発明のリアクタ
と従来のリアクタでウエハを処理した後に、どのように
赤外放射温度計の性能特性が変化したかを比較して示し
た図である。
【符号の説明】
1…ガスシャワー、2…ウエハ、3…ウエハ引き上げロ
ッド、4a…ウエハ引上げロッド上下機構、4b…ガス
シャワー上下機構、5…ウエハ抑え部材、6、6a…ウ
エハ支持ピン、7…ウエハ引き上げピン、8…光照射
窓、9…CVDリアクタ、10…リアクタ底部リング
部、11…Oリングシール、12…不活性ガス導入パイ
プ、13…ウエハサセプタ、13a…切欠き部、14…
ヒータステージ、14a…切欠き部、15…前処理室、
16…ロード/アンロード室、17…ウエハ搬送室、1
8…QMS分析管、19…コンダクタンス調整バルブ、
20…ターボ分子ポンプ、21…ロータリーポンプ、2
2…RF電源、23…QMS電源本体、24…ゲートバ
ルブ、25…ウエハフォーク、26…赤外放射温度計、
27…ファイバーケーブル、28…石英製ロッド、29
…遮光用カバー、30…赤外線透過窓、31…加熱ラン
プ、32…ランプ制御電源、33…ヒータ制御電源、3
4…ガス導入開閉バルブ、35…制御用コンピュータ及
びバルブ開閉制御ユニット
───────────────────────────────────────────────────── フロントページの続き (72)発明者 内田 憲宏 神奈川県横浜市戸塚区吉田町292番地株式 会社日立製作所生産技術研究所内 (72)発明者 小林 秀 神奈川県横浜市戸塚区吉田町292番地株式 会社日立製作所生産技術研究所内 (72)発明者 田村 直行 山口県下松市東豊井794番地株式会社日立 製作所笠戸工場内

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】リアクタ内で反応性ガスにより基板表面に
    CVD反応膜を形成するCVDリアクタ装置において、 上記リアクタ内に設置された基板の表面の周辺部を抑
    え、該基板の基板表面側と基板裏面側とに上記リアクタ
    内の空間を分離し、かつ、上記抑えた基板の表面の周辺
    部との間に、上記基板表面側の空間と基板裏面側の空間
    を連通する隙間を生ぜしめる突起を設けた基板抑え部材
    と、 上記基板表面側の空間に少なくともCVD原料ガスを含
    むガスを供給する手段と、 上記基板裏面側の空間にCVD原料ガスを含まない不活
    性ガスを上記基板表面側の空間内の圧力よりも高い圧力
    となるように供給し、上記基板裏面側の空間に供給した
    ガスを上記隙間から低い圧力側の上記基板表面側の空間
    に流出させて、上記基板表面側のCVD原料ガスが上記
    基板裏面および上記基板表面周辺部に接することを抑え
    る手段と、 上記基板を加熱する手段と、 上記基板表面側の空間のCVD原料ガスの接する上記リ
    アクタ内壁の表面温度を、上記基板表面を除いて、成膜
    下限温度以下に冷却する手段を備えたことを特徴とした
    CVDリアクタ装置。
  2. 【請求項2】反応性ガスにより基板表面にCVD反応膜
    を形成するCVDリアクタ装置において、 上記リアクタ内に設置された基板の表面の周辺部を抑
    え、該基板の基板表面側と基板裏面側とに上記リアクタ
    内の空間を分離し、かつ、上記抑えた基板の表面の周辺
    部との間に、上記基板表面側の空間と基板裏面側の空間
    を連通する隙間を生ぜしめる突起を設けた、リング状あ
    るいは額縁状の基板抑え部材と、 上記基板抑え部材を上下に移動させる基板抑え部材移動
    手段と、 上記基板抑え部材に固定され、上記基板裏面を外側から
    引っかけて上記基板を引き上げるための複数個の引き上
    げピンと、 上記基板抑え部材の下方に位置し、上記基板の外側で上
    記基板を囲むように上記基板抑え部材と接触するリング
    状あるいは額縁状のストッパと、 上記基板抑え部材とストッパの接触部を気密シ−ルする
    シール手段と、 上記ストッパの内側に固定され、上記基板が上記基板抑
    え部材により押し下げられたとき、上記基板を破損させ
    ることのない力で下方から押し上げて、自身は下方に屈
    曲する複数個のバネ状の基板支持ピンと、 上記基板表面側から反応性ガスを基板表面上に供給する
    ガス導入手段と、 上記反応性ガスが上記基板裏面側に流れ込むのを防ぐた
    め、上記基板裏面側の、上記ストッパの内壁面、上記基
    板抑え部材の下面及び上記リアクタの底部で囲まれる空
    間に不活性ガスを供給する不活性ガス供給手段とを有す
    ることを特徴とするCVDリアクタ装置。
  3. 【請求項3】上記基板表面を除く上記基板表面側の空間
    に接する上記リアクタ内壁、上記ガス導入手段表面、上
    記基板抑え部材の上面等の、反応性ガスの接する表面の
    温度を、成膜反応成膜下限温度以下に冷却する手段を有
    することを特徴とする請求項2記載のCVDリアクタ装
    置。
  4. 【請求項4】上記基板を加熱する手段が、上記CVDリ
    アクタ外部にあるランプ等の加熱光源からの放射光を透
    過窓を通して上記基板へ直接照射することを特徴とする
    請求項1記載のCVDリアクタ装置。
  5. 【請求項5】上記基板を加熱する手段が、上記CVDリ
    アクタ外部にあるランプ等の加熱光源からの放射光を透
    過窓を通して照射し加熱されるサセプタを有し、このサ
    セプタを上記基板裏面側に設置して上記基板に接触させ
    てサセプタから熱伝導および熱輻射させるようにしたこ
    とを特徴とする請求項1記載のCVDリアクタ装置。
  6. 【請求項6】上記CVDリアクタ外部にあるランプ等の
    加熱光源からの放射光を透過窓を通して照射し加熱され
    るサセプタを有し、このサセプタを上記基板裏面側に設
    置して上記基板に接触させてサセプタから熱伝導および
    熱輻射により上記基板を加熱する手段を備えたことを特
    徴とする請求項2記載のCVDリアクタ装置。
  7. 【請求項7】上記サセプタは、上記基板よりも大きなサ
    イズを有し、かつ、上記引き上げピンにより上記基板の
    み引き上げられるように上記基板引上げピンの上下にお
    いて上記基板引上げピンに接触させないための切欠き部
    が設けてあるとともに、上記基板支持ピン上に設置して
    あることを特徴とする請求項6記載のCVDリアクタ装
    置。
  8. 【請求項8】上記基板を加熱する手段は、上記基板に接
    触して上記基板裏面側に設置し抵抗加熱等により加熱さ
    れるヒータステージからの熱伝導及び熱輻射させるよう
    にしたことを特徴とする請求項1記載のCVDリアクタ
    装置。
  9. 【請求項9】上記基板に接触して上記基板裏面側に設置
    し抵抗加熱等により加熱されるヒータステージからの熱
    伝導及び熱輻射により上記基板を加熱する手段を備えた
    ことを特徴とする請求項2記載のCVDリアクタ装置。
  10. 【請求項10】上記ヒータステージが、上記基板よりも
    大きなサイズを有し、かつ、上記基板引き上げピンによ
    り上記基板のみ引き上げられるよう上記基板引上げピン
    の上下において上記基板引上げピンに接触させないため
    の切欠き部を設けてあるとともに、上記基板支持ピン上
    に設置してあることを特徴とする請求項9記載のCVD
    リアクタ装置。
  11. 【請求項11】上記CVDリアクタを他の処理リアクタ
    と連結させたことを特徴とする請求項1または請求項2
    記載のCVDリアクタ装置。
  12. 【請求項12】上記CVDリアクタを反応ガスモニタと
    連結し、上記基板表面での反応のみをモニタできる機能
    を付加したことを特徴とする請求項1または請求項2記
    載のCVDリアクタ装置。
  13. 【請求項13】上記CVDリアクタを基板温度モニタと
    連結し、経時変化なしに上記基板の温度をモニタできる
    機能を付加したことを特徴とする請求項1または請求項
    2記載のCVDリアクタ装置。
  14. 【請求項14】上記CVDリアクタを反応ガスモニタお
    よび基板温度モニタと連結し、上記基板表面での反応の
    みをモニタすると共に経時変化なしに基板温度をモニタ
    できる機能を付加したことを特徴とする請求項1または
    請求項2記載のCVDリアクタ装置。
  15. 【請求項15】上記CVDリアクタに連結された上記反
    応ガスモニタが、上記CVDリアクタ内のガスの一部を
    導入するガス導入管と差動排気された質量分析計で構成
    されていることを特徴とする請求項12または請求項1
    4記載のCVDリアクタ装置。
  16. 【請求項16】上記CVDリアクタに連結された上記基
    板温度モニタが、上記基板裏面から放射される赤外光を
    一端面側で受光して他端面側に伝達する導光体と、該赤
    外光を取り込んで、その赤外光の強度を検出するととも
    に、その検出結果から上記基板の温度を算出する算出手
    段で構成されていることを特徴とする請求項13または
    請求項14記載のCVDリアクタ装置。
  17. 【請求項17】上記CVDリアクタに連結された上記基
    板温度モニタが、上記CVDリアクタの内部を大気と遮
    断すると共に上記基板裏面から放射される赤外光を上記
    CVDリアクタ外に取り出すための透過窓と、該透過窓
    を透過した赤外光を集光するための集光レンズと、該赤
    外光を取り込んで、その赤外光の強度を検出するととも
    に、その検出結果から上記基板の温度を算出する算出手
    段で構成されていることを特徴とする請求項13または
    請求項14記載のCVDリアクタ装置。
JP6106460A 1993-06-21 1994-05-20 Cvdリアクタ装置 Pending JPH0799162A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP6106460A JPH0799162A (ja) 1993-06-21 1994-05-20 Cvdリアクタ装置
US08/263,323 US5574247A (en) 1993-06-21 1994-06-21 CVD reactor apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP5-149388 1993-06-21
JP14938893 1993-06-21
JP6106460A JPH0799162A (ja) 1993-06-21 1994-05-20 Cvdリアクタ装置

Publications (1)

Publication Number Publication Date
JPH0799162A true JPH0799162A (ja) 1995-04-11

Family

ID=26446568

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6106460A Pending JPH0799162A (ja) 1993-06-21 1994-05-20 Cvdリアクタ装置

Country Status (2)

Country Link
US (1) US5574247A (ja)
JP (1) JPH0799162A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090165713A1 (en) * 2007-12-26 2009-07-02 Samsung Electro-Mechanics Co, Ltd. Chemical vapor deposition apparatus
JP2015105410A (ja) * 2013-11-29 2015-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Families Citing this family (387)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
JP3477953B2 (ja) * 1995-10-18 2003-12-10 東京エレクトロン株式会社 熱処理装置
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
US5893643A (en) * 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
JP3667038B2 (ja) * 1997-06-23 2005-07-06 東京エレクトロン株式会社 Cvd成膜方法
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
JP3523509B2 (ja) * 1998-11-27 2004-04-26 シャープ株式会社 半導体装置の製造方法
JP4317608B2 (ja) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
GB2350374B (en) * 1999-05-11 2003-09-24 Trikon Holdings Ltd Deposition apparatus
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6437290B1 (en) * 2000-08-17 2002-08-20 Tokyo Electron Limited Heat treatment apparatus having a thin light-transmitting window
US7094614B2 (en) * 2001-01-16 2006-08-22 International Business Machines Corporation In-situ monitoring of chemical vapor deposition process by mass spectrometry
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6660330B2 (en) * 2001-04-10 2003-12-09 International Business Machines Corporation Method for depositing metal films onto substrate surfaces utilizing a chamfered ring support
EP1293587A1 (en) * 2001-09-14 2003-03-19 Kabushiki Kaisha Kobe Seiko Sho Vacuum coating apparatus with central heater
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US20040058560A1 (en) * 2002-09-20 2004-03-25 Applied Materials, Inc. Fast gas exchange for thermal conductivity modulation
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
TWI229367B (en) 2002-12-26 2005-03-11 Canon Kk Chemical treatment apparatus and chemical treatment method
US20050118830A1 (en) * 2003-11-29 2005-06-02 Appleyard Nicholas J. Method of processing a workpiece
GB0327785D0 (en) * 2003-11-29 2003-12-31 Trikon Technologies Ltd Method of processing a workpiece
US20070256710A1 (en) * 2004-06-10 2007-11-08 Dtl Technologies, Inc. Chemical process operations on wafers having through-holes and a pressure differential between the major surfaces thereof
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
JP2008508034A (ja) * 2004-07-27 2008-03-21 バイオレーズ テクノロジー インコーポレイテッド 触覚的フィードバックの先端部フェルールを有するコントラ・アングル回転型のハンドピース
US20070016176A1 (en) 2004-08-13 2007-01-18 Dmitri Boutoussov Laser handpiece architecture and methods
EP1782511B1 (en) 2004-08-13 2015-04-29 Biolase, Inc. Dual pulse-width medical laser with presets
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
EP2096192A1 (en) * 2008-02-28 2009-09-02 Applied Materials, Inc. Backside coating prevention device.
TW201007956A (en) * 2008-04-09 2010-02-16 Applied Materials Inc Nitrided barrier layers for solar cells
AU2009305681A1 (en) 2008-10-15 2010-04-22 Biolase, Inc. Satellite-platformed electromagnetic energy treatment device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
AU2009319746B2 (en) 2008-11-29 2013-08-15 Biolase, Inc. Non-contact handpiece for laser tissue cutting
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CA2778960C (en) 2009-10-26 2016-04-26 Biolase Technology, Inc. High power radiation source with active-media housing
CA2816967C (en) 2010-11-04 2018-07-17 Biolase, Inc. Initiation sequences for ramping-up pulse power in a medical laser having high-intensity leading subpulses
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
AU2012271616B2 (en) 2011-06-16 2015-05-07 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US8956683B2 (en) 2011-06-16 2015-02-17 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
TWI502096B (zh) 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105981140B (zh) * 2014-03-26 2018-08-31 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160355947A1 (en) * 2015-06-05 2016-12-08 Sensor Electronic Technology, Inc. Susceptor Heating For Epitaxial Growth Process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP5947435B1 (ja) * 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) * 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116892016A (zh) * 2023-09-11 2023-10-17 上海星原驰半导体有限公司 工艺腔室装置及晶圆处理设备

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPS6417424A (en) * 1987-07-10 1989-01-20 Fujitsu Ltd Cvd device
US4932358A (en) * 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
JPH0687463B2 (ja) * 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
JPH04226027A (ja) * 1990-04-23 1992-08-14 Genus Inc ガス阻止装置を有するウエファ周辺封止装置
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH0691144B2 (ja) * 1990-09-21 1994-11-14 株式会社日立製作所 ウエハ温度測定用の放射温度計およびウエハ温度測定方法
KR100243784B1 (ko) * 1990-12-05 2000-02-01 조셉 제이. 스위니 웨이퍼의 전방부 모서리와후방부에서의 증착을 방지하는 cvd웨이퍼 처리용 수동 실드
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5317656A (en) * 1991-05-17 1994-05-31 Texas Instruments Incorporated Fiber optic network for multi-point emissivity-compensated semiconductor wafer pyrometry
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090165713A1 (en) * 2007-12-26 2009-07-02 Samsung Electro-Mechanics Co, Ltd. Chemical vapor deposition apparatus
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
JP2015105410A (ja) * 2013-11-29 2015-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
US5574247A (en) 1996-11-12

Similar Documents

Publication Publication Date Title
JPH0799162A (ja) Cvdリアクタ装置
JP3228746B2 (ja) シランを用いないcvdにより窒化チタン上にタングステンを核生成する方法
KR101057877B1 (ko) 플라즈마 세정 방법 및 플라즈마 cvd 방법
US8247321B2 (en) Method of manufacturing semiconductor device, semiconductor device, electronic instrument, semiconductor manufacturing apparatus, and storage medium
US6432479B2 (en) Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6099904A (en) Low resistivity W using B2 H6 nucleation step
US8461047B2 (en) Method for processing amorphous carbon film, and semiconductor device manufacturing method using the method
KR100783840B1 (ko) 산화막 형성 방법 및 전자 디바이스 재료
JPS60254614A (ja) 光誘導による導電性ケイ化チタンフイルムの化学蒸着法
JP2021522407A (ja) エッジエクスクルージョン制御
JP2002520849A (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
JPH0622222B2 (ja) 光処理装置
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法
US6479897B2 (en) Semiconductor device having fluorine-added carbon dielectric film and method of fabricating the same
JP5069967B2 (ja) 熱処理用部材の製造方法
JPWO2010038885A1 (ja) 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
US5336636A (en) Method for contacting conductive structures in VLSI circuits
JP3131855B2 (ja) 成膜処理方法及びその装置
JPH0279446A (ja) スルーホールへの金属穴埋め方法
JPH05190471A (ja) 成膜処理装置
JPH09186095A (ja) 成膜方法ならびにその装置及び半導体装置の製造方法
US6291347B1 (en) Method and system for constructing semiconductor devices
JPH10135206A (ja) プラズマCVDによるSiO2 薄膜の形成方法
JPH04206526A (ja) スルーホールへの金属穴埋め方法
Schulz et al. Selective tungsten CVD on sputtered tungsten for via fill