KR101057877B1 - 플라즈마 세정 방법 및 플라즈마 cvd 방법 - Google Patents

플라즈마 세정 방법 및 플라즈마 cvd 방법 Download PDF

Info

Publication number
KR101057877B1
KR101057877B1 KR1020097005392A KR20097005392A KR101057877B1 KR 101057877 B1 KR101057877 B1 KR 101057877B1 KR 1020097005392 A KR1020097005392 A KR 1020097005392A KR 20097005392 A KR20097005392 A KR 20097005392A KR 101057877 B1 KR101057877 B1 KR 101057877B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
processing vessel
processing
fluorine
Prior art date
Application number
KR1020097005392A
Other languages
English (en)
Other versions
KR20090053823A (ko
Inventor
마사유키 고노
다츠오 니시타
도시오 나카니시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090053823A publication Critical patent/KR20090053823A/ko
Application granted granted Critical
Publication of KR101057877B1 publication Critical patent/KR101057877B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

플라즈마 세정 방법은 피처리 기판 표면에 질화규소막을 퇴적시키는 플라즈마 CVD 장치의 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하여 처리 용기 내의 퇴적물을 제거하는 공정(S1)과, 그 후에 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 처리 용기 내에 잔류하는 불소를 제거하는 공정(S2)과, 또한 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 처리 용기 내에 잔류하는 수소를 제거하는 공정(S3)을 포함한다.

Description

플라즈마 세정 방법 및 플라즈마 CVD 방법{PLASMA CLEANING PROCESS AND PLASMA CVD METHOD}
본 발명은 피처리 기판 표면에 질화규소막을 퇴적시키는 플라즈마 화학기상퇴적(Chemical Vapor Deposition; 이하 "CVD") 장치의 처리 용기내를 세정하는 플라즈마 세정 방법 및 플라즈마 CVD 방법에 관한 것이다.
질화규소막은 각종 반도체 장치에 있어서의 절연막이나 보호막 등으로서 사용되고 있다. 이러한 질화규소막은, 예컨대, 원료 가스로서의 실란(SiH4) 등의 규소 함유 화합물의 가스와, 질소나 암모니아 등의 질소 함유 화합물의 가스를 사용하는 플라즈마 CVD 등에 의해 형성할 수 있는 것으로 알려져 있다.
상술한 바와 같이 플라즈마 CVD를 실행하는 플라즈마 CVD 장치에서는, 피처리 기판에의 성막을 반복함으로써 챔버(chamber) 내의 피처리 기판 이외의 부위에도 질화규소가 퇴적한다. 이렇게 형성되는 챔버 내의 퇴적물이 일정한 막 두께를 넘으면, 플라즈마 CVD 장치에 있어서의 성막에 악영향을 미친다. 예를 들어, 챔버 내에 형성된 퇴적물은 챔버 내에서의 가스의 흐름이나 열분포에 영향을 미쳐서 성막 속도나 성막의 균일성을 저하시키는 요인이 된다. 또한, 퇴적물로부터 입자(particle)가 발생하여 피처리 기판에 부착하는 것도 염려된다. 이러한 것으로부터, 정기적으로 챔버 내의 세정을 실시하고, 챔버 내에 형성된 퇴적물을 제거할 필요가 있다. 이를 위해, 예컨대 삼불화질소(NF3) 등의 불소화합물을 포함하는 반응성 가스의 플라즈마를 이용하여 챔버 내부를 에칭하는 플라즈마 세정 방법의 발명이 제안되어 있다(예컨대, 일본 특허 공개 제 1995-201738 호 공보).
일본 특허 공개 제 1995-201738 호 공보(특허문헌 1)에서 볼 수 있는 바와 같이, 플라즈마 세정은 통상적인 퇴적물에 대하여 에칭 작용을 갖는 NF3 등의 가스종을 사용하고, 챔버 내의 퇴적물을 세정 가스의 플라즈마에 의해 건식 에칭(dry etching)함으로써 제거한다. 그러나, NF3 가스와 같은 불소 함유 가스를 사용하여 세정을 실행하면, 챔버 내에 잔류하는 불소가 그 후의 성막 처리에 악영향을 미치기 때문에 불소를 제거할 필요가 생긴다. 이 때문에 특허문헌 1에서는 NF3를 포함하는 가스에 의한 처리 후에 NH3를 포함하는 환원성 가스의 플라즈마에 의해 챔버 내의 불소를 제거하는 공정을 마련하고 있다.
상기 일본 특허 공개 제 1995-201738 호 공보에 개시된 기술에서는 NF3 세정 후에 챔버 내에 잔류한 불소를 제거하는 공정을 마련하고 있지만, 실용상 충분한 세정 효과를 얻으면서 조속히 잔류 불소를 제거할 수 있는 높은 작업 처리량(throughput)으로의 세정 처리를 실행하기 위한 조건에 대해서는 충분한 검토가 되어 있다고 말하기 어렵다.
또한, 상기 기술에서와 같이, 복수의 공정을 포함하는 세정 처리의 경우, 각 공정의 종점을 파악하는 것이 곤란해서 종점의 판단을 잘못하여 지나친 세정을 실행하면, 세정 가스의 플라즈마에 의해 챔버 내 부재, 예컨대 피처리 기판을 탑재하는 탑재대(susceptor)나 피처리 기판을 안내하기 위한 커버링(covering) 등이 에칭되어 플라즈마 손상(plasma damage)을 받고 부품 수명이 단명화되어 버린다. 특히, 세정 효과를 높이기 위해 장시간의 세정을 실행하면, 플라즈마 손상이 강해지는 동시에 작업 처리량을 저하시켜 버린다. 반대로, 플라즈마 손상을 억제하거나 높은 작업 처리량을 얻으려고 하면, 세정이 불충분하게 되어 입자가 발생하거나 성막에의 악영향이 생기거나 한다.
본 발명의 목적은 높은 작업 처리량으로 확실하게 잔류 불소를 저감할 수 있는 플라즈마 세정 방법을 제공하는 것에 있다.
본 발명의 다른 목적은 세정의 종점의 파악을 용이하게 실행함으로써 챔버 내 부품에 미치는 플라즈마 손상을 극력 억제하는 것이 가능한 플라즈마 세정 방법을 제공하는 것에 있다.
본 발명의 또 다른 목적은 이러한 플라즈마 세정 방법을 포함하는 플라즈마 CVD 방법을 제공하는 것에 있다.
본 발명의 제 1 관점에 의하면, 플라즈마 처리 장치에 있어서, 그 내부에 퇴적물이 퇴적하고 있는 처리 용기 내를 세정 가스의 플라즈마를 이용하여 세정하는 플라즈마 세정 방법으로서, 상기 처리 용기 내에 NF3 가스를 함유하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와, 상기 퇴적물을 제거한 후에 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계를 포함하는 플라즈마 세정 방법이 제공된다.
상기 제 1 관점에 있어서, 불소를 제거한 후에 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 더 포함할 수 있다.
또한, 상기 불소를 제거하는 단계와 상기 수소를 제거하는 단계를 반복 실시해도 좋다.
또한, 상기 퇴적물을 제거할 때, 불소를 제거할 때 및 수소를 제거할 때에 각각 플라즈마의 발광을 모니터함으로써 종점을 검출할 수 있다.
또한, 상기 플라즈마 처리 장치로서, 복수의 슬롯을 갖는 평면 안테나에서 상기 처리 용기 내에 마이크로파를 도입하여 플라즈마를 형성시켜서 피처리 기판 표면에 질화규소막을 퇴적시키는 플라즈마 CVD 장치를 적합하게 사용할 수 있다.
본 발명의 제 2 관점을 따르면, 복수의 슬롯을 갖는 평면 안테나를 구비한 마이크로파 처리 장치의, 그 내부에 퇴적물이 퇴적하고 있는 처리 용기 내를 세정 가스의 플라즈마를 이용하여 세정하는 플라즈마 세정 방법으로서, 상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하는 단계와, 상기 평면 안테나를 거쳐서 마이크로파를 상기 처리 용기 내에 공급함으로써 상기 처리 용기 내에 상기 세정 가스의 플라즈마를 형성하여 불소의 활성종을 생성하는 단계와, 상기 처리 용기 내의 상기 퇴적물과 상기 불소의 활성종을 반응시켜서 상기 퇴적물을 휘발시키는 단계와, 상기 휘발한 퇴적물 성분을 상기 처리 용기로부터 배출시키는 단계를 포함하는 플라즈마 세정 방법이 제공된다.
상기 제 2 관점에 있어서, 상기 휘발한 퇴적물 성분의 배출 후, 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계를 더 포함하는 것이 바람직하다.
본 발명의 제 3 관점에 의하면, 플라즈마 CVD 장치의 처리 용기 내에서 피처리 기판 표면에 질화규소막을 퇴적시키는 플라즈마 CVD 방법으로서, 상기 처리 용기 내에 도입한 질소 함유 가스와 규소 함유 가스를 포함하는 가스에 의해 플라즈마를 형성하고, 이 플라즈마에 의해 피처리 기판의 표면에 질화규소막을 퇴적시키는 단계와, 상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와, 상기 퇴적물을 제거한 후에 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계와, 불소를 제거한 후에 다시 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 포함하는 플라즈마 CVD 방법이 제공된다.
상기 제 3 관점에 있어서, 상기 불소를 제거하는 단계와 상기 수소를 제거하는 단계를 반복 실시할 수 있다.
또한, 상기 수소를 제거한 후에 다시 상기 플라즈마 CVD 공정을 실행할 수 있다.
본 발명의 제 4 관점에 따르면, 컴퓨터 상에서 작동하고, 플라즈마 처리 장치의 처리 용기 내를 세정 가스의 플라즈마를 이용하여 세정할 때에 상기 플라즈마 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행시에 상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와, 상기 퇴적물을 제거한 후에 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계를 포함하는 플라즈마 세정 방법이 행해지도록 컴퓨터로 상기 플라즈마 처리 장치를 제어시키는 기억 매체를 제공한다.
본 발명의 제 5 관점에 의하면, 플라즈마를 이용하여 피처리 기판을 처리하기 위한 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에 마이크로파를 도입하는 복수의 슬롯을 갖는 평면 안테나와, 상기 처리 용기 내에 세정 가스를 공급하는 가스 공급 기구와, 상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와 상기 퇴적물을 제거한 후에 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계를 포함하는 플라즈마 세정 방법이 실행되도록 각 구성부를 제어하는 제어부를 구비한 플라즈마 처리 장치가 제공된다.
본 발명에 의하면, 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 퇴적물을 제거한 후, 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 잔류하는 불소를 제거하므로, 플라즈마 CVD에 이용하는 처리 용기 내의 잔류 불소를 높은 작업 처리량으로 확실하게 저감할 수 있으며, 기판 상에 성막된 막 중에의 불소 혼입량을 저감할 수 있다.
또한, 퇴적물을 제거할 때, 플라즈마의 발광을 모니터하여 플라즈마 세정 처리의 불소를 제거할 때 및 수소를 제거할 때에 종점 검출을 실행하는 경우에는 이것들의 시간 관리를 적정화할 수 있으므로 지나친 플라즈마 처리에 의한 챔버 내 부재에의 플라즈마 손상을 극력 저감할 수 있으며, 보다 높은 작업 처리량의 세정을 실현할 수 있다.
또한, 상기 플라즈마 세정 방법을 각종 반도체 장치의 제조 과정에서 질화규소막을 성막하는 플라즈마 CVD 프로세스의 일부로서 구성해 넣음으로써, 챔버 내에 과잉 퇴적물이 형성된 상태를 없앨 수 있도록 플라즈마 CVD 프로세스에 있어서 성막 처리의 균일성이 향상되고, 또한 입자를 저감하여 안정한 피처리 기판의 연속 처리가 가능하게 된다.
도 1은 본 발명 방법의 실시에 적용한 플라즈마 처리 장치의 한 예를 도시하는 개략 단면도,
도 2는 평면 안테나 판의 구조를 도시하는 도면,
도 3은 플라즈마 CVD 처리와 그 후에 계속해서 실행되는 플라즈마 세정 처리의 타이밍 챠트,
도 4는 플라즈마 세정 처리의 공정 순서의 한 예를 도시하는 흐름도,
도 5는 종점 검출을 실행하는 플라즈마 세정 처리의 공정 순서의 한 예를 도시하는 흐름도,
도 6은 본 발명의 플라즈마 세정 방법을 구성해 넣은 플라즈마 CVD 방법의 공정 순서의 한 예를 도시하는 흐름도,
도 7은 시험예에 있어서의 성막 장치의 플라즈마 세정 처리의 타이밍 챠트,
도 8a는 플라즈마 세정 처리의 퇴적물 제거 공정에 있어서의 플라즈마 중의 질화규소(SiN)의 발광 스펙트럼을 도시하는 도면,
도 8b는 플라즈마 세정 처리의 불소 제거 공정에 있어서의 플라즈마 중의 원자상 수소의 발광 스펙트럼을 도시하는 도면,
도 8c는 플라즈마 세정 처리의 수소 제거 공정에 있어서의 플라즈마 중의 원자상 수소의 발광 스펙트럼을 도시하는 도면.
이하, 적당히 첨부 도면을 참조하여 본 발명의 실시 형태에 대하여 구체적으로 설명한다. 도 1은 본 발명의 플라즈마 세정 방법 및 플라즈마 CVD 방법을 실시 할 수 있는 플라즈마 처리 장치의 한 예를 모식적으로 도시하는 단면도이다. 이 플라즈마 처리 장치(100)는 복수의 슬롯을 갖는 평면 안테나, 특히 래디얼 라인 슬롯 안테나(radial line slot antenna; RLSA)에서 처리 용기 내에 마이크로파를 도입하여 플라즈마를 형성시킴으로써, 고밀도인 동시에 저전자온도의 마이크로파 여기 플라즈마를 발생시킬 수 있는 RLSA 마이크로파 플라즈마 처리 장치로서 구성되어서, 1×1010 내지 5×1012/㎝3의 플라즈마 밀도에서, 동시에 0.7 내지 2eV의 저전자 온도의 플라즈마에 의해 막에의 손상이 지극히 적은 처리가 가능하다. 따라서, 각종 반도체 장치의 제조 과정에 있어서 플라즈마 CVD에 의한 질화규소막의 성막 처리 등의 목적으로 적합하게 이용 가능한 것이다.
상기 플라즈마 처리 장치(100)는 기밀하게 구성되어 접지된 대략 원통형의 챔버(1)를 구비하고 있다. 또한, 챔버(1)는 각진 통 형상으로도 좋다. 챔버(1)의 바닥벽(1a)의 대략 중앙부에는 원형의 개구부(10)가 형성되고, 바닥벽(1a)에는 이 개구부(10)와 연통되며 하방을 향해서 돌출하는 배기실(11)이 마련되어 있다. 이 배기실(11)은 배기관(23)을 거쳐서 배기 장치(24)에 접속되어 있다.
챔버(1) 내에는 피처리 기판인 실리콘 웨이퍼(이하, 간단하게 "웨이퍼"라 기재함)(W)를 수평으로 지지하기 위해 열전도성이 높은 질화알루미늄(AlN) 등의 세라믹으로 이루어진 탑재대(2)가 마련되어 있다. 이 탑재대(2)는 배기실(11)의 바닥부 중앙으로부터 상방으로 연장되는 원통형의 질화알루미늄 등의 세라믹으로 이루어진 지지부재(3)에 의해 지지되어 있다. 탑재대(2)에는, 그의 외연부를 커버하고 웨이퍼(W)를 안내하기 위한 안내 링(4)이 마련되어 있다. 이 안내 링(4)은 예컨대 석영, 질화알루미늄(AlN), 산화알루미늄(Al2O3), 질화규소(SiN) 등의 재질로 구성된 부재이다.
탑재대(2)에는 저항 가열형의 히터(5)가 매설되어 있고, 이 히터(5)는 히터 전원(5a)으로부터 전기를 공급받아서 탑재대(2)를 가열하며, 그 열로 피처리 기판인 웨이퍼(W)를 균일하게 가열한다. 또한, 탑재대(2)에는 열전대(6)가 배치되어 있어 웨이퍼(W)의 가열 온도를 예컨대 실온으로부터 900℃까지의 범위로 온도 제어 가능하게 되어 있다. 탑재대(2)에는 웨이퍼(W)를 지지하여 승강시키기 위한 웨이퍼 지지 핀(도시하지 않음)이 탑재대(2)의 표면에 대해 돌몰(突沒) 가능하게 마련되어 있다.
챔버(1)의 내주에는 석영으로 이루어진 원통형 라이너(liner)(7)가 마련되어 챔버 구성 재료에 의한 금속 오염을 방지하고 있다. 또한, 탑재대(2)의 외주측에는 챔버(1) 내를 균일 배기하기 위한 복수의 구멍(8a)을 구비한 배플 플레이트(baffle plate)(8)가 환형으로 마련되어 있고, 이 배플 플레이트(8)는 복수의 지주(9)에 의해 지지되어 있다.
후술하는 상부 플레이트(27) 및 챔버(1)의 측벽에는 환형의 가스 도입부(15a, 15b)가 상하 2단으로 마련되어 있고, 각 가스 도입부(15a, 15b)에는 성막 원료 가스, 플라즈마 여기용 가스 및 세정 가스를 공급하는 가스 공급계(16)가 접속되어 있다. 또한, 가스 도입부(15a, 15b)는 노즐 형상 또는 샤워 형상으로 배치해도 좋다.
가스 공급계(16)는 예컨대 질소 함유 가스 공급원(17a), 불활성 가스 공급원(17b), 규소(Si) 함유 가스 공급원(17c), 불활성 가스 공급원(17d), NF3 가스 공급원(17e) 및 수소 가스 공급원(17f)을 구비하고 있다. 질소 함유 가스 공급원(17a) 및 불활성 가스 공급원(17b)은 상단의 가스 도입부(15a)에 접속되고, 규소 함유 가스 공급원(17c), 불활성 가스 공급원(17d), NF3 가스 공급원(17e) 및 수소 가스 공급원(17f)은 하단의 가스 도입부(15b)에 접속되어 있다. 또한, NF3 가스는 상측의 가스 도입부(15a)에 접속하여 도입해도 좋다.
성막 원료 가스인 규소 함유 가스로서는 예컨대 실란(SiH4), 디실란(Si2H6), 트리시릴아민(trisilylamine; TSA) 등을 이용할 수 있지만, 특히 디실란(Si2H6)이 바람직하다. 다른 성막 원료 가스인 질소 함유 가스로서는 예컨대 N2, 암모니아, 모노메틸히드라진(monomethylhydrazine; MMH) 등의 히드라진 유도체 등을 사용할 수 있다. 또한, 불활성 가스로서는 예컨대 N2 가스나 희가스 등을 사용할 수 있다. 플라즈마 여기용 가스인 희가스로서는 예컨대 아르곤(Ar) 가스, 크립톤(Kr) 가스, 제논(Xe) 가스, 헬륨(He) 가스 등을 사용할 수 있지만, 경제성 및 플라즈마 안정성의 관점에서 아르곤이 바람직하다.
NF3 가스 및 수소 가스는 상기 희가스와 함께 플라즈마 처리 장치(100)에 있어서의 챔버(1) 내를 세정할 때에 사용되는 세정 가스이다.
질소 함유 가스 및 불활성 가스는 질소 함유 가스 공급원(17a) 및 불활성 가스 공급원(17b)으로부터 가스 라인(20a)을 거쳐서 가스 도입부(15a)에 도달하고, 가스 도입부(15a)로부터 챔버(1) 내에 도입된다. 한편, 규소 함유 가스, 불활성 가스, NF3 가스 및 수소 가스는 각각 가스 라인(20b)을 거쳐서 가스 도입부(15b)에 도달하고, 가스 도입부(15b)로부터 챔버(1) 내에 도입된다. 각 가스 공급원에 접속하는 각각의 가스 라인(20a, 20b)에는 유량 제어 장치(mass flow controller)(21) 및 그의 전후에 개폐 밸브(22)가 마련되어 공급된 가스의 전환이나 유량 등의 제어가 가능하도록 구성되어 있다.
상기 배기실(11)의 측면에는 배기관(23)이 접속되고, 이 배기관(23)에는 고속 진공 펌프를 포함하는 상술한 배기 장치(24)가 접속되어 있다. 그리고 이 배기 장치(24)를 작동시킴으로써, 챔버(1) 내의 가스가 배플 플레이트(8)를 거쳐 배기실(11)의 공간(11a) 내로 균일하게 배출되고, 배기관(23)을 거쳐 배기된다. 이에 의해, 챔버(1) 내는 소정의 진공도, 예컨대 0.133Pa까지 고속으로 감압하는 것이 가능하게 된다.
챔버(1)의 측벽에는 플라즈마 처리 장치(100)에 인접하는 반송실(도시되지 않음)과의 사이에서 웨이퍼(W)의 반입출을 실행하기 위한 반입출구(25)와, 이 반입출구(25)를 개폐하는 게이트 밸브(26)가 마련되어 있다.
챔버(1)의 상부는 개구부가 되고, 이 개구부에는 환형의 상부 플레이트(27)가 접합된다. 상부 플레이트(27)의 내주 하부는 내측의 챔버 내 공간을 향해서 돌 출하여 환형의 지지부(27a)를 형성하고 있다. 이 지지부(27a) 위에, 유전체, 예컨대 석영이나 산화알루미늄(Al2O3), 질화알루미늄(AlN) 등의 세라믹으로 이루어지고 마이크로파를 투과하는 마이크로파 투과판(28)이 밀봉 부재(29)를 통해 기밀하게 마련되어 있다. 따라서, 챔버(1) 내는 기밀하게 보지(保持)된다.
마이크로파 투과판(28)의 상방에는 탑재대(2)와 대향하도록 원판 형상의 평면 안테나판(31)이 마련되어 있다. 또한, 평면 안테나판의 형상은 원판 형상으로 한정하지 않고, 예컨대 사각판 형상도 좋다. 이 평판 안테나판(31)은 챔버(1)의 측벽 상단에 계지(係止)되어 있다. 평판 안테나판(31)은 예컨대 표면이 금 또는 은 도금된 동판 또는 알루미늄판으로 이루어지며, 마이크로파를 방사하는 복수의 슬롯 형상의 마이크로파 방사 구멍(32)이 소정의 패턴으로 관통하여 형성된 구성으로 되어 있다.
마이크로파 방사 구멍(32)은 예컨대 도 2에 도시하는 바와 같이 긴 형상을 하는 것이 쌍을 이루며, 전형적으로는 쌍을 이루는 마이크로파 방사 구멍(32)끼리가 "T"자 형상으로 배치되고, 이들 구멍의 쌍이 복수의 동심원 형상으로 배치되어 있다. 마이크로파 방사 구멍(32)의 길이나 배열 간격은 마이크로파의 파장(λg)에 따라 결정되며, 예컨대 마이크로파 방사 구멍(32)의 간격은 λg/4, λg/2 또는 λg이 되도록 배치된다. 또한 도 2에 있어서, 동심원 형상으로 형성된 인접하는 마이크로파 방사 구멍(32)끼리의 간격을 Δr로 표시하고 있다. 또한, 마이크로파 방사 구멍(32)은 원형, 원호 형상 등 다른 형상이어도 좋다. 더욱이, 마이크로파 방사 구멍(32)의 배치 형태는 특별히 한정되지 않으며, 동심원 형상외에, 예컨대 나선형, 방사상으로 배치하는 것도 가능하다.
이 평면 안테나판(31)의 상면에는 진공보다도 큰 유전율을 갖는 지파재(遲波材)(33)가 마련되어 있다. 이 지파재(33)는 진공중에서는 마이크로파의 파장이 길어지는 것으로부터 마이크로파의 파장을 짧게 하여 플라즈마를 조정하는 기능을 구비하고 있다. 또한, 평면 안테나판(31)과 투과판(28) 사이, 또는 지파재(33)와 평면 안테나판(31) 사이는 각각 접촉시켜도 이격시켜도 좋지만, 접촉시키는 것이 바람직하다.
챔버(1)의 상면에는 이들 평면 안테나판(31) 및 지파재(33)를 덮도록, 예컨대 알루미늄이나 스테인리스 강 등의 금속 재료로 이루어지며 도파관 기능을 갖는 실드 덮개(34)가 마련되어 있다. 챔버(1)의 상면과 실드 덮개(34)는 밀봉 부재(35)에 의해 밀봉되어 있다. 실드 덮개(34)에는 냉각수 유로(34a)가 형성되어 거기에 냉각수를 통류(通流)시킴으로써 실드 덮개(34), 지파재(33), 평면 안테나판(31), 투과판(28)을 냉각하게 되어 있다. 또한, 실드 덮개(34)는 접지되어 있다.
실드 덮개(34)의 윗벽의 중앙에는 개구부(36)가 형성되고, 이 개구부(36)에는 도파관(37)이 접속되어 있다. 이 도파관(37)의 단부에는 매칭(matching) 회로(38)를 거쳐 마이크로파를 발생하는 마이크로파 발생 장치(39)가 접속되어 있다. 이에 의해, 마이크로파 발생 장치(39)에서 발생한, 예컨대 주파수 2.45㎓의 마이크로파가 도파관(37)을 거쳐서 상기 평면 안테나판(31)에 전파되도록 되어 있다. 또 한, 마이크로파의 주파수로서는 8.35㎓, 1.98㎓ 등을 사용하는 것도 가능하다.
도파관(37)은 상기 실드 덮개(34)의 개구부(36)로부터 상방으로 연장하는 단면이 원형인 동축 도파관(37a)과, 이 동축 도파관(37a)의 상단부에 모드 변환기(40)를 거쳐서 접속된 수평방향으로 연장되는 직사각형 도파관(37b)을 구비하고 있다. 직사각형 도파관(37b)과 동축 도파관(37a) 사이의 모드 변환기(40)는 직사각형 도파관(37b) 내를 TE 모드로 전파하는 마이크로파를 TEM 모드로 변환하는 기능을 구비하고 있다. 동축 도파관(37a)의 중심에는 내부 도체(41)가 연장되며, 내부 도체(41)는 그의 하단부에 있어서 평면 안테나판(31)의 중심에 접속 고정되어 있다. 이에 의해, 마이크로파는 동축 도파관(37a)의 내부 도체(41)를 거쳐서 평면 안테나판(31)에 방사상으로 효율적으로 균일하게 전파된다.
또한, 플라즈마 처리 장치(100)의 챔버(1)의 측부에는 챔버(1) 내의 플라즈마의 발광을 검지하는 검지부(60)가 배치되어 있다. 이 검지부(60)는 도시하지 않은 수광부(受光部) 및 모노크로메터(monochromator) 등의 분광 측정부를 구비하며, 챔버(1)의 측벽에 마련된 창(61)을 통해 챔버(1) 내에 생성한 플라즈마의 소정 파장에 있어서의 발광을 모니터할 수 있도록 구성되어 있다. 챔버(1) 내에는 석영으로 이루어진 원통형의 라이너(7)가 마련되어 있지만, 창(61)과 라이너(7)를 통해 플라즈마 중의 라디칼의 발광 강도를 측정할 수 있다. 또한, 검지부(60)의 설치 위치는 특별히 한정되지 않으며, 안정하게 측정가능하면 어느 위치에서도 좋다.
플라즈마 처리 장치(100)의 각 구성부는 마이크로프로세서(컴퓨터)를 구비한 프로세스 콘트롤러(process controller)(50)에 접속되어 제어되는 구성으로 되어 있다. 프로세스 콘트롤러(50)에는 오퍼레이터(operator)가 플라즈마 처리 장치(100)를 관리하도록 명령의 입력 조작 등을 실행하는 키보드나, 플라즈마 처리 장치(100)의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어진 사용자 인터페이스(user interface)(51)가 접속되어 있다.
또한, 프로세스 콘트롤러(50)에는 플라즈마 처리 장치(100)에서 실행되는 각종 처리를 프로세스 콘트롤러(50)의 제어에 의해 실현하기 위한 제어 프로그램(소프트웨어)이나 처리 조건 데이타 등이 기록된 레시피(recipe)가 저장된 기억부(52)가 접속되어 있다.
그리고, 필요에 따라 사용자 인터페이스(51)로부터의 지시 등에 의해 임의의 레시피를 기억부(52)로부터 불러내어 프로세스 콘트롤러(50)로 실행시키는 것에 의해, 프로세스 콘트롤러(50)의 제어 하에서, 플라즈마 처리 장치(100)에서의 소망의 처리가 실행된다. 또한, 상기 제어 프로그램이나 처리 조건 데이타 등의 레시피는 컴퓨터 판독 가능한 기억 매체, 예컨대 CD-ROM, 하드디스크, 플렉시블 디스크(flexible disk), 플래시 메모리 등에 격납된 상태의 것을 이용하거나, 또는 다른 장치로부터, 예컨대 전용 회선을 통해 수시로 전송시켜서 온라인(on line)에서 이용하거나 하는 것도 가능하다.
또한, 프로세스 콘트롤러(50)는 도시하지 않은 접속 수단에 의해 검지부(60)와 접속되어 있으므로, 검지부(60)에서 검출된 플라즈마 중의 소정 파장의 발광을 해석하여 세정 처리에 있어서의 각 공정의 종점 판단을 실행한다. 그리고, 프로세스 콘트롤러(50)의 지시에 의해, 예컨대 세정 처리에 있어서의 각 공정을 자동적으 로 바꾸거나, 각 공정이 종료한 취지를 사용자 인터페이스(51)의 디스플레이에 표시하거나 한다.
이와 같이 구성된 플라즈마 처리 장치(100)는 800℃ 이하의 저온에서 하지막(下地膜) 등에의 손상이 없는 플라즈마 처리를 진행시킬 수 있는 동시에, 플라즈마 균일성이 우수하고 플라즈마의 균일성을 안정시켜 실행할 수 있다.
다음으로, 플라즈마 처리 장치(100)에 있어서 실시되는 플라즈마 CVD 처리 및 플라즈마 세정 처리에 대하여 설명한다. 도 3에 플라즈마 CVD 처리 후에 계속해서 플라즈마 세정 처리를 실시하는 경우의 타이밍 챠트를 도시한다. 이 도 3에는 하나의 로트(lot)의 최후의 웨이퍼(25장째)에 대한 플라즈마 CVD 처리와, 그 후에 계속해서 행해지는 플라즈마 세정 처리에 있어서의 가스의 변환과 마이크로파 출력의 입절(入切)(온/오프)의 타이밍을 도시하고 있다.
플라즈마 CVD 처리에서는 하기와 같은 순서로 플라즈마 CVD법에 의해 웨이퍼(W) 표면에 질화규소막을 퇴적시키는 처리를 실행할 수 있다.
우선, 게이트 밸브(26)를 열림으로 하여 반입출구(25)로부터 웨이퍼(W)를 챔버(1) 내에 반입하여 탑재대(2) 위에 탑재한다. 그리고, 가스 공급계(16)의 질소 함유 가스 공급원(17a) 및 규소 함유 가스 공급원(17b)으로부터 질소 함유 가스 및 규소 함유 가스를 소정의 유량으로 각각 가스 도입부(15a, 15b)를 통해 챔버(10) 내에 도입한다.
다음에, 마이크로파 발생 장치(39)로부터의 마이크로파를 매칭 회로(38)를 거쳐서 도파관(37)에 안내하고, 직사각형 도파관(37b), 모드 변환기(40) 및 동축 도파관(37a)을 순차 통과시켜 내부 도체(41)를 통해 평면 안테나판(31)에 공급하며, 평면 안테나판(31)의 슬롯으로부터 투과판(28)을 거쳐 챔버(1) 내에 있어서의 웨이퍼(W) 상의 공간에 방사시킨다. 마이크로파는 직사각형 도파관(37b) 내에서는 TE 모드로 전파하고, 이 TE 모드의 마이크로파는 모드 변환기(40)에서 TEM 모드로 변환되어 동축 도파관(37a) 내를 평면 안테나판(31)을 향해서 전파되어 간다. 이 때의 마이크로파 출력은 예컨대 500 내지 3000W 정도로 할 수 있다.
평면 안테나판(31)으로부터 투과판(28)을 거쳐 챔버(1)에 방사된 마이크로파에 의해 챔버(1) 내에서 전자계가 형성되고, 질소 함유 가스, 규소 함유 가스가 각각 플라즈마화한다. 이 마이크로파 여기 플라즈마는 마이크로파가 평면 안테나판(31)의 복수의 슬롯, 즉 마이크로파 방사 구멍(32)으로부터 방사됨으로써, 약 1×1010/㎝3 내지 1×1012/㎝3의 고밀도로, 또한 웨이퍼(W) 근방에서는 약 1.2eV 이하의 저전자 온도 플라즈마가 된다. 이렇게 하여 형성되는 마이크로파 여기 플라즈마는 하지막에의 이온 등에 의한 플라즈마 손상이 적은 것이다. 그리고, 플라즈마 중에서 원료 가스의 해리가 진행하고, SiH, NH, N 등의 활성종의 반응에 의해 질화규소 SixNy(여기서, x,y는 반드시 화학양론적으로 결정되지는 않고, 조건에 의해 다른 값을 취함)의 얇은 막(퇴적물)이 웨이퍼(W) 표면 및 챔버 내벽 및 챔버 내 부재의 표면에 퇴적된다.
플라즈마 CVD 성막에 있어서, 질소 함유 가스로서 NH3 가스, 규소 함유 가스로서 예컨대 Si2H6 가스를 사용하는 경우, NH3 가스의 유량은 100 내지 3000ml/min(sccm)이 바람직하고, 400 내지 1000ml/min(sccm)이 보다 바람직하다. 또한, Si2H6 가스의 유량은 1 내지 30ml/min(sccm)이 바람직하고, 5 내지 20ml/min(sccm)이 보다 바람직하다. 또한, Si2H6 가스와 NH3 가스를 사용하는 경우에 있어서, 처리 압력은 13.3 내지 400Pa이 바람직하고, 40 내지 133.3Pa이 보다 바람직하다. 또한, 플라즈마 CVD 처리 온도는 탑재대(2)의 가열 온도로서, 300℃ 이상이 바람직하고, 400 내지 500℃가 보다 바람직하다.
또한, 예컨대 질소 함유 가스로서 N2 가스, 규소 함유 가스로서 Si2H6 가스를 사용하는 경우, N2 가스 유량은 100 내지 3000ml/min(sccm)이 바람직하고, 800 내지 2000ml/min(sccm)이 보다 바람직하다. 또한, Si2H6 가스 유량은 1 내지 30ml/min(sccm)이 바람직하고, 1 내지 10ml/min(sccm)이 보다 바람직하다. 또한, Si2H6 가스와 N2 가스를 사용하는 경우에 있어서, 처리 압력은 1.3 내지 667Pa이 바람직하고, 2.6 내지 133.3Pa이 보다 바람직하다. 또한, 플라즈마 CVD 처리 온도는 탑재대(2)의 가열 온도로서, 300℃ 이상이 바람직하고, 400 내지 600℃가 보다 바람직하다.
다음에, 플라즈마 처리 장치(100)의 챔버(1) 내를 플라즈마 세정한다. 플라즈마 세정 처리는 도 4에 도시하는 단계 S1 내지 S3를 따라서 실시할 수 있다. 우선, 단계(S1)에서 챔버(1) 내의 상술한 퇴적물을 제거한 후, 단계(S2) 및 단계(S3)에서 챔버(1) 내의 컨디셔닝(conditioning)을 실시한다. 이하, 각 단계의 내용을 상술한다.
우선, 게이트 밸브(26)를 열림으로 하여 반입출구(25)로부터 더미 웨이퍼(dummy wafer)(Wd)를 챔버(1) 내에 반입하고, 탑재대(2) 위에 탑재한다. 또한, 더미 웨이퍼(Wd)는 사용하지 않아도 좋다. 그리고, 가스 공급계(16)의 불활성 가스 공급원(17b, 17d) 및 NF3 가스 공급원(17e)으로부터 아르곤 가스 및 NF3 가스를 소정의 유량으로 각각 가스 도입부(15a, 15b)를 통해 챔버(1) 내에 도입한다. 본 실시 형태와 같이 아르곤 가스를 가스 도입부(15a, 15b)를 통해 상하 2단으로 나누어서 도입함으로써, 챔버(1) 내에서 플라즈마를 균일하게 형성할 수 있으며 세정 효율을 높일 수 있다.
다음에, 마이크로파 발생 장치(39)로부터의 마이크로파를 상기와 같은 경로로 챔버(1) 내에 있어서의 더미 웨이퍼(Wd)의 상방 공간에 방사시킨다. 이 때의 마이크로파 출력은 전력 밀도로 예컨대 1.67 내지 4.18W/㎝3, 300㎜ 웨이퍼의 경우의 절대값으로서 예컨대 2000 내지 5000W 정도로 하는 것이 가능하다. 평면 안테나판(31)으로부터 투과판(28)을 거쳐 챔버(1) 내에 방사된 마이크로파에 의해 챔버(1) 내에서 전자계가 형성되고, 아르곤 가스, NF3 가스가 각각 플라즈마화한다. NF3 가스의 플라즈마 중에서는 에칭 작용을 갖는 활성종인 F 라디칼(원자상태의 불소)이 생성되기 때문에 이 F 라디칼에 의해 챔버(1) 내에 형성된 퇴적물(SixNy)을 에칭하여 제거할 수 있다(단계 S1).
단계(S1)의 퇴적물 제거 공정에 있어서의 NF3 가스 유량은 200 내지 1000ml/min(sccm)이 바람직하고, 400 내지 600ml/min(sccm)이 보다 바람직하다. 또한, 아르곤 가스의 유량은 가스 도입부(15a)와 가스 도입부(15b)의 합계로 200 내지 1000ml/min(sccm)이 바람직하고, 400 내지 800ml/min(sccm)이 보다 바람직하다. 또한, 처리 압력은 40 내지 133.3Pa이 바람직하고, 66.7 내지 106.6Pa이 보다 바람직하다. 또한, 처리 온도로서는 300℃ 이상, 예컨대 400 내지 600℃가 바람직하다.
소정 시간이 경과하면, 마이크로파 발생 장치(39)로부터의 마이크로파의 공급을 정지하고, 다음으로 불활성 가스 공급원(17b, 17d) 및 NF3 가스 공급원(17e)으로부터의 가스 공급을 정지함으로써, 단계(S1)의 처리가 종료한다. 이 단계(S1)의 퇴적물 제거 공정에서는 NF3 가스를 포함하는 처리 가스의 플라즈마를 사용함으로써, 챔버(1) 내의 퇴적물(SiN)을 효율적으로 제거할 수 있다.
다음에, NF3 가스를 이용하는 퇴적물 제거 공정에 의해 챔버(1) 내에 잔류한 불소를 제거하기 위한 불소 제거 공정을 실시한다(단계 S2). 즉, 우선 가스 공급계(16)의 불활성 가스 공급원(17b, 17d) 및 수소 가스 공급원(17f)으로부터 아르곤 가스 및 수소 가스를 소정의 유량으로 각각 가스 도입부(15a, 15b)를 통해 챔버(1) 내에 도입한다. 이 경우도 아르곤 가스를 상하 2단의 가스 도입부(15a, 15b)의 각각으로부터 도입함으로써, 챔버(1) 내에서 플라즈마를 균일화할 수 있다.
다음에, 마이크로파 발생 장치(39)로부터의 마이크로파를 상기와 같은 경로 로 챔버(1) 내에 있어서의 더미 웨이퍼(Wd) 위의 공간에 방사시킨다. 이 때의 마이크로파 출력은 전력 밀도로 예컨대 0.41 내지 2.51W/㎝3, 300㎜ 웨이퍼의 경우의 절대값으로서 예컨대 500 내지 3000W 정도로 하는 것이 가능하다. 평면 안테나판(31)으로부터 투과판(28)을 거쳐 챔버(1) 내에 방사된 마이크로파에 의해 챔버(1) 내에서 전자계가 형성되고, 아르곤 가스, 수소 가스가 각각 플라즈마화한다. 생성된 H의 이온, 라디칼은 챔버(1) 내에 잔류하는 불소와 반응하여 HF를 형성하고, 배기관(23)을 통해 배기된다. 이렇게 하여, 챔버(1) 내에 잔류하는 불소가 제거된다. 이 경우에 챔버 내부에의 손상을 작게 하는 관점에서 퇴적물 제거 공정보다도 저출력으로 플라즈마를 형성하는 것이 바람직하다.
단계(S2)의 불소 제거 공정에 있어서의 수소 가스 유량은 10 내지 1000ml/min(sccm)이 바람직하고, 30 내지 200ml/min(sccm)이 보다 바람직하다. 또한, 아르곤 가스 유량은 가스 도입부(15a)와 가스 도입부(15b)의 합계로서 50 내지 2000ml/min(sccm)이 바람직하고, 600 내지 1200ml/min(sccm)이 보다 바람직하다. 수소/아르곤 유량비는 0.005 내지 2가 바람직하고, 보다 바람직한 것은 0.0025 내지 0.33이다. 또한, 처리 압력은 6.7 내지 133.3Pa이 바람직하고, 13.3 내지 40Pa이 보다 바람직하다. 또한, 처리 온도로서는 300℃ 이상, 예컨대 400 내지 600℃가 바람직하다.
불소 제거 처리를 개시하고 나서 소정 시간이 경과하면, 마이크로파 발생 장치(39)로부터의 마이크로파의 공급을 정지하고, 다음으로 불활성 가스 공급원(17b, 17d) 및 수소 가스 공급원(17f)으로부터의 가스 공급을 정지한다. 이 단계(S2)의 불소 제거 공정에서는 수소 가스를 포함하는 처리 가스의 플라즈마를 이용함으로써, 챔버(1) 내에 잔류하는 불소를 HF의 형태로 효율적으로 조속히 배출할 수 있으므로, 그 후에 웨이퍼(W)에 질화규소막을 형성할 때에 막 중에의 불소의 혼입을 억제할 수 있으며 또한 높은 작업 처리량으로의 처리가 가능하다.
단계(S2)의 불소 제거 공정에서는 마이크로파의 출력의 온/오프를 소정 시간 마다 변환함으로써, 챔버(1) 내에서 아르곤 가스 및 수소 가스의 플라즈마를 예컨대 10 내지 360초 마다 간헐적으로 형성하는 싸이클 처리를 실시하는 것이 바람직하다. 이 경우에 아르곤 가스 및 수소 가스를 유동시킨 채로 플라즈마를 온/오프하여 플라즈마 생성과 퍼지(purge)를 교대로 행하는 것도 좋으며, 플라즈마와 함께 가스도 온/오프하여 플라즈마 생성과 진공 흡입을 교대로 행해도 좋다.
다음에, 챔버(1) 내에 잔류한 수소를 제거하기 위한 수소 제거 공정을 실시한다(단계 S3). 즉, 우선 가스 공급계(16)의 불활성 가스 공급원(17b 또는 17d)으로부터 아르곤 가스를 소정의 유량으로 각각 가스 도입부(15a, 15b)를 통해 챔버(1) 내에 도입한다. 이 경우도 아르곤 가스를 상하 2단의 가스 도입부(15a, 15b)의 각각으로부터 도입함으로써, 챔버(1) 내에서 플라즈마를 균일화할 수 있다.
다음에, 마이크로파 발생 장치(39)로부터의 마이크로파를 상기와 같은 경로로 챔버(1) 내에 있어서의 더미 웨이퍼(Wd) 위의 공간에 방사시킨다. 이 때의 마이크로파 출력은 전력 밀도로 예컨대 0.41 내지 2.51W/㎝3, 300㎜ 웨이퍼의 경우의 절대값으로서 예컨대 500 내지 3000W 정도로 하는 것이 가능하다. 평면 안테나판(31)으로부터 투과판(28)을 거쳐 챔버(1) 내에 방사된 마이크로파에 의해 챔버(1) 내에서 전자계가 형성되고, 아르곤 가스가 플라즈마화한다. 아르곤 가스의 플라즈마는 그의 강한 스패터(spatter) 작용에 의해 챔버 내 벽면이나 챔버 내 부재에 부착하고 있던 수소를 유리시킨다. 그리고, 챔버(1) 내에서 유리한 수소는 배기관(23)을 통해 배기된다. 이렇게 하여, 챔버(1) 내에 잔류하는 수소가 제거된다. 이 경우에, 챔버 내부에의 손상을 작게 하는 관점에서 퇴적물 제거 공정보다도 저출력으로 플라즈마를 형성하는 것이 바람직하다.
단계(S3)의 수소 제거 공정에서는 마이크로파의 출력의 온/오프를 소정 시간 마다 변환함으로써, 챔버(1) 내에서 아르곤 가스 플라즈마를 예컨대 10 내지 360초 마다 간헐적으로 형성하는 싸이클 처리를 실시하는 것이 바람직하다. 이 경우에 아르곤 가스를 유동시킨 채로 플라즈마를 온/오프하여 플라즈마 생성과 퍼지를 교대로 행하는 것도 좋으며, 플라즈마와 함께 가스도 온/오프하여 플라즈마 생성과 진공 흡입을 교대로 행해도 좋다.
단계(S3)의 수소 제거 공정에 있어서의 아르곤 가스 유량은 가스 도입부(15a)와 가스 도입부(15b)의 합계로서 10 내지 2000ml/min(sccm)이 바람직하다. 또한, 처리 압력은 6.7 내지 133.3Pa이 바람직하다. 또한, 처리 온도로서는 300℃ 이상, 예컨대 400 내지 600℃가 바람직하다.
소정 시간이 경과하면, 마이크로파 발생 장치(39)로부터의 마이크로파의 공급을 정지하고, 다음으로 불활성 가스 공급원(17b, 17d)으로부터의 가스 공급을 정 지한다. 이 단계(S3)의 수소 제거 공정에서는 아르곤 가스를 포함하는 처리 가스의 플라즈마를 이용함으로써, 챔버(1) 내에 부착하는 수소를 스패터하여 효율적으로 유리시켜서 조속히 배출할 수 있으므로, 그 후에 웨이퍼(W)에 질화규소막을 형성할 때에 막 중에의 수소의 혼입을 억제할 수 있으며 또한 높은 작업 처리량으로의 처리가 가능하다.
이상의 단계(S1) 내지 단계(S3)의 공정 순서에 따라서, NF3 가스, 수소 가스, 아르곤 가스를 각각 포함하는 처리 가스의 플라즈마에 의해 순차적으로 챔버(1) 내를 처리하는 각 공정의 조합에 의해 플라즈마 처리 장치(100)의 챔버(1) 내의 잔류 불소 및 수소를 저감하며, 또한 높은 작업 처리량으로 확실하게 세정할 수 있다.
또한, 본 실시 형태의 플라즈마 세정 처리에서는 단계(S2)의 불소 제거 공정과, 단계(S3)의 수소 제거 공정을 소정 횟수, 예컨대 적어도 1회 이상, 바람직하게는 1 내지 20회를 반복하여 실시하는 것이 바람직하다. 이렇게 하여, 챔버(1) 내로부터 불소 및 수소를 확실하게 제거할 수 있다.
또한, 세정 처리에 있어서의 단계(S1) 내지 단계(S3)의 각 공정에서는 소정의 파장에 있어서의 플라즈마의 발광을 모니터함으로써, 종점 검출을 실행할 수 있다. 단계(S1) 내지 단계(S3)의 각 공정에서는 챔버(1) 내의 플라즈마 중의 SiN이나 원자상 수소 등의 발광 강도를 검지부(60)에 의해 측정한다. 검지부에서는 수광한 플라즈마의 발광이 스펙트럼으로 나누어진다. 여기에서는 이들의 스펙트럼 중에서 세정이나 컨디셔닝의 진행에 따라 증감하는 SiN이나 원자상 수소의 발광 강도를 측정하고 모니터했다.
예를 들어, 단계(S1)의 퇴적물 제거 공정에서는 검지부(60)에 의해 440.7㎚의 파장의 경우의 플라즈마 중의 SiN의 발광을 모니터함으로써, 챔버(1) 내에 있어서의 퇴적물의 잔량을 검지하여 퇴적물 제거 공정의 종점 검출을 실행할 수 있다. SiN, 원자상 수소 대신에 SiF, F, HF의 발광을 모니터해도 동일하게 종점 검출하는 것이 가능하다.
또한, 단계(S2)의 불소 제거 공정에서는 검지부(60)에 의해 656.3㎚의 파장의 경우의 플라즈마 중의 원자상 수소의 발광을 모니터함으로써, 챔버(1) 내에 있어서의 불소의 잔량을 검지할 수 있다. 챔버(1) 내에 잔류한 불소는 상기와 같이 수소와 반응한 HF로서 챔버(1)의 외부로 배출되기 때문에, 잔존 불소가 많을수록 챔버(1) 내에서의 수소의 소비가 많아지며, 원자상 수소의 발광은 작아지게 된다. 따라서, 단계(S2)의 불소 제거 공정에서는 챔버 내에 도입하는 원자상 수소의 양을 모니터함으로써, 간접적으로 챔버(1) 내에서의 불소의 양을 파악하는 것이 가능하다. 또한, HF를 모니터해도 동일하게 불소의 잔량의 검지가 가능하다.
또한, 단계(S3)의 수소 제거 공정에서는 검지부(60)에 의해 656.3㎚의 경우의 플라즈마 중의 원자상 수소의 발광을 모니터함으로써, 챔버(1) 내에 있어서의 원자상 수소의 잔량을 검지하여 종점 검출을 실행할 수 있다.
도 5는 세정 처리에 있어서 검지부(60)에 의한 플라즈마 발광의 측정을 실행하고, 퇴적물 제거 공정, 불소 제거 공정 및 수소 제거 공정의 종점 판단을 실행하 는 경우의 순서의 한 예를 도시하는 흐름도이다. 본 실시 형태에서는 프로세스 콘트롤러(50)에 의한 제어 하에서 각 공정의 종점 판단과 다음 공정으로의 전환을 자동적으로 실행하도록 하였다. 또한, 각 공정의 내용은 이미 설명한 대로이므로, 여기에서는 생략한다.
우선, 오퍼레이터가 사용자 인터페이스(51)를 통해 세정 처리를 개시하는 지시를 입력하면, 프로세스 콘트롤러(50)로부터 플라즈마 처리 장치(100)의 각부에 제어 신호가 송출되어 퇴적물 제거 공정이 개시된다(단계 S11). 퇴적물 제거 공정 동안은 검지부(60)에 의해 플라즈마 중의 SiN의 발광(440.7㎚)이 측정되고, 그 측정치는 프로세스 콘트롤러(50)에 순차적으로 송출된다. 프로세스 콘트롤러(50)는 SiN의 발광(440.7㎚)에 대하여 퇴적물 제거 공정의 종점의 목표로서 미리 설정된 기준치를 기억부(52)로부터 판독하고, 검지부(60)로부터의 측정치와 대조함으로써 측정치가 기준치 이하인지 아닌지를 판단한다(단계 S12). 이 단계(S12)에서 SiN 발광의 측정치가 기준치 이하라고 판단된 경우에는 프로세스 콘트롤러(50)로부터 플라즈마 처리 장치(100)의 각부에 퇴적물 제거 공정을 완료시키기 위한 제어 신호가 송출되어, 예컨대 마이크로파의 공급 정지, 가스 공급 정지 등의 조치가 취해진다(단계 S13). 한편, 단계(S12)에서 SiN 발광의 측정치가 기준치 이하가 아니라고 판단된 경우에는 아직 퇴적물의 제거가 불충분한 것으로서 퇴적물 제거 공정의 처리가 속행된다. 단계(S12)의 판단은 SiN 발광의 측정치가 기준치 이하가 될 때까지 반복된다.
단계(S13)에서 퇴적물 제거 공정이 종료된 후에는 프로세스 콘트롤러(50)로부터 플라즈마 처리 장치(100)의 각부에 제어 신호가 송출되어, 불소 제거 공정이 개시된다(단계 S14). 불소 제거 공정 동안은 검지부(60)에 의해 플라즈마 중의 원자상 수소의 발광(656.3㎚)이 측정되고, 그 측정치는 프로세스 콘트롤러(50)에 순차적으로 송출된다. 프로세스 콘트롤러(50)는 원자상 수소의 발광(656.3㎚)에 대해 불소 제거 공정의 종점의 목표로서 미리 설정된 기준치를 기억부(52)로부터 판독하고, 검지부(60)로부터의 측정치와 대조하여 측정치가 기준치 이상인지 아닌지를 판단한다(단계 S15). 이 단계(S15)에서 원자상 수소의 발광의 측정치가 기준치 이상이라고 판단된 경우에는 프로세스 콘트롤러(50)로부터 플라즈마 처리 장치(100)의 각부에 제어 신호가 송출되어, 예컨대 마이크로파의 공급 정지, 가스 공급 정지 등의 조치가 취해져서 불소 제거 공정을 종료시킨다(단계 S16). 한편, 단계(S15)에서 원자상 수소의 발광의 측정치가 기준치 이상이 아니라고 판단된 경우에는 불소 제거 공정의 처리가 속행된다. 단계(S15)의 판단은 원자상 수소의 발광의 측정치가 기준치 이상이 될 때까지 반복된다.
단계(S16)에서 불소 제거 공정이 종료된 후에는 프로세스 콘트롤러(50)로부터 플라즈마 처리 장치(100)의 각부에 제어 신호가 송출되어, 수소 제거 공정이 개시된다(단계 S17). 수소 제거 공정 동안은 검지부(60)에 의해 플라즈마 중의 원자상 수소의 발광(656.3㎚)이 측정되고, 그 측정치는 프로세스 콘트롤러(50)에 순차적으로 송출된다. 프로세스 콘트롤러(50)는 원자상 수소의 발광(656.3㎚)에 대해 미리 설정된 기준치를 기억부(52)로부터 판독하고, 검지부(60)로부터의 측정치와 대조하여 측정치가 기준치 이하인지 아닌지를 판단한다(단계 S18). 이 단계(S18)에서 원자상 수소의 발광의 측정치가 기준치 이하라고 판단된 경우에는 프로세스 콘트롤러(50)로부터 플라즈마 처리 장치(100)의 각부에 제어 신호가 송출되어, 예컨대 마이크로파의 공급 정지, 가스 공급 정지 등의 조치가 취해져서 수소 제거 공정을 종료시킨다(단계 S19). 한편, 단계(S18)에서 원자상 수소의 발광의 측정치가 기준치 이하가 아니라고 판단된 경우에는 수소 제거 공정의 처리가 속행된다. 단계(S18)의 판단은 원자상 수소의 발광의 측정치가 기준치 이하가 될 때까지 반복된다.
이상과 같이 하여, 플라즈마 세정 처리의 전 공정이 종료한다. 본 실시 형태에서는 플라즈마의 발광을 검지부(60)에 의해 모니터하고, 플라즈마 세정 처리의 각 공정(퇴적물 제거 공정, 불소 제거 공정 및 수소 제거 공정)의 종점 검출을 실행하므로, 공정 시간을 적절하게 관리하는 것이 가능해진다. 따라서, 지나친 플라즈마 처리에 의한 플라즈마 손상의 발생이나, 불충분한 플라즈마 처리에 의한 입자의 발생, 성막에의 악영향 등이 회피되고, 낮은 플라즈마 손상으로 우수한 세정 효과를 얻을 수 있다.
또한, 플라즈마 처리 장치(100) 내에 있어서, 특히 높은 스트레스를 갖는 절연막(예컨대, Si3N4, SiO2 등)을 성막할 때, 이러한 절연막이 챔버 내에 부착된 경우에 막의 강한 스트레스에 의해 챔버 내 부재와의 밀착 상태가 유지되지 않고, 벗겨짐이 생기기 쉬워진다. 그 결과, 입자가 발생하여 웨이퍼(W)의 연속 처리가 곤란하게 된다. 이러한 경우, 1장 또는 몇장의 웨이퍼(W)에 절연막을 성막할 때마다 챔버 내 세정을 실시할 필요가 있다.
도 6은 플라즈마 CVD 처리 동안에 플라즈마 세정 처리를 실시하는 플라즈마 CVD 방법의 순서를 설명하는 도면이다. 예를 들어, 적어도 1장의 웨이퍼(W)를 플라즈마 CVD 처리한 후, 1회째의 세정 처리를 실행한다. 이 세정 처리는 도 4와 동일하게 단계(S1) 내지 단계(S3)의 순서로 실행할 수 있어서, 상술한 바대로 단계(S2)의 불소 제거 처리와 단계(S3)의 수소 제거 처리를 반복하여 실시하는 것도 가능하다. 높은 스트레스의 절연막을 성막할 때는 입자가 발생하기 쉬우므로, 이와 같이 1장 마다 또는 몇장 마다 세정을 실시하는 것이 바람직하다.
1회째의 세정 종료 후에는 예컨대 2장째의 웨이퍼(W)에 대하여 다시 플라즈마 CVD 처리를 실행하고, 그 후에 다시 세정 처리를 실시한다. 이와 같이, 플라즈마 CVD 처리와 인시튜(in situ)의 세정 처리를 교대로 실시함으로써, 챔버(1) 내의 퇴적물이 벗겨지고, 입자가 발생하기 전에 확실하게 제거가능하다. 따라서, 입자의 발생을 극력 억제하면서, 안정하게 연속의 성막 처리를 실행할 수 있다.
다음에, 본 발명의 효과를 확인한 시험 결과에 대해 설명한다.
특히, 300㎜ 웨이퍼(W)에 대하여 질화규소막의 성막 처리를 실행한 후에 챔버(1) 내에 약 500㎚의 두께로 퇴적물이 형성된 플라즈마 처리 장치(100)에 있어서, 이하의 조건으로 플라즈마 세정 처리를 실시했다. 또한, 본 시험에 있어서의 가스 유량, 처리 압력 등의 상세한 설정 조건에 대하여 도 7에 기재하였다. 또한, 이 시험에서는 NF3 가스를 상측의 가스 도입부(15a)로부터 공급하고, 수소 가스를 하측의 가스 도입부(15b)로부터 공급하였지만, 이들 가스 도입 위치의 상하는 반대여도 좋다.
<플라즈마 세정 조건>
(1) 퇴적물 제거 공정
아르곤 가스 유량[가스 도입부(15a)]; 400ml/min(sccm)
아르곤 가스 유량[가스 도입부(15b)]; 400ml/min(sccm)
NF3 가스 유량[가스 도입부(15a)]; 500ml/min(sccm)
처리 압력; 100Pa(750mTorr)
처리 온도; 500℃
마이크로파 전력 밀도; 1.67W/㎝2
마이크로파 전력; 2000W
처리 시간; 15분
(2) 불소 제거 공정
아르곤 가스 유량[가스 도입부(15a)]; 500ml/min(sccm)
아르곤 가스 유량[가스 도입부(15b)]; 500ml/min(sccm)
H2 가스 유량[가스 도입부(15a)]; 20ml/min(sccm)
처리 압력; 66.7Pa(500mTorr)
처리 온도; 500℃
마이크로파 전력 밀도; 1.67W/㎝2
마이크로파 전력; 2000W
처리 시간; 10분
(3) 수소 제거 공정
아르곤 가스 유량[가스 도입부(15a)]; 500ml/min(sccm)
아르곤 가스 유량[가스 도입부(15b)]; 500ml/min(sccm)
처리 압력; 66.7Pa(500mTorr)
처리 온도; 500℃
마이크로파 전력 밀도; 1.67W/㎝2
마이크로파 전력; 2000W
처리 시간; 3분
또한, 이 수소 제거 공정에서는 마이크로파의 출력의 온/오프를 변환함으로써, 챔버(1) 내에서 아르곤 가스 플라즈마를 180초마다 간헐적으로 형성하는 싸이클 처리를 실시하였다.
도 7의 타이밍 챠트에 도시한 것과 같이, 단계(B) 내지 단계(E)가 NF3 플라즈마에 의한 퇴적물 제거 공정, 단계(G) 내지 단계(K)가 수소 플라즈마에 의한 불소 제거 공정, 단계(M) 내지 단계(Q)가 아르곤 플라즈마에 의한 수소 제거 공정이다. 각 공정의 전후에는 진공 흡입을 실시하였다[단계(A), 단계(F), 단계(L), 단계(R)]. 또한, 각 공정에 있어서, 플라즈마 착화(마이크로파 전력·온)를 실행하는 단계(B), 단계(H), 단계(N)에서 챔버 내 압력을 126.6Pa으로 각 세정 조건의 압력보다 높게 설정하고 있는 것은 플라즈마를 생성하기 쉽게 하기 위해서이다. 그리고, 다음의 단계(C), 단계(I), 단계(O)에서 각각 처리 압력을 세정 조건으로 내리고, 가스 유량을 안정화시킨 후에 그 다음의 단계(D), 단계(J), 단계(P)에서 플라즈마를 온으로 하여 각 플라즈마 세정을 실시하였다.
상기 퇴적물 제거 공정에 있어서는 검지부(60)를 이용하여 파장(440.7㎚)의 경우의 플라즈마 중의 SiN의 발광 강도를 모니터하여 종점 검출을 실행하였다. 또한, 상기 불소 제거 공정에 있어서는 검지부(60)를 이용하여 파장(656.3㎚)의 경우의 플라즈마 중의 수소의 발광 강도를 모니터하여 종점 검출을 실행하였다. 또한, 상기 수소 제거 공정에 있어서는 검지부(60)를 이용하여 파장(656.3㎚)의 경우의 플라즈마 중의 수소의 발광 강도를 모니터하여 종점 검출을 실행하였다. 각 공정에 있어서의 발광 스펙트럼을 도 8a 내지 도 8b에 도시하였다(종축은 규격화한 강도를 도시함).
도 8a로부터, Ar/NF3 가스 플라즈마에 의한 처리 시간이 경과함에 따라, 플라즈마 중의 SiN의 발광 강도가 저하되고, 드디어 안정화하는 것을 알 수 있다. 따라서, 플라즈마 중의 SiN의 발광 강도가 미리 설정된 기준치 이하가 된 시점을 퇴적물 제거 공정의 종점으로 판단할 수 있다. 또한, 육안에 의한 관찰로서는 발광 강도가 예컨대 1000 이하로 된 시점에서 챔버(1) 내의 퇴적물(SiN)은 거의 제거되었다. 또한, 플라즈마 중의 SiN의 발광 강도가 상기 기준치 이하에서 안정화한 것(예컨대 발광 스펙트럼의 접선의 경사가 소정치 이하)을 퇴적물 제거 공정의 종점으로 판단해도 좋다. 또한, 퇴적물 제거 공정의 종점의 판단은 육안에 의해 실행할 수도 있다.
또한, 도 8b로부터, Ar/H2 가스 플라즈마에 의한 처리 시간이 경과함에 따라, 플라즈마 중의 원자상 수소의 발광 강도가 증가하고 있다는 것을 알 수 있다. 이것은 불소와의 반응(HF의 형성)에 의해 소비되고 있던 수소가 챔버(1) 내에 있어서의 불소의 감소에 반비례하여 증가한 것을 도시하고 있다. 따라서, 플라즈마 중의 원자상 수소의 발광 강도가 미리 설정된 기준치 이상(예컨대 6000 이상)이 된 시점을 불소 제거 공정의 종점으로 할 수 있다. 또한, 플라즈마 중의 원자상 수소의 발광 강도가 상기 기준치 이상에서 안정화한 것(예컨대 발광 스펙트럼의 접선의 경사가 소정치 이하)을 불소 제거 공정의 종점으로 판단해도 좋다.
도 8c로부터, 아르곤 가스 플라즈마에 의한 플라즈마 처리에 의해 플라즈마 중의 원자상 수소의 발광 강도가 감소하고, 싸이클을 반복함으로써 대략 일정한 레벨에서 안정화되어 가는 것을 알 수 있다. 이것은 플라즈마 처리에 의해 챔버(1) 내에 있어서의 수소가 아르곤 가스 플라즈마의 스패터에 의해 유리하고, 챔버(1) 외부로 배기됨으로써 감소한 것을 도시하고 있다. 따라서, 플라즈마 중의 원자상 수소의 발광 강도가 미리 설정된 기준치 이하(예컨대 250 이하)가 된 시점을 퇴적물 제거 공정의 종점이라고 판단할 수 있다. 또한, 플라즈마 중의 원자상 수소의 발광 강도가 상기 기준치 이하에서 안정화한 것(예컨대 발광 스펙트럼의 접선의 경 사가 소정치 이하)을 수소 제거 공정의 종점으로 판단해도 좋다. 이 수소 제거 공정은 적어도 2회 이상 반복 실시하는 것이 바람직하다.
이상의 결과로부터, 플라즈마 처리 장치(100)의 챔버(1) 내를, 즉 Ar/NF3 가스 플라즈마에 의해 처리함으로써, 퇴적물을 효율적으로 제거할 수 있는 것으로 표시되었다. 또한, 퇴적물을 제거한 후의 챔버(1) 내를 Ar/H2 가스 플라즈마에 의해 처리함으로써 잔류한 불소를 효율적으로 제거할 수 있으며, 또한 그 후의 챔버(1) 내를 아르곤 가스 플라즈마에 의해 처리함으로써 잔류한 수소를 효율적으로 제거할 수 있는 것이 확인되었다. 따라서, 본 실시 형태의 플라즈마 세정 처리를 실시함으로써, 플라즈마 CVD에 사용할 수 있는 플라즈마 처리 장치(100)의 챔버(10) 내를 효율적으로 세정할 수 있음이 확인되었다.
또한, 본 발명은 상기 실시 형태에 한정되는 것은 아니며, 각종 변형이 가능하다. 예를 들어, 도 1의 플라즈마 처리 장치(100)에서는 플라즈마 CVD 처리를 실행 한 후의 챔버(1) 내에서 인시튜로 세정 가스의 플라즈마를 여기시키는 구성을 하였지만, 이것에 한정되지 않으며, 예컨대 플라즈마 처리 장치(100)의 챔버(1)의 외부에서 여기시킨 세정 가스의 플라즈마를 챔버(10) 내에 도입하는 원격(remote) 플라즈마 방식으로 세정 처리를 실행할 수도 있다.
또한, 상기 실시 형태에서는 RLSA 방식의 플라즈마 처리 장치(100)를 예시하였지만, 본 발명의 세정 방법은 다른 방식의 플라즈마 처리 장치, 예컨대 원격 플라즈마 방식, ICP 플라즈마 방식, ECR 플라즈마 방식, 표면 반사파 플라즈마 방식, 마그네트론(magnetron) 플라즈마 방식 등의 플라즈마 처리 장치에도 적용할 수 있다.
또한, 플라즈마 처리 장치(100)에 있어서의 성막 처리의 대상으로서는 질화규소막(SiN막)에 한정되지 않으며, 예컨대 산화규소(SiO2)막, 폴리실리콘 막, 텅스텐(W) 막, 텅스텐실리사이드(tunsten silicide; WSi) 막, 티탄(Ti) 막, 질화티탄(TiN) 막, 탄탈(Ta) 막, 질화탄탈(TaN) 막 등의 성막을 실행하는 경우의 세정에도 적용가능하다.
또한, 상기 실시 형태에 있어서의 플라즈마 세정 방법에서는 퇴적물 제거 공정에서 NF3 가스를 이용하였지만, 예컨대 Cl2나 HCl, ClF3 등의 할로겐 함유 가스를 이용하여 챔버 내 퇴적물을 제거하는 경우에도, 그 후에 H2에 의한 할로겐 제거 공정, 또한 필요에 따라 아르곤 등의 희가스에 의한 수소 제거 공정을 실시함으로써, 플라즈마 세정을 실시할 수 있다.

Claims (12)

  1. 플라즈마 처리 장치에 있어서, 그 내부에 퇴적물이 퇴적하고 있는 처리 용기 내를 세정 가스의 플라즈마를 이용하여 세정하는 플라즈마 세정 방법에 있어서,
    상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와,
    상기 퇴적물을 제거한 후, 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계와,
    불소를 제거한 후, 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 포함하는
    플라즈마 세정 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 불소를 제거하는 단계와 상기 수소를 제거하는 단계를 반복 실시하는
    플라즈마 세정 방법.
  4. 제 1 항에 있어서,
    상기 퇴적물을 제거할 때, 불소를 제거할 때 및 수소를 제거할 때에, 각각 플라즈마의 발광을 모니터함으로써 종점 검출하는
    플라즈마 세정 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마 처리 장치는, 복수의 슬롯을 구비하는 평면 안테나에서 상기 처리 용기 내에 마이크로파를 도입하여 플라즈마를 형성시키며, 피처리 기판 표면에 질화규소막을 퇴적시키는 플라즈마 CVD 장치인
    플라즈마 세정 방법.
  6. 복수의 슬롯을 갖는 평면 안테나를 구비한 마이크로파 발생 장치의, 그 내부에 퇴적물이 퇴적하고 있는 처리 용기 내를 세정 가스의 플라즈마를 이용하여 세정하는 플라즈마 세정 방법에 있어서,
    상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하는 단계와,
    상기 평면 안테나를 통해 마이크로파를 상기 처리 용기 내에 공급함으로써, 상기 처리 용기 내에 상기 세정 가스의 플라즈마를 형성하여 플루오르(F)의 활성종을 생성하는 단계와,
    상기 처리 용기 내의 상기 퇴적물과 상기 플루오르의 활성종을 반응시켜서 상기 퇴적물을 휘발시키는 단계와,
    상기 휘발한 퇴적물 성분을 상기 처리 용기로부터 배출시키는 단계와,
    상기 휘발한 퇴적물 성분의 배출 후에, 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계와,
    불소를 제거한 후, 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 포함하는
    플라즈마 세정 방법.
  7. 삭제
  8. 플라즈마 CVD 장치의 처리 용기 내에서 피처리 기판의 표면에 질화규소막을 퇴적시키는 플라즈마 CVD 방법에 있어서,
    상기 처리 용기 내에 도입한 질소 함유 가스와 규소 함유 가스를 포함하는 가스에 의해 플라즈마를 형성하고, 그 플라즈마에 의해 피처리 기판의 표면에 질화규소막을 퇴적시키는 단계와,
    상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와,
    상기 퇴적물을 제거한 후, 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계와,
    불소를 제거한 후, 또한 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 포함하는
    플라즈마 CVD 방법.
  9. 제 8 항에 있어서,
    상기 불소를 제거하는 단계와 상기 수소를 제거하는 단계를 반복 실시하는
    플라즈마 CVD 방법.
  10. 제 8 항에 있어서,
    수소를 제거한 후, 다시 상기 플라즈마 공정을 실행하는
    플라즈마 CVD 방법.
  11. 컴퓨터 상에서 작동하며, 플라즈마 처리 장치의 처리 용기 내를 세정 가스의 플라즈마를 이용하여 세정할 때에 상기 플라즈마 처리 장치를 제어하는 프로그램이 기억된 기억 매체에 있어서,
    상기 프로그램은, 실행시에,
    상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와, 상기 퇴적물을 제거한 후, 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계와, 불소를 제거한 후, 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 포함하는 플라즈마 세정 방법이 실행되도록 컴퓨터로 상기 플라즈마 처리 장치를 제어시키는
    기억 매체.
  12. 플라즈마 처리 장치에 있어서,
    플라즈마를 이용하여 피처리 기판을 처리하기 위한 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에 마이크로파를 도입하는 복수의 슬롯을 구비하는 평면 안테나와,
    상기 처리 용기 내에 세정 가스를 공급하는 가스 공급 기구와,
    상기 처리 용기 내에 NF3 가스를 포함하는 세정 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내의 퇴적물을 제거하는 단계와, 상기 퇴적물을 제거한 후, 상기 처리 용기 내에 수소 가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 불소를 제거하는 단계와, 불소를 제거한 후, 상기 처리 용기 내에 희가스를 포함하는 가스를 도입하고 플라즈마를 형성하여 상기 처리 용기 내에 잔류하는 수소를 제거하는 단계를 포함하는 플라즈마 세정 방법이 실행되도록 각 구성부를 제어하는 제어부를 구비하는
    플라즈마 처리 장치.
KR1020097005392A 2006-09-19 2007-09-18 플라즈마 세정 방법 및 플라즈마 cvd 방법 KR101057877B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006252446 2006-09-19
JPJP-P-2006-252446 2006-09-19
PCT/JP2007/068098 WO2008035678A1 (fr) 2006-09-19 2007-09-18 Processus de nettoyage de plasma et procédé cvd plasma

Publications (2)

Publication Number Publication Date
KR20090053823A KR20090053823A (ko) 2009-05-27
KR101057877B1 true KR101057877B1 (ko) 2011-08-19

Family

ID=39200503

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097005392A KR101057877B1 (ko) 2006-09-19 2007-09-18 플라즈마 세정 방법 및 플라즈마 cvd 방법

Country Status (6)

Country Link
US (1) US8366953B2 (ko)
JP (1) JP5241499B2 (ko)
KR (1) KR101057877B1 (ko)
CN (1) CN101517713B (ko)
TW (1) TWI428962B (ko)
WO (1) WO2008035678A1 (ko)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5660205B2 (ja) * 2011-04-25 2015-01-28 東京エレクトロン株式会社 成膜方法
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
JP5659079B2 (ja) * 2011-05-10 2015-01-28 株式会社アルバック ZrBO膜の形成装置
CN103035466B (zh) * 2011-10-08 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9502233B2 (en) * 2012-03-22 2016-11-22 Hitachi Kokusai Electric, Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium
KR101965256B1 (ko) * 2012-10-17 2019-04-04 삼성디스플레이 주식회사 유기 발광 표시 장치
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9246133B2 (en) * 2013-04-12 2016-01-26 Semiconductor Energy Laboratory Co., Ltd. Light-emitting module, light-emitting panel, and light-emitting device
CN104233234A (zh) * 2013-06-17 2014-12-24 沙嫣 一种带氟清理装置的pecvd炉及其氟清理方法
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
CN105448659A (zh) * 2014-09-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种提高清洗效率的方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102058106B1 (ko) * 2015-11-20 2019-12-20 주식회사 원익아이피에스 반도체 소자의 제조방법
CN105714270A (zh) * 2016-04-15 2016-06-29 信利(惠州)智能显示有限公司 化学气相沉积清洗终点监测方法及其系统
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6845773B2 (ja) 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP2020077750A (ja) * 2018-11-07 2020-05-21 東京エレクトロン株式会社 クリーニング方法及び成膜方法
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
JP7385809B2 (ja) * 2019-09-05 2023-11-24 日新イオン機器株式会社 イオンビーム照射装置のクリーニング方法
CN110747450A (zh) * 2019-09-12 2020-02-04 常州比太科技有限公司 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法
JP2022086144A (ja) * 2020-11-30 2022-06-09 東京エレクトロン株式会社 チャンバーコンディションの診断方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
JP2022191960A (ja) * 2021-06-16 2022-12-28 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
KR20230169926A (ko) * 2022-06-08 2023-12-18 주식회사 히타치하이테크 플라스마 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2002371360A (ja) * 2001-06-15 2002-12-26 Matsushita Electric Ind Co Ltd 半導体の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JPH0732141B2 (ja) * 1992-09-11 1995-04-10 株式会社半導体エネルギー研究所 炭素膜作製方法
JPH0793276B2 (ja) 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US7515264B2 (en) 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
JP2003037105A (ja) * 2001-07-26 2003-02-07 Tokyo Electron Ltd プラズマ処理装置及び方法
JP4374854B2 (ja) 2001-12-25 2009-12-02 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
US6911233B2 (en) * 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
JP3657942B2 (ja) * 2003-01-16 2005-06-08 沖電気工業株式会社 半導体製造装置の洗浄方法、及び半導体装置の製造方法
JP2004335789A (ja) * 2003-05-08 2004-11-25 Tadahiro Omi 基板処理装置のクリーニング方法
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP4718189B2 (ja) * 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2002371360A (ja) * 2001-06-15 2002-12-26 Matsushita Electric Ind Co Ltd 半導体の製造方法

Also Published As

Publication number Publication date
CN101517713B (zh) 2011-02-09
TWI428962B (zh) 2014-03-01
US20090308840A1 (en) 2009-12-17
WO2008035678A1 (fr) 2008-03-27
TW200830375A (en) 2008-07-16
US8366953B2 (en) 2013-02-05
JPWO2008035678A1 (ja) 2010-01-28
JP5241499B2 (ja) 2013-07-17
KR20090053823A (ko) 2009-05-27
CN101517713A (zh) 2009-08-26

Similar Documents

Publication Publication Date Title
KR101057877B1 (ko) 플라즈마 세정 방법 및 플라즈마 cvd 방법
JP4836780B2 (ja) 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
US8747686B2 (en) Methods of end point detection for substrate fabrication processes
KR100978966B1 (ko) 기판 처리 방법 및 기판 처리 장치
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
JP4979575B2 (ja) 基板の窒化処理方法および絶縁膜の形成方法
WO2005104186A2 (en) Method and processing system for plasma-enhanced cleaning of system components
JP2005163183A (ja) 基板処理装置のクリーニング方法
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
KR20060096036A (ko) Ti막 및 TiN막의 성막 방법, 접촉 구조체 및 컴퓨터 판독 가능한 기억 매체
KR101477831B1 (ko) 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치
JP5425361B2 (ja) プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
WO2009123049A1 (ja) 高ストレス薄膜の成膜方法及び半導体集積回路装置の製造方法
JP2889191B2 (ja) ドライエッチング方法
JP2011029250A (ja) マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 9