DE69117824D1 - Vorrichtung und Verfahren zum Substratschutz während Substratbearbeitung - Google Patents

Vorrichtung und Verfahren zum Substratschutz während Substratbearbeitung

Info

Publication number
DE69117824D1
DE69117824D1 DE69117824T DE69117824T DE69117824D1 DE 69117824 D1 DE69117824 D1 DE 69117824D1 DE 69117824 T DE69117824 T DE 69117824T DE 69117824 T DE69117824 T DE 69117824T DE 69117824 D1 DE69117824 D1 DE 69117824D1
Authority
DE
Germany
Prior art keywords
substrate
protection during
substrate processing
processing
during substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69117824T
Other languages
English (en)
Other versions
DE69117824T2 (de
Inventor
Van De Ven P Everhardus
Eliot K Broadbent
Jeffrey C Benzing
Barry L Chin
Christopher W Burkhart
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/554,225 external-priority patent/US5230741A/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of DE69117824D1 publication Critical patent/DE69117824D1/de
Application granted granted Critical
Publication of DE69117824T2 publication Critical patent/DE69117824T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
DE69117824T 1990-07-16 1991-07-15 Vorrichtung und Verfahren zum Substratschutz während Substratbearbeitung Expired - Fee Related DE69117824T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/554,225 US5230741A (en) 1990-07-16 1990-07-16 Gas-based backside protection during substrate processing
US07/678,579 US5238499A (en) 1990-07-16 1991-03-25 Gas-based substrate protection during processing

Publications (2)

Publication Number Publication Date
DE69117824D1 true DE69117824D1 (de) 1996-04-18
DE69117824T2 DE69117824T2 (de) 1996-08-08

Family

ID=27070526

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69117824T Expired - Fee Related DE69117824T2 (de) 1990-07-16 1991-07-15 Vorrichtung und Verfahren zum Substratschutz während Substratbearbeitung
DE199191306394T Pending DE467623T1 (de) 1990-07-16 1991-07-15 Vorrichtung und verfahren zum substratschutz waehrend substratbearbeitung.

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE199191306394T Pending DE467623T1 (de) 1990-07-16 1991-07-15 Vorrichtung und verfahren zum substratschutz waehrend substratbearbeitung.

Country Status (5)

Country Link
US (1) US5238499A (de)
EP (1) EP0467623B1 (de)
JP (1) JP2642005B2 (de)
KR (1) KR970011643B1 (de)
DE (2) DE69117824T2 (de)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
US5425842A (en) * 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
JP3566740B2 (ja) * 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5343012A (en) * 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
JP3333605B2 (ja) * 1992-11-12 2002-10-15 アプライド マテリアルズ インコーポレイテッド 低熱膨張クランプ機構
KR960006958B1 (ko) * 1993-02-06 1996-05-25 현대전자산업주식회사 이시알 장비
KR960006956B1 (ko) * 1993-02-06 1996-05-25 현대전자산업주식회사 이시알(ecr) 장비
DE4305750C2 (de) * 1993-02-25 2002-03-21 Unaxis Deutschland Holding Vorrichtung zum Halten von flachen, kreisscheibenförmigen Substraten in der Vakuumkammer einer Beschichtungs- oder Ätzanlage
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP3190165B2 (ja) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
JPH07153706A (ja) * 1993-05-27 1995-06-16 Applied Materials Inc サセプタ装置
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
US5534110A (en) * 1993-07-30 1996-07-09 Lam Research Corporation Shadow clamp
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5885469B1 (en) * 1996-11-05 2000-08-08 Applied Materials Inc Topographical structure of an electrostatic chuck and method of fabricating same
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
EP0668607A1 (de) * 1994-02-22 1995-08-23 Applied Materials, Inc. Erosionsbeständiger elektrostatischer Substratbehälter
DE19502777A1 (de) * 1994-02-22 1995-08-24 Siemens Ag Verfahren zur plasmaunterstützten Rückseitenätzung einer Halbleiterscheibe bei belackungsfreier Scheibenvorderseite
JP4108119B2 (ja) * 1994-02-23 2008-06-25 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5738751A (en) * 1994-09-01 1998-04-14 Applied Materials, Inc. Substrate support having improved heat transfer
US5529626A (en) * 1994-10-24 1996-06-25 Nec Electronics, Inc. Spincup with a wafer backside deposition reduction apparatus
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP2773674B2 (ja) * 1995-03-31 1998-07-09 日本電気株式会社 半導体装置の製造装置及び半導体装置の製造方法
WO1997003456A1 (de) * 1995-07-12 1997-01-30 Sez Semiconductor-Equipment Zubehör Für Die Halbleiterfertigung Gesellschaft Mbh Träger für scheibenförmige gegenstände, insbesondere siliziumscheiben
US5938943A (en) * 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5863340A (en) * 1996-05-08 1999-01-26 Flanigan; Allen Deposition ring anti-rotation apparatus
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US6098304A (en) * 1996-07-26 2000-08-08 Advanced Micro Devices, Inc. Apparatus for reducing delamination within a polycide structure
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5748435A (en) * 1996-12-30 1998-05-05 Applied Materials, Inc. Apparatus for controlling backside gas pressure beneath a semiconductor wafer
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US6214122B1 (en) 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
US6122562A (en) * 1997-05-05 2000-09-19 Applied Materials, Inc. Method and apparatus for selectively marking a semiconductor wafer
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6365013B1 (en) * 1997-11-03 2002-04-02 Siemens Aktiengesellschaft Coating method and device
US6084215A (en) * 1997-11-05 2000-07-04 Tokyo Electron Limited Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6168697B1 (en) * 1998-03-10 2001-01-02 Trusi Technologies Llc Holders suitable to hold articles during processing and article processing methods
US6095582A (en) * 1998-03-11 2000-08-01 Trusi Technologies, Llc Article holders and holding methods
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6572814B2 (en) 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
DE19901291C2 (de) * 1999-01-15 2002-04-18 Sez Semiconduct Equip Zubehoer Vorrichtung zur Ätzbehandlung eines scheibenförmigen Gegenstandes
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
SG87084A1 (en) * 1999-02-09 2002-03-19 Applied Materials Inc Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6803546B1 (en) * 1999-07-08 2004-10-12 Applied Materials, Inc. Thermally processing a substrate
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6176931B1 (en) 1999-10-29 2001-01-23 International Business Machines Corporation Wafer clamp ring for use in an ionized physical vapor deposition apparatus
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6521292B1 (en) 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
US6583428B1 (en) 2000-09-26 2003-06-24 Axcelis Technologies, Inc. Apparatus for the backside gas cooling of a wafer in a batch ion implantation system
US6580082B1 (en) * 2000-09-26 2003-06-17 Axcelis Technologies, Inc. System and method for delivering cooling gas from atmospheric pressure to a high vacuum through a rotating seal in a batch ion implanter
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US7282183B2 (en) * 2001-12-24 2007-10-16 Agilent Technologies, Inc. Atmospheric control in reaction chambers
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
EP1738251A2 (de) * 2004-04-16 2007-01-03 Cascade Basic Research Corp. Modellierung von verhältnissen in einer umgebung mit vernetzter verbindung
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
KR100898793B1 (ko) * 2005-12-29 2009-05-20 엘지디스플레이 주식회사 액정표시소자용 기판 합착 장치
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
DE102006018514A1 (de) 2006-04-21 2007-10-25 Aixtron Ag Vorrichtung und Verfahren zur Steuerung der Oberflächentemperatur eines Substrates in einer Prozesskammer
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US8608146B2 (en) 2009-12-18 2013-12-17 Lam Research Ag Reinforced pin for being used in a pin chuck, and a pin chuck using such reinforced pin
US8613288B2 (en) 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102789960A (zh) * 2011-05-16 2012-11-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备腔室的等离子清洗方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
EP2794953A1 (de) * 2011-12-21 2014-10-29 Applied Materials, Inc. System und verfahren zur substratverarbeitung
US9558985B2 (en) * 2012-03-28 2017-01-31 Acm Research (Shanghai) Inc. Vacuum chuck
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9460915B2 (en) 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP7178177B2 (ja) * 2018-03-22 2022-11-25 東京エレクトロン株式会社 基板処理装置
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11145532B2 (en) * 2018-12-21 2021-10-12 Toto Ltd. Electrostatic chuck
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11199562B2 (en) 2019-08-08 2021-12-14 Western Digital Technologies, Inc. Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same
KR20210030074A (ko) 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
CN117004928B (zh) * 2023-09-21 2023-12-26 上海谙邦半导体设备有限公司 一种化学气相沉积晶圆保护系统

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH632403A5 (de) * 1977-09-08 1982-10-15 Avl Ag Verfahren und einrichtung zum ermitteln von systolischen zeitintervallen.
EP0017472A1 (de) * 1979-04-06 1980-10-15 Lintott Engineering Limited Vakuumapparatur mit einer Vorrichtung zur Wärmeübertragung und Verfahren zur Herstellung von Halbleiterkomponenten unter Anwendung dieser Apparatus
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPS5785235A (en) * 1980-11-18 1982-05-27 Nippon Kogaku Kk <Nikon> Sampling stand
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS593945A (ja) * 1982-06-29 1984-01-10 Matsushita Electric Works Ltd ウエハ−の吸着装置
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4523985A (en) * 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4527620A (en) * 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4535834A (en) * 1984-05-02 1985-08-20 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
JPS6139520A (ja) * 1984-07-31 1986-02-25 Hitachi Ltd プラズマ処理装置
JPS6233319U (de) * 1985-08-19 1987-02-27
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
US4687682A (en) * 1986-05-02 1987-08-18 American Telephone And Telegraph Company, At&T Technologies, Inc. Back sealing of silicon wafers
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
DE3633386A1 (de) * 1986-10-01 1988-04-14 Leybold Ag Verfahren und vorrichtung zum behandeln von substraten im vakuum
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JP2732463B2 (ja) * 1988-01-07 1998-03-30 コニカ株式会社 磁気記録媒体
EP0350752B1 (de) * 1988-07-15 1993-10-13 Balzers Aktiengesellschaft Haltevorrichtung für eine Scheibe sowie Anwendung derselben
US4859304A (en) * 1988-07-18 1989-08-22 Micron Technology, Inc. Temperature controlled anode for plasma dry etchers for etching semiconductor
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
DE3943478C2 (de) * 1989-05-08 1995-11-16 Philips Electronics Nv Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumbehandlungsanlage
JP2874186B2 (ja) * 1989-05-23 1999-03-24 ソニー株式会社 低温処理装置
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JPH03201315A (ja) * 1989-12-27 1991-09-03 Hitachi Cable Ltd フラットケーブルの製造方法

Also Published As

Publication number Publication date
JPH04233221A (ja) 1992-08-21
EP0467623B1 (de) 1996-03-13
US5238499A (en) 1993-08-24
KR970011643B1 (ko) 1997-07-12
DE69117824T2 (de) 1996-08-08
EP0467623A2 (de) 1992-01-22
EP0467623A3 (en) 1992-05-13
DE467623T1 (de) 1992-07-23
JP2642005B2 (ja) 1997-08-20
KR920003409A (ko) 1992-02-29

Similar Documents

Publication Publication Date Title
DE69117824D1 (de) Vorrichtung und Verfahren zum Substratschutz während Substratbearbeitung
DE69415484D1 (de) Vorrichtung und verfahren zum laserbearbeiten
DE69315090D1 (de) Vorrichtung und verfahren zum einkapseln
DE69130914D1 (de) Verfahren und Vorrichtung zum spanabhebenden Bearbeiten
DE69221630D1 (de) Vorrichtung und verfahren zum beschichten von partikeln
DE59204722D1 (de) Verfahren und Vorrichtung zum Kommissionieren
DE69125447D1 (de) Verfahren und Vorrichtung zum Positionieren von Wandlern
DE69428431D1 (de) Verfahren und vorrichtung zum druckformen
DE69525317D1 (de) Vorrichtung und Verfahren zum Verarbeiten von bewegten Bildern
DE69206380D1 (de) Verfahren und Vorrichtung zum Schutz von Kabelverbindungen.
DE69210650D1 (de) Vorrichtung und Verfahren zum Elektroplattieren
DE69218107D1 (de) Vorrichtung und Verfahren zum Übertragen von Abziehbildern
DE69002726D1 (de) Verfahren und vorrichtung zum granulieren und ueberziehen.
DE69113462D1 (de) Verfahren und vorrichtung zum suchen eines gegenstands.
DE69113434D1 (de) Verfahren und vorrichtung zum funkenerosionsbearbeiten.
DE69112908D1 (de) Verfahren und Vorrichtung zum Schutz von Thyristoren.
DE69215851D1 (de) Verfahren und vorrichtung zum laserbearbeiten
DE69106344D1 (de) Verfahren und vorrichtung zum palettisieren.
DE59207881D1 (de) Verfahren und Vorrichtung zum Aufbereiten von Geräteschrott
DE59305123D1 (de) Vorrichtung und Verfahren zum elektroerosiven Schneiden
DE69102536D1 (de) Verfahren und vorrichtung zum verringern der wafer-verunreinigung.
DE69109038D1 (de) Vorrichtung und Verfahren zum Abziehen einer Schutzfolie von einem Werkstück.
DE69228160D1 (de) Vorrichtung und Verfahren zum Beschichten
DE69101545D1 (de) Verfahren und vorrichtung zum positionieren von bestandteilen.
DE68908330D1 (de) Vorrichtung und verfahren zum wiedereinfaerben.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee