KR101018259B1 - 일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법 - Google Patents

일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법 Download PDF

Info

Publication number
KR101018259B1
KR101018259B1 KR1020057004134A KR20057004134A KR101018259B1 KR 101018259 B1 KR101018259 B1 KR 101018259B1 KR 1020057004134 A KR1020057004134 A KR 1020057004134A KR 20057004134 A KR20057004134 A KR 20057004134A KR 101018259 B1 KR101018259 B1 KR 101018259B1
Authority
KR
South Korea
Prior art keywords
substrate
chuck
temperature
processing
heating
Prior art date
Application number
KR1020057004134A
Other languages
English (en)
Other versions
KR20050061468A (ko
Inventor
제럴드 콕스
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20050061468A publication Critical patent/KR20050061468A/ko
Application granted granted Critical
Publication of KR101018259B1 publication Critical patent/KR101018259B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 가열된 척을 사용하여 프로세스 챔버 내에서 기판을 가열하는 방법을 제공한다. 이 방법에 따르면, 기판이 척 상으로 하강하여 척의 온도보다 낮은 제 1 온도로 가열된다. 그 후, 기판은 척으로부터 멀리 상승하고, 기판이 척 위쪽에서 지지되면서 기판에 대해 프로세스가 실행된다. 그 후, 기판은 다시 척으로 하강하여, 기판의 추가의 처리를 위해 제 1 온도보다 높은 제 2 온도로 가열된다.

Description

일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법{METHOD OF HEATING A SUBSTRATE IN A VARIABLE TEMPERATURE PROCESS USING A FIXED TEMPERATURE CHUCK}
본 발명은 열 척(thermal chuck)으로 기판을 가열하는 것에 관한 것으로, 특히 일정 온도 열 척을 사용하여 기판을 가변 가열하는 것에 관한 것이다.
반도체 웨이퍼와 같은 기판에 상승된 온도에서 반도체 화학 프로세스가 종종 실행된다. 어떤 프로세스에서는, 기판에 대하여 상이한 온도로 여러 프로세스 단계를 실행하는 것이 바람직하다. 그러한 프로세스의 일례는 이온 주입 포토레지스트(ion implanted photoresist)의 제거이며, 여기에서 이온 주입 물질은 포토레지스트의 외피에 크러스트(crust)를 형성한다. 크러스트 두께 및 구성은 이온의 가속 전압, 빔 전류, 총 도즈(dose) 및 원래의 포토레지스트의 열경화 온도에 따라 달라진다.
주입된 포토레지스트의 온도가 일정 온도를 초과하는 경우, 하부의 포토레지스트 내의 용매가 기화하여, 크러스트를 통해 폭발하거나 "튀어나온다(pop)". 튀어나온 크러스트 물질은 프로세스 챔버의 내부 전체로 확산하여, 상당한 양의 미립자를 생성하고, 챔버 벽에 제거하기가 매우 어려운 잔류물을 남기기 쉽다. 또한, 튀 어나오는 부위에서 기판 상에 형성되는 잔류물의 제거가 매우 어려울 수 있다. 이들 잔류물의 제거는 종종 고가의 습식 화학 후속 단계를 필요로 한다.
크러스트가 튀어나오는 온도는 일반적으로 원래의 포토레지스트 경화 온도와 대체로 유사하다. 포토레지스트는 웨이퍼 상에 액체 형태로 발라진 후, 핫 플레이트(hot plate) 상에서 소성 단계를 통해 경화된다. 일반적으로, 어플리케이션마다 다른 경화 온도가 선택되지만, 경화 온도는 일반적으로 약 80℃ 이상, 그리고 약 180℃ 이하이며, 어떤 경우에는 200℃ 이상이 될 수도 있다. 낮은 경화 온도는 일반적으로 유사하게 낮은 주입 포토레지스트 팝핑(popping) 온도를 야기한다.
크러스트 물질 아래의 포토레지스트를 효율적으로 박리(strip)하기 위해, 기판 온도를 약 200℃가 넘게, 바람직하게는 약 250℃ 및 300℃ 사이로 상승시키는 것이 바람직하다. CF4와 같은 플루오르 함유 기체의 첨가는 저온에서의 포토레지스트 박리를 가속화할 수는 있지만, 플루오르는 기판 상의 실리콘 디옥사이드 특징을 공격하는 경향도 있다. 일반적으로, 실리콘 디옥사이드의 손실을 허용하는 것은 매우 바람직하지 못하다. 따라서, 플루오르 기체 화합물을 사용할 때, 사용되는 양은 기판 온도에 따라 신중하게 선택된다. 기판이 약 25℃ 및 80℃ 사이와 같은 저온에 있을 때, 허용되는 CF4의 백분율이 더 커진다. 그러나, 온도가 예컨대, 250℃ 이상으로 상승될 때, 플루오르는 실리콘 디옥사이드를 향하여 매우 공격적으로 되어, 허용 불가능한 양의 실리콘 디옥사이드가 제거된다. 또, 플루오르 기체 화합물은 종종 이온 주입 단계 후에 기판 상에 남은 잔류물을 제거하는 데 사용된다. 따라 서, 플루오르 함유 기체 화합물을 효율적으로 사용하기 위해, 기판 온도는 챔버 내에 존재하는 플루오르 기체 화합물의 백분율에 관련하여 신중하게 제어되어야 한다.
온도가 일정하게 순환될 때 기판을 가열하는 종래의 방법은 램프(lamps) 또는 다른 복사 에너지원을 사용하는 것이다. 기판은 일반적으로 약 실온으로부터 크러스트 물질의 팝핑 온도 바로 아래의 소정의 온도까지 가열된다. 온도가 비교적 낮게 유지되는 경우, 심각한 손상을 가하지 않고 이온 주입 크러스트를 제거하기 위해, 비교적 높은 백분율의 CF4 또는 다른 플루오르 함유 기체 화합물(일반적으로 총 프로세스 기체 흐름의 약 3% 내지 약 15%)도 사용될 수 있다.
이온 주입 크러스트를 제거하는 제 1 프로세스 단계가 완료되면, 기판 온도는 약 200℃ 및 300℃ 사이, 바람직하게는 약 250℃ 내지 300℃의 통상의 포토레지스트 박리 온도로 상승될 수 있다. 종종, 0.2% 내지 1%의 매우 낮은 농도의 플루오르 함유 기체가 이들 더 높은 온도에서 사용된다.
복사 램프 기반 가열 기술을 사용하는데 따른 하나의 문제점은 기판 전체에서 온도 균일성을 유지하는 어려움이다. 종종 기판 상의 복사 가열 패턴에 상당한 편차가 있으며, 이는 기판 전체의 처리 레이트(rate)에 있어서 유사한 편차를 야기할 수 있고, 그로 인해 기판의 일부 영역이 기판의 다른 부분보다 프로세스에서 더 멀리 있게 된다. 그 결과, 화학 프로세스 시간은, 더 느리게 가열되는 영역이 해당 프로세스를 확실히 완료할 시간을 갖도록 연장되어야 한다. 그러나, 이러한 추가의 프로세스 시간은 또한 실리콘 디옥사이드 손실을 더욱 크게 한다. 진보된 반도체 기술에서, 임계적인 기하학 사이즈가 감소함에 따라, 몇 옹스트롬(Å)의 실리콘 디옥사이드 손실도 허용 불가능하게 될 수 있다.
다른 기판 가열 방법은 기판과 포토레지스트층을 가열하기 위해 열 척을 사용하는 것이다. 그러나, 대규모의 열 척의 온도는 일반적으로 빠르게 변화될 수 없으므로, 대체로 프로세스 시간을 증가시킨다. 이와 달리, 척은 이온 주입 포토레지스트 팝핑 온도보다 낮은 일정한 온도로 유지될 수 있다. 비교적 대규모의 가열된 척이 기판에 매우 균일한 열 전달을 제공하지만, 총 프로세스 시간은 일반적으로 감소된 웨이퍼 온도로 인해 램프 기반 시스템보다 대체로 더 길어진다. 그러므로, 상술한 바람직하지 않은 불균일성 문제에도 불구하고, 증가된 처리량으로 인해 일반적으로 웨이퍼를 가열하기 위해 램프 기반 시스템을 사용하는 것이 경제적으로 바람직하다.
따라서, 램프 기반 웨이퍼 가열 시스템에 의해 종래 달성된 웨이퍼 온도 편차성과 열 척에 의해 달성되는 가열 균일성의 이점을 결합하는 것이 바람직하다. 그러므로, 처리 중에 기판의 온도를 고속으로 균일하게 변화시키는 개량된 시스템 및 방법이 요구되고 있다.
따라서, 더 낮은 온도(예컨대, 100℃ 내지 120℃)에서 레지스트의 크러스트를 제거한 후 온도를 상승시켜 박리 프로세스의 나머지 동안의 처리량을 향상시키는 것이 유리하다.
본 발명의 일 실시예는 열 척에 대한 기판의 수직 위치를 선택적으로 변화시킴으로써 일정 온도 열 척을 사용하는 단계에서 기판의 온도를 변화시키는 방법을 포함한다.
본 발명의 일 실시예에 따르면, 가열된 척을 사용하여 프로세스 챔버 내에서 기판을 가열하는 방법이 제공된다. 이 실시예의 방법에 따르면, 기판이 척 상으로 하강되어, 척의 온도보다 낮은 제 1 온도로 가열된다. 그 후, 기판은 척으로부터 멀리 상승되고, 기판이 척 위쪽에서 지지되는 동안 기판에 대해 프로세스가 실행된다. 그 후, 기판은 척으로 다시 하강되어 기판의 추가의 처리를 위해 제 1 온도보다 높은 제 2 온도로 가열된다.
다른 실시예에 따르면, 기판 처리 시퀀스 동안 기판의 온도를 제어하는 방법이 제공된다. 이 방법은 적어도 소망의 최대 기판 온도인 제 1 온도로 열 척을 제공하는 단계 및 척을 나머지 프로세스 단계 동안 제 1 온도로 유지하는 단계를 포함한다. 이 방법은 척 위쪽에서 웨이퍼를 지지하는 단계, 및 기판의 온도를 변화시키기 위해 기판과 척 사이의 갭을 선택적으로 증가 및 감소시키는 단계를 추가로 포함한다. 다른 실시예에서는, 기판은 기판의 온도를 소망의 온도로 증가시키기 위해, 소정 길이의 시간동안 척에 근접하게 유지된다. 또 다른 실시예에서는, 챔버 압력이, 척과 기판 사이의 증가된 열 전달을 용이하게 하기 위해, 변화된다.
본 발명의 또 다른 실시예는 기판 처리 시스템을 제공한다. 이 실시예에 따르면, 그러한 시스템은 처리 챔버, 복수의 웨이퍼를 순차적으로 로딩, 처리 및 언로딩하는 동안 일정 온도로 유지되도록 구성되는 열 척을 포함한다. 그러한 시스템은 기판을 지지하도록 구성된 지지 구조를 추가로 포함한다. 지지 구조는 열 척의 상면과 기판의 하면 사이의 거리를 선택적으로 변화시키도록 구성되는 동작 제어 시스템에 결합된다. 이 실시예의 시스템은 또한 기판이 2 이상의 구별되는 위치에 지지되는 경우에 기판을 처리하도록 구성된다.
다른 실시예에 따르면, 기판 처리 시스템은, 챔버 압력을 처리 압력으로 감소시키는 단계; 기판을 척과 근접하게 하강시키는 단계; 기판이 제 1 온도에 도달할 때까지 기판을 척에 근접한 상태로 유지시키는 단계; 기판을 척 위쪽으로 상승시키는 단계; 및 상승된 기판에 대해 제 1 처리 단계를 실행하는 단계를 순차적으로 실행하도록 프로그램된 자동 제어 시스템을 추가로 포함한다.
또 다른 실시예에서는, 자동 제어 시스템은,` 상기 제 1 처리 단계를 실행한 후, 기판을 척에 근접하게 하강시켜 기판이 더 높은 제 2 온도에 도달할 때까지 척에 근접하게 기판을 유지시키는 단계; 및 제 2 처리 단계를 실행하는 단계를 추가로 순차적으로 실행하도록 프로그램된다.
또, 또 다른 실시예에서는, 자동 제어 시스템은 기판을 척에 근접하게 하강하기 전에, 챔버 압력을 프로세스 압력과 로드/언로드 압력 사이의 중간 압력으로 변화시키도록 추가로 구성된다.
본 발명의 또 다른 실시예는 플루오르 함유 기체 화합물의 농도를 기판 온도의 역함수로서 변화시킴으로써, 실리콘 디옥사이드 기판의 처리 중에 실리콘 디옥사이드 손실을 제어하는 방법을 포함한다. 일 실시예에서, 이 방법은 복수의 기판 처리 사이클 동안, 단일의 일정한 온도로 유지되는 열 척으로 프로세스 챔버 내에서 실행된다.
본 발명의 또 다른 실시예는 플라즈마 전력 레벨을 기판 온도의 함수로서 변화시킴으로써, 실리콘 디옥사이드 기판의 처리 중에 실리콘 디옥사이드 손실을 제어하는 방법을 포함한다. 일 실시예에서, 이 방법은 복수의 기판 처리 사이클 동안, 단일의 일정한 온도로 유지되는 열 척으로 프로세스 챔버 내에서 실행된다.
도 1은 본 발명에 따르는 소정의 특징을 갖는 열 척 및 웨이퍼 리프트(wafer lift) 시스템의 일 실시예의 개략적인 횡단면도이다.
도 2A는 본 발명에 따르는 소정의 특징을 갖는 하나의 예시적인 프로세스 시퀀스를 나타내는 플로우차트이다.
도 2B는 본 발명에 따르는 소정의 특징을 갖는 다른 예시적인 프로세스 시퀀스를 나타내는 플로우차트이다.
도 2C는 본 발명에 따르는 소정의 특징을 갖는 다른 예시적인 프로세스 시퀀스를 나타내는 플로우차트이다.
도 3은 기판이 여러 단계에서 가열되는 예시적인 프로세스의 기판 온도 대 프로세스 시간의 그래프이다.
도 4는 도 3의 프로세스의 CF4 농도 대 프로세스 시간의 그래프이다.
바람직한 실시예에 따르는 프로세스 챔버(10)를 도 1에 나타내고 있다. 챔버 (10)는, 당업자라면 현 위치의(in situ) 플라즈마 처리기도 생각할 수 있겠지만, 원격 플라즈마 유닛(도시 생략)과 유체 연통되고 있다. 웨이퍼 지지 구조 또는 척(12)이 프로세스 챔버(10) 내에 위치된다. 척(12)은 먼저 프로세스 온도로 가열되고(예컨대, 순환하는 유체를 가열하거나, 전기 저항 가열 또는 임의의 다른 적절한 방법에 의해), 후술하는 후속 프로세스 중에 대체로 일정한 온도로 유지되는 것이 바람직하다.
여기에서 사용되는 바와 같이, 용어 "일정 온도"는 광의의 용어이고, 통상적인 의미로 사용되며, 제한 없이 유한 시간 간격동안 대체로 불변인 소망의 온도를 말한다. 여기에서 사용되는 바와 같이, "대체로 불변"은 당해의 유한한 시간 간격동안 허용 가능한 범위 내에서 온도가 유지되는 것을 의미한다. 당업자는 본 개시 내용에 비추어, 아이템의 온도에 어느 정도의 변화는 허용 가능하고, 또한 특정 시간 간격동안 온도가 "일정"하다고 볼 수 있기 위해 해당 온도가 정확하게 하나의 값에서 유지될 필요는 없다는 것을 인식할 것이다.
기판(14)은 게이트 밸브(40)를 통해 프로세스 챔버(10) 내에 적재된다. 도 1에 나타내는 바와 같이, 기판(14)은 먼저 웨이퍼 척(12)을 통과하여 연장하는 리프트 핀(16)에 의해 척(12) 위쪽에 지지된다. 이와 달리, 기판(14)은 픽업 디바이스 또는 다른 기구에 의해 지지되거나 매달려 있을 수도 있다. 하나 이상의 리프트 핀(16)에는 기판(14)의 온도를 검출하기 위해 열전쌍(thermocouple)(도시 생략)이 제공되어도 된다. 이와 달리, 고온계(pyrometers)나 복사(광) 온도 측정 디바이스, 광섬유 온도계(optical fiber thermometers)나 점 복사 온도계(spot radiation thermometers)와 같은 다른 직접 접촉 측정 디바이스가 사용될 수 있다.
기판은 리프트 기구(20)에 의해 척(12)에 대해 상승 또는 하강할 수 있다. 예시한 실시예에서, 리프트 기구는 리프트 핀(16)을 연장 또는 수축시켜 핀(16)을 척(12)에 관련하여 수직 상하 이동시킬 수 있다. 예시한 실시예에서, 리프트 기구(20)는 리프트 핀(16)이 지지되는 리프트 플랫폼(24)을 포함한다. 리프트 플랫폼(24)은 웜 스크류(worm screw) 또는 다른 동작 제어 스크류일 수 있는 리프트 스크류(26)의 단부에 접속된다. 리프트 스크류(26)는 모터(30)에 의해 리프트 플랫폼(24)을 이동시키도록 구동되어 리프트 핀(16)을 연장 또는 수축시킬 수 있다. 모터(30)는 영구 자석 모터 또는 스테퍼 모터(stepper motor)와 같은 임의의 적절한 모터일 수 있다.
다른 실시예에서, 리프트 기구(20)는 기판의 수직 이동을 제어하기 위해 다른 기계, 전기 및/또는 전자 구성요소를 포함할 수 있다. 예를 들어, 리프트 플랫폼(24)의 높이는 씨져 리프트(scissor lifts), 기압 또는 수압식 실린더, 기어 등의 작동에 의해 제어될 수 있다. 또, 리프트 기구(20)는 열 척(12)에 대한 웨이퍼(14)의 위치를 제어하도록 구성되는 자동 제어기에 의해 조작될 수 있다.
척(12) 온도는 바람직하게는 전체 기판 처리 사이클에 걸쳐 소망의 고온으로 일정하게 유지된다. 따라서, 척은 바람직하게는 기판이 챔버 내에 적재되기 전에 소망의 고온으로 상승되고, 그 후, 척은 적어도 모든 기판 가열 단계가 완료될 때까지 소망의 고온으로 유지된다. 일 실시예에서, 척은 모든 기판 처리 단계가 완료된 후까지 고온으로 유지되고, 다른 실시예에서, 척은 기판이 챔버로부터 제거된 후까지 고온으로 유지된다.
어떤 실시예에서는, 척(12)이 유지되는 소망의 고온은 벌크 제거(bulk removal) 프로세스 온도와 같은 최고 프로세스 온도와 일치할 수 있다. 포토레지스트 제거를 위해, 예컨대, 척(12)의 온도는 바람직하게는 약 200℃ 및 300℃ 사이, 더욱 바람직하게는 약 225℃ 및 250℃ 사이로 설정된다. 이와 달리, 척(12)은 최고 프로세스 온도보다 높은 소망의 고온으로 유지될 수 있다. 예를 들어, 상술한 동일한 포토레지스트 제거 프로세스에서, 척은 최고의 소망의 프로세스 온도로 더욱 빠르게 웨이퍼가 가열되게 하기 위해, 300℃보다 높은 온도로 유지될 수 있다.
기판(14)은 프로세스 챔버(10)가 일반적으로 포토레지스트 제거를 위해 약 0.5 Torr 및 3 Torr 사이의 소망의 프로세스 압력으로 펌프(도시 생략)에 의해 비워지는 동안, 핀(16) 상에 지지된다. 프로세스 챔버(10)가 비워진 후, 실온 또는 실온보다 약간 높게 되는 기판(14)이 열 척(12) 상으로 하강된다. 기판(14)과 가열된 척(12) 사이에 열 전도 기체가 거의 없기 때문에, 기판(14)의 열 상승은 느려지며, 그것에 의해 기판(14)의 말림(curling) 문제점을 방지한다.
기판(14)의 온도 상승은 열전쌍, 복사 측정 디바이스 또는 다른 열 측정 수단으로 테스트 기판(14)을 사용하여 시간에 대해 측정될 수 있다. 먼저, 기판(14)과 가열된 척(12) 사이에 상당한 온도 기울기가 있다. 따라서, 온도 상승률은 기판(14)이 척(12) 상으로 하강한 직후에 가장 크다. 기판(14)의 온도가 가열된 척(12)의 온도에 가까워질수록, 기판(14)의 온도 증가율은 감소한다.
리프트 기구(20)를 사용하여, 기판(14)은 가열된 척(12) 상으로 하강하고, 리프트 기구(20)에 의해 가열된 척(12)으로부터 상승할 수 있다. 기판(14)은 프로세스 챔버(10) 기체 흐름 역학이 영향을 받을 정도로 척(12)으로부터 멀리까지 리프트되지 않도록 하는 것이 바람직한데, 그 이유는 그러한 교란이 프로세스 박리 레이트 및/또는 균일성에 영향을 미칠 수 있기 때문이다. 예시한 실시예에서는, 정밀 리프트 기구(20)가 가열된 척(12) 위쪽의 예측 가능하고 반복 가능한 위치에 기판(14)을 위치시킨다. 그것에 의해, 기판(14)과 척(12) 간의 열 전달이 제어될 수 있다. 실험적인 기구 테스트로부터 수집된 데이터를 사용하여, 기판(14)의 온도가 일정 온도 척(12)으로부터의 거리와 시간의 함수로 예측될 수 있다.
이들 예측값을 사용하여, 자동 제어 시스템이 열 척 상의 지지 핀상에 지지되는 웨이퍼의 위치를 변화시킴으로써 기판의 온도를 제어하도록 개발될 수 있다. 자동 제어 시스템은 또한 기판 처리에 영향을 주는 하나 이상의 변수를 연속적으로 감시하는 다양한 센서 중 어느 하나를 포함할 수 있다. 예를 들어, 센서는 웨이퍼의 온도, 척의 온도, 웨이퍼 지지 핀의 위치, 챔버 내의 압력, 및/또는 웨이퍼 처리에 영향을 주는 임의의 다른 파라미터를 감시하기 위해 제공될 수 있다. 그러한 센서로부터의 정보는 소망의 처리 상태를 얻기 위해 웨이퍼와 척 사이의 갭을 증가 또는 감소시키도록 제어 시스템에 의해 사용될 수 있다.
여기에서 사용되는 바와 같이, 열 척 "상으로" 웨이퍼를 하강시키는 것은 웨이퍼가 하강하여 척과 직접적인 물리 접촉을 하는 상황과, 웨이퍼가 열 척의 약간 위이지만 열 척의 표면에 매우 근접한 위치로 하강하는 상황 모두를 지칭한다. 예를 들어, 웨이퍼가 척 위쪽의 몇 천분의 1인치(예컨대, 약 0.05 ㎜ 내지 약 0.254 ㎜)에 지지되는 위치에 웨이퍼를 하강시키는 것은 본 개시 내용에서의 척 "상으로" 웨이퍼를 하강시키는 의미 내에 포함되어 있다. 유사하게, 척"으로부터 멀리" 웨이퍼를 상승시키는 것은 광범위하게는 웨이퍼와 척 사이의 갭을 증가시키는 임의의 동작을 지칭한다.
다수의 프로세스에서, 처리 결과를 더 잘 관리하고 제어하기 위해, 다양한 프로세스 단계들을 진행하는 동안 기판(14)을 한결같은 온도로 유지하는 것이 바람직하다. 예시적인 이온 주입 포토레지스트 제거 프로세스에서, 웨이퍼 온도가 크러스트의 팝핑 온도 바로 아래의 초기 온도 범위에 도달하면, 기판(14)은 기판(14)의 추가의 온도 상승을 제한하기 위해 가열된 척(12)로부터 짧은 거리만큼 리프트될 수 있다. 기판(14)이 상대적인 진공에서 매달려 있기 때문에, 웨이퍼로 또는 웨이퍼로부터의 열 전달의 주요 모드는, 지지 핀(16)을 통한 전도에 의해 또는 척 및/또는 프로세스 챔버 벽으로(또는 척 및/또는 프로세스 챔버 벽으로부터)의 복사에 의해 발생한다. 지지 핀(16)과 기판 간의 접촉은 매우 작기 때문에(그것에 의해 핀을 통한 전도를 최소화하기 때문에), 그리고 척은 웨이퍼보다 고온으로 되기 때문에, 기판(14)은 가열된 척(12) 상으로 다시 하강할 때까지 일반적으로 비교적 일정한 온도(허용 가능한 몇 도의 변화내)로 유지한다. 따라서, 척(12)에 대한 웨이퍼의 위치를 제어함으로써, 점진적으로 증가하고 제어 가능한 기판(14) 온도가 일정 온도 열 척(12)을 사용하여 달성될 수 있다.
도 2A를 참조하면, 주입 레지스트를 제거하는 바람직한 프로세스가 도시되어 있다. 이 프로세스를 시작하기 전에, 열 척은 바람직하게는 적어도 웨이퍼가 프로 세스 중에 가열되는 최고 온도만큼 높은 온도로 가열된다. 예를 들어, 도 2에 나타내는 실시예에서, 열 척은 단계 170의 벌크 제거 온도 이상으로 가열되어 유지될 수 있다. 보다 유리하게는, 열 척은 하나의 프로세스가 실행되는 동안 내내 또는 일괄 프로세스가 실행되는 동안 내내(즉, 여러 개의 기판을 처리하는 동안 내내) 벌크 제거 온도 이상으로 유지될 수 있다.
포토레지스트 제거 프로세스의 하나의 바람직한 실시예에 따르면, 기판은 대기압에서 프로세스 챔버 내에 적재된다(100). 기판은 먼저 척 위쪽에 지지된다(예컨대, 도 1의 실시예에서, 리프트 핀에 의해). 그 후, 챔버는 대략 프로세스가 실행되는 압력(바람직하게는 약 0.5 Torr 및 약 3 Torr 사이)으로 비워진다(110). 척은 상술한 프로세스 동안 내내, 바람직하게는 여러 개의 웨이퍼가 일괄하여 순차적으로 처리되는 동안 내내 프로세서 온도(바람직하게는 약 200℃와 300℃ 사이, 더욱 바람직하게는 약 225℃와 250℃ 사이)로 유지된다.
챔버 압력이 감소된 후(110), 기판은 가열된 웨이퍼 척을 향해 하강한다(120). 일부 실시예에서는 기판이 척 약간 위에 유지될 수 있으며(즉, 웨이퍼가 척 위쪽에 수 천분의 1인치, 또는 약 0.05 ㎜ 및 약 0.254 ㎜로 지지될 수 있다), 그것에 의해 웨이퍼가 주로 복사에 의해 매우 균일하게 가열될 수 있게 되지만, 바람직하게는, 기판은 척에 물리적으로 접촉할 때까지 하강한다(120). 기판은 크러스트 제거가 실행되는(150) 온도에 도달할 때까지 소정의 기간동안 가열된다(130). 일반적으로, 크러스트 제거 온도는 척이 유지되는 온도보다 낮다. 그 후, 기판은 다음 단계에서 척으로부터 떨어져 상승한다(140).
기판이 척 위쪽으로 상승하면(140), 웨이퍼가 크러스트 제거 온도에 있는 동안, 주입된 레지스트의 크러스트가 제거된다(150). 산화제(oxidant) 기체(예컨대, 원격 플라즈마 유닛을 통과하는 5 slm O2, 캐리어 기체)를 포함하는 종래의 플라즈마 애셔 화학반응(plasma asher chemistry)에 부가하여, 바람직하게는 수소 및 플루오르 함유 기체가, 포토레지스트 크러스트의 제거를 용이하게 하기 위해 첨가된다. 예를 들어, 현 위치의(in situ) 플라즈마 생성기가 사용될 수도 있지만, 원격 플라즈마 생성기를 통해 3∼15% H2(N2 또는 He 내) 1000 sccm 및/또는 CF4(전체 흐름의 1∼3%)가 흐름에 첨가되는 것이 바람직하다.
크러스트가 제거된 후에, 기판은 다시 기판을 가열하기 위해 척 상으로 다시 하강한다(160). 기판은 표준(비주입식) 레지스트 제거 프로세스가 실행되는 더 높은 온도에서 가열된다(170). 그 후, 표준(비주입식) 레지스트는 상승된 온도에서 더욱 빠르게 제거될 수 있다(180).
예를 들어, 도 2B에 나타내는 다른 실시예에서, 기판(14)에 인가되는 가열 레이트는 기판(14)과 열 척(12) 간의 열 전달을 향상시키기 위해, 프로세스 챔버(10) 내의 압력을 프로세스 압력과 로드/언로드 압력 사이의 중간 압력으로 변화시킴으로써 가속될 수 있다. 어떤 실시예에 따르면, 중간 압력은 바람직하게는 예컨대, 2000년 12월 27일에 특허 허여되어 본 명세서에 참고로 일체화되어 있는 공동 소유의 미국 특허 제6,409,932호에 기재되어 있는 바와 같이, 약 10 Torr와 약 100 Torr 사이이다. 도 2B에 나타내는 실시예에서는, 챔버 내의 압력은 기판을 크러스 트 제거 온도로 가열하기(130) 전에 중간 가열 압력으로 감소된다(112). 크러스트 제거 프로세스를 실행하기 위해, 챔버 압력은 크러스트 제거 프로세스에 대한 소망의 프로세스 압력으로 다시 감소된다(132).
예를 들어, 도 2C에 나타내는 또 다른 실시예에서는, 제 2(더 높은 온도) 처리 단계 동안 기판(14)에 인가되는 가열 레이트는 기판(14)의 온도가 열 척(12)의 온도에 접근함에 따라 가속될 수 있다. 당업자가 이해하고 있는 바와 같이, 통상적인 조건에서는, 열 척과 기판 간의 열 전달 레이트는 기판(14)의 온도가 열 척(12)의 온도에 접근함에 따라 감소한다. 따라서, 챔버 압력을 중간 압력으로 증가시킴으로써(152), 그렇게 하지 않았을 경우에 열이 처리 압력으로 챔버에 전달되는 레이트 이상으로, 척(12)과 기판(14) 간의 열 전달 레이트가 증가될 수 있다.
또 다른 실시예에서, 도 2B 및 도 2C의 프로세스들은, 프로세스 챔버(10) 내의 압력을 양 가열 단계 130과 170에 대해 중간 압력으로 변경함으로써 결합될 수 있다. 또, 추가의 처리 단계를 수반하는 다른 처리 사이클에서, 챔버 압력은 임의의 가열 단계에 대해 중간 압력으로 변경될 수 있다.
도 2A에 나타내는 주입 레지스트 박리 프로세스에서, 기판은 단계 130, 170의 두 단계에서 가열된다. 그러나, 어떤 경우에는, 기판을 2 이상의 단계에서 가열하는 것이 바람직할 수도 있다. 예를 들어, 도 3의 그래프에는, 기판이 3개의 램프(ramp) 단계 200, 210, 220에서 가열된다. 이들 가열 단계 200, 210, 220 동안, 기판은 척과 접촉되거나 근접하게 접근한다. 기판의 처리가 일반적으로 일어나는 가열 단계 200, 210, 220 사이의 안정 상태 단계 250, 260에서는, 기판은 척으로부터 멀리 이동되므로 비교적 일정한 온도로 유지된다. 또, 프로세스 챔버는 바람직하게는 적어도 안정 상태 단계 250 및 260 동안 저압으로 유지된다. 또 다른 실시예에서는, 챔버 압력은 램프 단계 200, 210 및 220 동안 중간 압력(약 10 내지 약 100 Torr)으로 유지될 수 있다.
처리 중에 기판의 실리콘 디옥사이드 또는 다른 감지층(sensitive layers)에 대한 CF4 또는 다른 플루오르 함유 기체 화합물의 반응 레이트를 제어하기 위해, CF4의 양 또는 백분율이 기판 온도의 함수로서 변경될 수 있다. 예를 들어, 상술한 포토레지스트 제거 프로세스에서는, 3%의 CF4 기체가 최저 온도 범위에서 사용된다. 특정 프로세스에 대해 허용 가능한 최대 실리콘 디옥사이드 손실에 따라 더 높은 백분율의 CF4가 사용될 수도 있다.
도 4는 도 3의 일정 온도 척 프로세스에 나타나는 기판 프로세스 온도에 대응하는 계단형 변형을 갖는 프로세스 시간의 함수로 CF4 농도의 그래프를 나타낸다. 도시되어 있는 바와 같이, 낮은 기판 온도 200에서, 또는 기판이 실온으로부터 초기 온도 고조기(plateau)로 상승하는 동안, CF4 기체의 백분율은 소망의 최대 안전 레벨로 될 수 있다. 더 높은 온도의 제 2 단계 250에서, CF4 백분율은 예컨대, 약 2%까지 저하될 수 있다. CF4 백분율이 약 0.25%, 0.125% 또는 0%만큼 낮게 감소될 때까지 시퀀스가 지속될 수 있다. 이와 달리, CF4 또는 다른 플루오르 함유 기체의 백분율은 기판 온도가 상승함에 따라 일정하게 유지될 수도 있다. 그러나, 기체 조성을 변경하면서 기판이 비교적 일정한 온도로 유지되면, 더욱 예측 가능하고 일관된 결과 및 더 짧은 전체 프로세스 시간이 달성될 수 있다.
실리콘 디옥사이드 손실을 제어하는 추가의 또는 다른 수단으로서, 상술한 하나 이상의 일정 온도 척 프로세스 동안, 플라즈마 전력 레벨이 기판 온도에 따라 선택적으로 변화될 수 있다. 예를 들어, 도 3의 프로세스에서, 플라즈마 전력은 램프 단계 200, 210 및 220 동안 감소될 수 있으며, 그것에 의해 실리콘 디옥사이드 손실의 위험을 더욱 감소시킬 수 있다.
나머지 포토레지스트를 제거한 후에(180), 챔버에는 배출구가 마련되어, 처리된 웨이퍼가 챔버로부터 제거 또는 언로드된다. 그 후, 새로운 기판이 챔버 내에 로드되어, 시퀀스가 다시 개시될 수 있다.
본 발명은 어떤 바람직한 실시예 및 예들과 관련하여 개시하였지만, 당업자는 본 발명이 특정하여 개시된 실시예를 벗어나 본 발명의 다른 대체 실시예 및/또는 이용, 명백한 변형예 및 그 등가물까지 확장되는 것을 이해할 것이다. 이들 실시예의 특정 특징 및 양태의 여러 조합 및 준조합이 본 발명의 범위 내에서서 이루어질 수 있음이 또한 기대된다. 여기에서 개시한 본 발명의 범위는 상술한 특별히 개시한 실시예로 한정되는 것이 아니라 아래의 청구범위를 정독함으로써만 결정되어지도록 의도된다.
본 발명의 어떤 목적 및 이점은 본 발명 및 종래 기술에 대해 달성된 이점을 설명할 목적으로만 상술되어 있다. 물론, 그러한 목적이나 이점은 어떤 특정 실시 예에 따라서는 반드시 그 모두가 달성되는 것은 아님을 이해할 것이다. 따라서, 예컨대, 당업자는 본 발명이 여기에 교시되거나 시사될 수 있는 바와 같은 다른 목적이나 이점들을 달성하는 것은 아니지만, 여기에 교시되어 있는 바와 같은 하나의 이점이나 일단의 이점들을 달성하거나 최적화하는 방식으로 구현되거나 실현될 수 있음을 인식할 것이다.

Claims (14)

  1. 가열된 척을 사용하여 프로세스 챔버 내에서 기판을 가열하는 방법에 있어서,
    기판을 척 상으로 하강시키는 단계;
    기판을 척의 온도보다 낮은 제 1 온도로 가열하는 단계;
    기판이 제 1 온도에 있는 동안 기판을 척으로부터 멀리 상승시키는 단계;
    기판이 척으로부터 멀리 상승되어 있는 동안 기판을 처리하는 단계;
    기판을 다시 척 상으로 하강시키는 단계;
    기판을 제 1 온도보다 높은 제 2 온도로 가열하는 단계; 및
    기판을 제 2 온도로 가열한 후 기판을 추가로 처리하는 단계를 포함하는, 기판 가열 방법.
  2. 제 1 항에 있어서,
    상기 처리 단계들 중 적어도 하나의 처리 단계는 포토레지스트 애싱(photoresist ashing)을 포함하는, 기판 가열 방법.
  3. 제 1 항에 있어서,
    상기 척의 온도는 상기 방법의 모든 단계 동안 내내 일정하게 유지되는, 기판 가열 방법.
  4. 기판 처리 시퀀스 동안 기판의 온도를 제어하는 방법에 있어서,
    열 척을, 적어도 소망의 최대 기판 온도인 제 1 온도로 제공하고, 척을 상기 제 1 온도로 유지하는 단계;
    척 위쪽에서 웨이퍼를 지지하는 단계;
    기판과 척 사이의 갭을 선택적으로 증가 및 감소시킴으로서 복수의 위치로 기판을 선택적으로 이동시키는 단계; 및
    척을 제 1 온도로 유지하면서 상기 복수의 위치에서 웨이퍼의 처리를 행하는 단계를 포함하며,
    상기 척과 기판 간의 증가된 열 전달을 용이하게 하기 위해 가열 또는 냉각 단계 동안 챔버 압력을 변화시키는 단계를 추가로 포함하는, 기판 온도 제어 방법.
  5. 제 4 항에 있어서,
    상기 기판의 온도를 소망의 온도로 상승시키기 위한 시간 동안 기판을 척에 근접하게 유지시키는 단계를 추가로 포함하는, 기판 온도 제어 방법.
  6. 삭제
  7. 기판 처리 시스템에 있어서,
    처리 챔버;
    복수의 웨이퍼를 순차적으로 로딩, 처리 및 언로딩하는 동안 일정 온도로 유지되도록 구성되는 열 척;
    열 척의 상부 표면과 기판의 하부 표면 간의 거리를 변화시킴으로써 복수의 구별되는 위치 중 임의의 위치에서 기판을 선택적으로 지지하도록 구성된 이동 제어 시스템에 결합되는, 지지 구조를 포함하고;
    상기 시스템은 기판이 2 이상의 상기 구별되는 위치에 지지되는 경우에 기판을 처리하도록 구성되는, 기판 처리 시스템.
  8. 제 7 항에 있어서,
    챔버 압력을 처리 압력으로 감소시키는 단계;
    기판을 척과 근접하게 하강시키는 단계;
    기판이 제 1 온도에 도달할 때까지 기판을 척에 근접한 상태로 유지시키는 단계;
    기판을 척 위쪽으로 상승시키는 단계;
    제 1 처리 단계를 실행하는 단계를 순차적으로 행하도록 프로그램된 자동 제어 시스템을 추가로 포함하는, 기판 처리 시스템.
  9. 제 8 항에 있어서,
    상기 자동 제어 시스템은 상기 제 1 처리 단계를 실행한 후,
    기판을 척에 근접하게 하강시켜 기판이 제 2 온도에 도달할 때까지 척에 근접하게 기판을 유지시키는 단계;
    제 2 처리 단계를 실행하는 단계를 순차적으로 실행하도록 추가로 프로그램된, 기판 처리 시스템.
  10. 제 8 항에 있어서,
    상기 자동 제어 시스템은 기판을 척과 근접하게 하강시키기 전에, 챔버 압력을 처리 압력과 로드/언로드 압력 사이의 중간 압력으로 변경시키도록 추가로 구성되는, 기판 처리 시스템.
  11. 실리콘 디옥사이드 기판의 처리 중에 실리콘 디옥사이드 손실을 제어하는 방법에 있어서,
    플루오르 함유 기체 화합물의 농도를 기판 온도의 역함수로서 변화시키는 단계를 포함하는, 실리콘 디옥사이드 손실 제어 방법.
  12. 제 11 항에 있어서,
    상기 기판 온도는 복수의 처리 사이클 동안 내내 일정한 온도로 유지되는 열 척에 의해 제어되는, 실리콘 디옥사이드 손실 제어 방법.
  13. 실리콘 디옥사이드 기판의 처리 중에 실리콘 디옥사이드 손실을 제어하는 방법에 있어서,
    플라즈마 전력 레벨을 기판 온도의 함수로서 변화시키는 단계를 포함하는, 실리콘 디옥사이드 손실 제어 방법.
  14. 제 13 항에 있어서,
    상기 기판 온도는 복수의 처리 사이클 동안 내내 일정한 온도로 유지되는 열 척에 의해 제어되는, 실리콘 디옥사이드 손실 제어 방법.
KR1020057004134A 2002-09-10 2003-09-10 일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법 KR101018259B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US41034302P 2002-09-10 2002-09-10
US60/410,343 2002-09-10

Publications (2)

Publication Number Publication Date
KR20050061468A KR20050061468A (ko) 2005-06-22
KR101018259B1 true KR101018259B1 (ko) 2011-03-03

Family

ID=31994114

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057004134A KR101018259B1 (ko) 2002-09-10 2003-09-10 일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법

Country Status (6)

Country Link
US (2) US6905333B2 (ko)
JP (1) JP2005538566A (ko)
KR (1) KR101018259B1 (ko)
CN (1) CN100437894C (ko)
AU (1) AU2003270613A1 (ko)
WO (1) WO2004025710A2 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AUPR451601A0 (en) * 2001-04-20 2001-05-24 Fawcett, Alan John Method and apparatus for the hardening of photopolymer plates
JP2005538566A (ja) * 2002-09-10 2005-12-15 アクセリス テクノロジーズ, インコーポレイテッド 温度固定されたチャックを用いた温度可変プロセスにおける基板の加熱方法
KR100505056B1 (ko) * 2003-01-02 2005-07-29 삼성전자주식회사 반도체 장치의 복합막 형성 방법과, 이를 이용한 커패시터및 게이트 절연막 형성 방법
JP2004227842A (ja) * 2003-01-21 2004-08-12 Canon Inc プローブ保持装置、試料の取得装置、試料加工装置、試料加工方法、および試料評価方法
TWI260691B (en) * 2003-03-06 2006-08-21 Toshiba Corp Pattern forming method and method of manufacturing semiconductor device using the same
US7098148B2 (en) * 2003-06-10 2006-08-29 S.O.I.Tec Silicon On Insulator Technologies S.A. Method for heat treating a semiconductor wafer
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20110061679A1 (en) * 2004-06-17 2011-03-17 Uvtech Systems, Inc. Photoreactive Removal of Ion Implanted Resist
US20070054492A1 (en) * 2004-06-17 2007-03-08 Elliott David J Photoreactive removal of ion implanted resist
US7402778B2 (en) * 2005-04-29 2008-07-22 Asm Assembly Automation Ltd. Oven for controlled heating of compounds at varying temperatures
US7601271B2 (en) * 2005-11-28 2009-10-13 S.O.I.Tec Silicon On Insulator Technologies Process and equipment for bonding by molecular adhesion
CN100362645C (zh) * 2005-12-07 2008-01-16 北京北方微电子基地设备工艺研究中心有限责任公司 顶针装置
US7461535B2 (en) * 2006-03-01 2008-12-09 Memsic, Inc. Multi-temperature programming for accelerometer
US7737010B2 (en) * 2006-04-14 2010-06-15 Micron Technology, Inc. Method of photoresist strip for plasma doping process of semiconductor manufacturing
CN100441734C (zh) * 2006-10-09 2008-12-10 中国科学院物理研究所 一种耐活性氧腐蚀的衬底加热装置
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
KR101331420B1 (ko) 2011-03-04 2013-11-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP6012933B2 (ja) * 2011-04-26 2016-10-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
CN104821273B (zh) * 2014-09-05 2017-11-28 武汉新芯集成电路制造有限公司 一种去除深孔蚀刻后沟槽内残留物的方法
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10861731B2 (en) * 2017-01-19 2020-12-08 Axcelis Technologies, Inc. Radiant heating presoak
US11011397B2 (en) 2018-12-20 2021-05-18 Axcelis Technologies, Inc. Wafer soak temperature readback and control via thermocouple embedded end effector for semiconductor processing equipment
US20220223426A1 (en) * 2021-01-13 2022-07-14 Changxin Memory Technologies, Inc. Semiconductor structure manufacturing method and semiconductor structure manufacturing device
CN114765126B (zh) * 2021-01-13 2023-11-17 长鑫存储技术有限公司 半导体结构的制造方法和半导体结构的制造设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2889926B2 (ja) * 1989-10-20 1999-05-10 東京エレクトロン株式会社 基板の加熱処理方法及び加熱処理装置

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR860002082B1 (ko) 1983-01-19 1986-11-24 가부시기가이샤 도시바 레지스트 패턴의 형성 방법 및 장치
US4628991A (en) 1984-11-26 1986-12-16 Trilogy Computer Development Partners, Ltd. Wafer scale integrated circuit testing chuck
JPS62282437A (ja) 1986-05-31 1987-12-08 Shinku Riko Kk 半導体ウエハ処理用急速加熱冷却装置
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4811493A (en) 1987-08-05 1989-03-14 Burgio Joseph T Jr Dryer-cooler apparatus
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
JP2731855B2 (ja) 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
US5248370A (en) 1989-05-08 1993-09-28 Applied Materials, Inc. Apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment
DE69017258T2 (de) 1989-05-08 1995-08-03 Applied Materials Inc Verfahren und Vorrichtung zum Erwärmen und Kühlen von Plättchen in einer Halbleiterplättchenbearbeitungseinrichtung.
JPH03224236A (ja) 1990-01-30 1991-10-03 Sony Corp 被処理体の処理方法及び処理装置
US4971653A (en) 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
USH1145H (en) 1990-09-25 1993-03-02 Sematech, Inc. Rapid temperature response wafer chuck
JPH0513294A (ja) 1991-07-04 1993-01-22 Hitachi Ltd 半導体ウエハ冷却方法および装置
US5181556A (en) 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
JP2888026B2 (ja) 1992-04-30 1999-05-10 松下電器産業株式会社 プラズマcvd装置
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JPH0629203A (ja) 1992-07-07 1994-02-04 Hitachi Ltd ベーク装置
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
US5318801A (en) 1993-05-18 1994-06-07 United States Of America As Represented By The Secretary Of The Navy Substrate temperature control apparatus and technique for CVD reactors
JP2560986B2 (ja) 1993-07-27 1996-12-04 日本電気株式会社 タングステンcvd装置
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
KR100356438B1 (ko) 1993-12-17 2002-12-12 부룩스 오토메이션, 인코포레이티드 웨이퍼가열장치또는냉각장치
US5883778A (en) 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
JPH07254545A (ja) 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd 半導体基板の熱処理方法及びそのための装置
JP3451137B2 (ja) 1994-08-29 2003-09-29 大日本スクリーン製造株式会社 基板の熱処理装置
JP3143702B2 (ja) 1994-10-05 2001-03-07 東京エレクトロン株式会社 熱処理装置
JP3052116B2 (ja) * 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
KR100238998B1 (ko) * 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
TW308710B (ko) * 1995-11-28 1997-06-21 Tokyo Electron Co Ltd
KR100274127B1 (ko) * 1996-04-23 2001-01-15 이시다 아키라 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치
JP2953395B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 スパッタリング装置
US5848670A (en) * 1996-12-04 1998-12-15 Applied Materials, Inc. Lift pin guidance apparatus
JP3442253B2 (ja) 1997-03-13 2003-09-02 東京エレクトロン株式会社 基板処理装置
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US5937541A (en) 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
US6174371B1 (en) * 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6358324B1 (en) * 1999-04-27 2002-03-19 Tokyo Electron Limited Microwave plasma processing apparatus having a vacuum pump located under a susceptor
WO2000074117A1 (en) 1999-05-27 2000-12-07 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
US6402509B1 (en) * 1999-09-03 2002-06-11 Tokyo Electron, Limited Substrate processing apparatus and substrate processing method
SG105487A1 (en) * 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
US20010035403A1 (en) 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
WO2002003435A1 (fr) * 2000-07-04 2002-01-10 Ibiden Co., Ltd. Plaque chaude destinee a la fabrication et aux essais de semiconducteurs
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
JP4030787B2 (ja) * 2002-03-04 2008-01-09 東京エレクトロン株式会社 基板加熱方法、基板加熱装置及び塗布、現像装置
JP2005538566A (ja) * 2002-09-10 2005-12-15 アクセリス テクノロジーズ, インコーポレイテッド 温度固定されたチャックを用いた温度可変プロセスにおける基板の加熱方法
US6954585B2 (en) * 2002-12-03 2005-10-11 Tokyo Electron Limited Substrate processing method and apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2889926B2 (ja) * 1989-10-20 1999-05-10 東京エレクトロン株式会社 基板の加熱処理方法及び加熱処理装置

Also Published As

Publication number Publication date
KR20050061468A (ko) 2005-06-22
US20050166845A1 (en) 2005-08-04
US7485190B2 (en) 2009-02-03
CN100437894C (zh) 2008-11-26
JP2005538566A (ja) 2005-12-15
CN1682350A (zh) 2005-10-12
WO2004025710A3 (en) 2005-03-17
US20040137397A1 (en) 2004-07-15
WO2004025710A2 (en) 2004-03-25
US6905333B2 (en) 2005-06-14
AU2003270613A1 (en) 2004-04-30
AU2003270613A8 (en) 2004-04-30

Similar Documents

Publication Publication Date Title
KR101018259B1 (ko) 일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법
US8409995B2 (en) Substrate processing apparatus, positioning method and focus ring installation method
KR101070520B1 (ko) 기판 처리 방법 및 장치
JP5296022B2 (ja) 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
KR102338243B1 (ko) 열처리 장치, 열처리 방법 및 기억 매체
JP5050761B2 (ja) 被処理体の処理システム及び被処理体の熱処理方法
JP4030787B2 (ja) 基板加熱方法、基板加熱装置及び塗布、現像装置
US20050008983A1 (en) Wide temperature range chuck system
KR20010087334A (ko) 반도체 제조 장치에 있어서의 기판 탑재 방법 및 장치
KR100822372B1 (ko) 베이크 공정장치 및 방법
JP4811860B2 (ja) 熱処理方法、そのプログラム及び熱処理装置
CN111063623B (zh) 基片处理装置、基片处理方法和存储介质
US20170198397A1 (en) Substrate processing apparatus
KR102501657B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP2001044178A (ja) 基板処理方法および基板処理装置
JP2000040698A (ja) 基板熱処理方法およびその装置
US20160211151A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP6837929B2 (ja) 基板処理装置
JP4357400B2 (ja) 熱処理装置および熱処理方法
TWI849365B (zh) 處理裝置、程式及半導體裝置之製造方法
KR102099103B1 (ko) 가열 플레이트 냉각 방법 및 기판 처리 장치
KR100688485B1 (ko) 웨이퍼지지대에 냉각라인을 구비한 챔버장치 및 이를이용한 웨이퍼 처리공정
JP2002043302A (ja) 基板処理装置
JP2004186709A (ja) 基板熱処理方法およびその装置
JP2023168056A (ja) 基板処理装置、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee