JP6461482B2 - 半導体製造用の内部プラズマグリッド - Google Patents

半導体製造用の内部プラズマグリッド Download PDF

Info

Publication number
JP6461482B2
JP6461482B2 JP2014076868A JP2014076868A JP6461482B2 JP 6461482 B2 JP6461482 B2 JP 6461482B2 JP 2014076868 A JP2014076868 A JP 2014076868A JP 2014076868 A JP2014076868 A JP 2014076868A JP 6461482 B2 JP6461482 B2 JP 6461482B2
Authority
JP
Japan
Prior art keywords
plasma
grid
chamber
subchamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014076868A
Other languages
English (en)
Other versions
JP2014204127A5 (ja
JP2014204127A (ja
Inventor
アレックス・パターソン
ハーミート・シン
リチャード・エイ.・マーシュ
トルステン・リル
バヒド・バヘディ
イン・ウー
サラバナプリヤン・スリラマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014204127A publication Critical patent/JP2014204127A/ja
Publication of JP2014204127A5 publication Critical patent/JP2014204127A5/ja
Application granted granted Critical
Publication of JP6461482B2 publication Critical patent/JP6461482B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

[関連出願の相互参照]
本出願は、それぞれ、その全体が全ての目的のために参照により本明細書に組み込まれる、発明の名称を「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)」とする、2013年4月5日出願の米国仮特許出願第61/809,246号に基づく優先権の利益を主張して2013年11月15日に出願された発明の名称を「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)」とする米国特許出願第14/082,009号に基づく優先権の利益を主張する。
半導体製造においてよく採用される工程の1つは、エッチング工程である。エッチング工程では、半製品の集積回路から1つ以上の材料が部分的または完全に除去される。特に、関わるジオメトリが小さいか、高いアスペクト比が採用されるか、または精密なパターン転写が要求される場合には、プラズマエッチングがよく用いられる。
一般に、プラズマは、電子と、さらに正・負イオン、およびいくつかのラジカルを含んでいる。ラジカル、正イオン、および負イオンは、基板と相互作用することで、基板上のフィーチャ、表面、および材料をエッチングする。エッチングプロセスでは、チェンバコイルが、変圧器の一次コイルと同様の機能を果たし、プラズマが、変圧器の二次コイルと同様の機能を果たす。
プレーナ型から3Dトランジスタ構造(例えば、論理デバイス用のフィンFETゲート構造)に移行すると、プラズマエッチングプロセスは、良品を製造するためには、ますます精密かつ均一であることが要求される。プラズマエッチングプロセスは、数ある因子の中でも特に、良好な選択性、プロファイル角、疎(Iso)/密(Dense)ローディング、全面均一性を有さなければならない。
エッチングプロセスは、エッチングされる材料と残される材料との間の選択性が良好であると有用である。フィンFETゲート構造の分野では、これは、窒化ケイ素マスクなど他の露出部品に対して、エッチングされるゲートの選択性が良好でなければならないことを意味する。プロファイル角は、直近にエッチングされた(略垂直な)側壁と水平面との間の角度として測定される。多くの応用において、理想的なプロファイル角は90度であり、垂直エッチングにより開口が作製される。場合によって、ウェハ上の局所フィーチャ密度がエッチングプロセスに影響することがある。例えば、フィーチャが密なウェハ領域は、フィーチャがより疎なウェハ領域に比べて、(例えば、より高速の、より低速の、より等方的な、より異方的なエッチングになり得るなど)エッチングに若干違いが生じ得る。フィーチャ密度の違いに起因して生じる差異は、I/Dローディングと呼ばれる。製造の際に、このような差異を最小限に抑えることは有用である。これらの要件および他の可能性のあるデバイス固有の要件を満たすことに加えて、多くの場合、エッチングプロセスが基板の全面にわたって一貫して実施されることが要求される(例えば、エッチング特性は、半導体ウェハの中心からエッジまで均一でなければならない)。
フィンFETゲートのような先進構造をエッチングする際に、上記のような複数の目的を達成することは難しいことが分かっている。
本明細書で開示するのは、半導体デバイスの製造において半導体基板およびその上の層をエッチングするための様々な方法および装置である。本明細書で記載する実施形態の一態様において、基板上のフィーチャをエッチングするための装置を提供する。装置は、プラズマが提供され得る内部(室)を画定するチェンバと、エッチング中にチェンバ内で基板を保持するための基板ホルダと、チェンバ内でプラズマを生成するためのプラズマ発生器と、プラズマチェンバの内部をプラズマ発生器に近接する上部サブチェンバと基板ホルダに近接する下部サブチェンバとに分割するグリッドと、を備えることができ、上部サブチェンバは、下部サブチェンバの高さの少なくとも約1/6の高さを有し、また、グリッドは、略径方向外向きに延びる複数のスロットを有し、これらスロットにより、チェンバ内でプラズマが生成される際にグリッドに誘導電流が発生することを略防止する。
装置は、さらに、上部サブチェンバ内で上部ゾーン・プラズマを生成し、下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、チェンバ内でプラズマを発生させるように設計または構成されたコントローラを備えることができ、下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、上部ゾーン・プラズマの実効電子温度よりも低く、また、下部ゾーン・プラズマの電子密度は、約5×109cm-3以下であって、上部ゾーン・プラズマの電子密度よりも低い。コントローラは、さらに、グリッドおよび/または基板ホルダにバイアスを印加するように設計また構成することができる。コントローラは、さらに、チェンバにエッチャントガスを供給するように設計また構成することができる。一部の例では、コントローラは、プラズマにより基板をエッチングする間、チェンバ内の圧力を約267Pa(2000ミリトル(mTorr)未満とするように設計または構成される。しかしながら、一部の例では、コントローラは、エッチング中のチェンバ内を、約26.7Pa(200ミリトル未満の圧力など、より低い圧力とするように設計または構成される。他の例では、コントローラは、反応チェンバ内の圧力を約0.133〜2.67Pa(1〜20ミリトルの間、または約0.667〜2.67Pa(5〜20ミリトルの間に維持するように設計または構成することができる。コントローラは、さらに、下部サブチェンバ内でイオン−イオンプラズマを発生させるように設計または構成することができる。
一部の実施形態では、グリッドは、約1〜50mmの間、または約5〜20mmの間の平均厚さを有することができる。グリッドにおけるスロットは、典型的には、約0.3〜5の間のアスペクト比を有する。一部の実施形態では、スロットのアスペクト比は、約0.5〜2の間、または約1〜4の間である。スロットは、多くの場合、径方向外向きに延びるように配置されている。場合によって、方位角的に隣接する方位角隣接スロットは、少なくとも約15°で離間されている。これらまたは他の例において、方位角隣接スロットは、約60°以下で離間させることができ、例えば約50°以下で離間させることができる。
一部の実施形態におけるプラズマ発生器は、チェンバの天井の上方に配置されたコイルを有する。一部の実施形態では、基板ホルダは、静電チャックである。装置には、他の様々な要素を含むことができる。例えば、装置は、さらに、処理ガス注入口を備えることができる。さらに、装置は、真空接続部を備えることができる。
開示する実施形態のさらなる態様において、半導体基板を処理するためのシステムを提供する。システムは、真空搬送モジュールと、真空搬送モジュール内のロボットと、真空搬送モジュールに接続される複数のステーションと、プロセッサを有するコントローラと、を備えることができ、複数のステーションのうち少なくとも1つは、プラズマを施すことが可能な内部を画成するチェンバと、エッチング中にチェンバ内で基板を保持するための基板ホルダと、チェンバ内でプラズマを生成するためのプラズマ発生器と、プラズマチェンバの内部をプラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割するグリッドと、を備え、上部サブチェンバは、下部サブチェンバの高さの少なくとも約1/6の高さを有し、また、グリッドは、略径方向外向きに延びる複数のスロットを有し、該スロットにより、チェンバ内でプラズマが生成されるときにグリッドに誘導電流が発生することを略防止する。
いくつかの実施形態において、ステーションは、真空搬送モジュールにおけるファセットにインタフェース接続される。複数のセンサを、各ファセットに設けることができる。
本明細書で記載する実施形態のさらに別の態様では、半導体エッチング装置と関連して用いられるグリッドを開示し、これは、半導体デバイス製造用の標準的な半導体基板の直径と略同じ直径を有するプレートと、プレートにおいて略径方向外向きに延びる複数のスロットであって、プレートがプラズマに暴露されるときにプレートに誘導電流が発生することを略防止するための複数のスロットと、を備え、スロットは、約0.3〜5の間であるアスペクト比を有する。
グリッドは、半導体エッチング装置の処理チェンバ内に配置されると、これによって、処理チェンバを上部サブチェンバと下部サブチェンバとに分割し、そして上部サブチェンバ内で生成されるプラズマに曝されて、上部サブチェンバにおける上部電子密度よりも少なくとも約10倍低い下部サブチェンバの下部電子密度を維持するように機能する。一部の実施形態では、グリッドは、上部電子密度よりも少なくとも約100倍低い下部電子密度を維持するように機能することができる。多くの場合、標準的な半導体基板は、約200mm、300mm、または450mmの直径を有する。方位角隣接スロットは、少なくとも約10°で離間させることができる。また、方位角隣接スロットは、約60°以下で離間させることができる。一部の実施形態では、グリッドは、金属で構成される。他の例では、グリッドは、絶縁性材料で構成される。一部の例において、グリッドは、金属と絶縁性材料の両方を含むことができる。
本明細書で記載する実施形態の他の態様において、基板上のフィーチャをエッチングする方法を提供し、該方法は、プラズマ発生器とグリッドとを備えるチェンバであって、グリッドは、プラズマチェンバの内部をプラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割するものであって、上部サブチェンバは、下部サブチェンバの高さの少なくとも約1/6の高さを有する、チェンバ内の、基板ホルダに基板を供給することと;上部サブチェンバ内で上部ゾーン・プラズマを生成し、下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、チェンバ内でプラズマを発生させることと;下部ゾーン・プラズマと基板との相互作用によって、基板のフィーチャをエッチングすることと、を含み、このとき、下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、上部ゾーン・プラズマの実効電子温度よりも低く、また、下部ゾーン・プラズマの電子密度は、約5×109cm-3以下であって、上部ゾーン・プラズマの電子密度よりも低い。
一部の例では、プラズマを生成する際に、グリッドに電流は実質的に生じない。方法は、さらに、グリッドにバイアスを印加すること、および/または基板ホルダにバイアスを印加すること、を含むことができる。一部の実施形態では、方法は、さらに、チェンバにエッチャントガスを供給することを含む。エッチングは、約2000ミリトル未満のチェンバ圧力で実施することができ、一部の例では、エッチングは、約1〜200ミリトルの間、または約1〜20ミリトルの間、または約5〜20ミリトルの間のチェンバ圧力で実施される。下部ゾーン・プラズマは、本明細書で記載するような、イオン−イオンプラズマとすることができる。
これらおよび他の特徴について、関連する図面を参照して以下で説明する。
本明細書で開示するいくつかの実施形態により、エッチング工程で使用されるプラズマ処理システムを示す断面模式図である。
本明細書で開示するいくつかの実施形態によるグリッド構造の簡略上視図である。
本明細書で記載するいくつかの実施形態によるグリッド構造の写真である。
エッチング副生成物の解離によって生じるいくつかの問題を示す説明図である。 エッチング副生成物の解離によって生じるいくつかの問題を示す説明図である。 エッチング副生成物の解離によって生じるいくつかの問題を示す説明図である。
開示する実施形態によるマルチステーション・クラスタツールの一実施形態を示す説明図である。
従来の高圧技術(図5A)により、エッチングされたフィンFET構造のSEM像を示す説明図である。 プラズマグリッドを用いた一実施形態(図5B)により、エッチングされたフィンFET構造のSEM像を示す説明図である。
従来の低圧技術(図6A)により、エッチングされたフィーチャのSEM像を示す説明図である。 プラズマグリッドを用いた本開示の一実施形態(図6B)により、エッチングされたフィーチャのSEM像を示す説明図である。
プラズマグリッドを用いることなく、いくつかのレジームに従ってエッチングされたフィーチャの様々なSEM像を示す説明図である。
本出願において、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「半製品の集積回路」という用語は、区別なく用いられる。「半製品の集積回路」という用語が、集積回路がその上に作製される多くの段階のいずれかにおける半導体ウェハ上のデバイスを指し得ることは、当業者であれば理解できるであろう。以下の詳細な説明では、本発明がウェハ上で実施されることを想定している。例示的なワークピースには、(標準的な半導体基板と呼ばれることもある)直径200mm、300mm、450mmの半導体基板が含まれる。ただし、本発明はこれに限定されない。ワークピースは、様々な形状、サイズ、材質のものとすることができる。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示する実施形態は、それら特定の詳細の一部または全部がなくても実施することができる。また、開示する実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示する実施形態は、具体的な実施形態に関連させて説明されるが、当然のことながら、開示する実施形態を限定するものではない。
半導体デバイスの製造において半導体基板およびその上に形成された層のエッチングに用いられる装置が開示される。装置は、エッチングが実施されるチャンバによって規定される。一部の実施形態では、チャンバは、平面窓と、略平面状の励起コイルと、エッチング中に半導体基板を保持するための基台またはチャックと、を備える。当然のことながら、本開示は、特定のタイプのプラズマ源に限定されない。平面状の励起コイルの他に、ドーム型および平板型のプラズマ源を採用することができる。プラズマ源には、誘導結合プラズマ(ICP)源、容量結合プラズマ(CCP)源、ならびにその他、当業者に周知のものが含まれる。本明細書で記載する実施形態では、チャンバ内に配置されて、チャンバを2つのサブチャンバに分割するグリッドを利用する。作動中には、サブチャンバはそれぞれ、異なる特性のプラズマを収容している。プラズマは、主として、または専ら、上部サブチャンバにおいて生成され、一部の種は、影響なくグリッドを通り抜けて、下部サブチャンバに入ることができる。グリッドは、グリッドの厚さを貫通したスロットを有する。いくつかの実現形態では、これらのスロットは、概ね/略、径方向外向きに延びている。本明細書で用いられる場合の「略径方向外向きに延びる」とは、その説明される特徴部が、少なくともある程度、径方向に向いた成分を有することを意味する。つまり、その特徴部の主要部分が、略中心からエッジへの方向に延びていればよく、特徴部全体が、すべて径方向に向いている必要はない。また、「中心からエッジへの方向」は、中心からエッジへの真の方向の周囲のある角度範囲(例えば、中心からエッジへの真の方向の約20°の範囲内)を含むものと定義される。
グリッドは、グリッドの厚さを貫通した複数の径方向スロットを含むことができる。グリッドおよびスロットは、ほんの少数の高エネルギー電子のみがグリッドを通過し得るように設計されており、低エネルギー電子の通過は、グリッドを取り囲むシースによって効果的に阻止される。一般に、より高エネルギーの電子は、グリッドを通過して下部サブチャンバに入るときに、より低エネルギーの「より低温の」電子になる。高エネルギー電子は、グリッドを通過するのに十分なエネルギーを有し得るものの、それらの多くは、グリッドと衝突する角度でグリッドに接近して、エネルギーを損失する。実際にグリッドを通り抜ける高エネルギー電子は、励起源から既に切り離されているため、集合的に、グリッドの下でプラズマを維持するのに十分なエネルギーを持たない。高温電子が下部チャンバで低温となるメカニズムには、グリッドとの衝突と、グリッドの下での中性種との電子衝突と、グリッドの下の電子から励起源が排除されていることと、が含まれる。このように、グリッドは、下部サブチャンバにおいて、低電子密度(ne)および低平均実効電子温度(Te)のプラズマを生成する機能を有し得る。グリッドの上方では、一般に、プラズマは、通常の電子−イオンプラズマであり、その中の負に帯電した種のうち極めて多くの部分が電子である。グリッドの下方では、プラズマは、はるかに高い割合で負イオンを含み、実際には、イオン−イオンプラズマであり得る。イオン−イオンプラズマのいくつかの特性については、後述する。大まかには、イオン−イオンプラズマは、電子−イオンプラズマと比較して、負に帯電した種として(電子よりも)イオンを、はるかに高い割合で含む。
[反応器内のグリッドの位置]
グリッドは、プラズマチャンバ内に配置されて、これにより、チャンバを上部サブチャンバと下部サブチャンバとに分割している。本明細書で記載するようなグリッドを備えるように改良するのに適したチャンバの一例は、カリフォルニア州フリーモント市所在のラムリサーチ社(Lam Research Corporation)による反応器Kiyoである。条件として、以下の説明では図1を参照することを想定することができ、これについてはさらに後述する。いくつかの実現形態において、グリッドは、反応チャンバの内部底面の上方、約1〜6インチ(25.4〜152.4mm)の間に、または基台などの基板支持部の上方、約1〜6インチの間(例えば、約1.5〜3インチ(38.1〜76.2mm)の間)に配置される。これらまたは他の実現形態において、グリッドは、反応チャンバの内部天井の下方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置することができる。多くの場合、天井には、誘電体窓が装備されている。
一部の実施形態では、上部サブチャンバと下部サブチャンバの高さは、略同じ(例えば、約5%以内)であり、一方、他の実施形態では、それらの高さは、より顕著に異なり得る。サブチャンバ高さ比とも呼ばれる、下部チャンバの高さに対する上部チャンバの高さの比率(hu/hl)は、約0.1〜10の間、または約0.2〜5の間とすることができる。いくつかの実施形態において、サブチャンバ高さ比は、約1/6よりも大きい。
グリッドは、ウェハに近すぎると、ウェハ面にグリッドの跡が生じる原因となり得るので、そのように配置されてはならない。すなわち、処理後のウェハ面に、望ましくないグリッドのスロットパターンが生じて、基板表面上で深刻なエッチング不均一性を引き起こすことがある。多くの応用において、基板の頂面からグリッドまでの離間距離が少なくとも約1インチであると十分である。
[グリッド設計]
グリッドは、スロットを有する比較的薄い板である。加えて、一部の実施形態では、グリッドは、他の形状の孔または穿孔部を有することができる。この場合、グリッドは、孔とスロットとを併せて有する。グリッド構造の非限定的な例を、図2Aおよび図2Bに示している。グリッドに含まれる材料は、絶縁体、導体、またはそれらの組み合わせとすることができる。いくつかの実現形態において、グリッドは1つ以上の材料を含み、それらの材料には、限定するものではないが、金属と、ステンレス鋼、アルミニウム、チタンなどの金属合金と、セラミック、シリコン、炭化ケイ素、窒化ケイ素、およびそれらの組み合わせが含まれる。材料は、例えば耐食性のための陽極酸化または他の不動態化が施されていても、または施されていないものであってもよい。一部の例では、グリッドは、セラミック、ガラス、厳しいプラズマ環境に耐えることができる堅牢なポリマ、またはこれらの材料のいずれかによる複合材料などの絶縁性材料を含むことができる。一実施形態において、グリッドは、セラミックコーティングを有する金属材料で構成される。他のコーティングを用いることもできる。コーティングされたグリッドを用いることは、特に被エッチング層が揮発性である場合に有効である。いくつかの実現形態において、グリッドは、純粋なコーティングで被覆することができ、それには、例えば、Y23、YF3、YAG、窒化チタン、またはCeO2のコーティングが含まれるが、ただし、これらに限定されない。また、グリッドは、接地するか、フローティングとするか、またはバイアスすることができる。いくつかの実現形態において、接地されたグリッドは、陰極の拡張バイアス電流帰路として機能する。
接地される場合のグリッドは、一般に、チャンバの水平断面全体に及ぶものである。グリッドがバイアスされる場合には、グリッドと最も近い接地面との間に約5cm以上の間隔を維持することができる。チャンバが(上から見て)円形である場合、グリッドも円形となる。これによって、グリッドは、反応チャンバを2つのサブチャンバに効果的に分割することが可能となる。いくつかの設計において、グリッドの円形形状は、典型的には円形ウェハである基板のジオメトリによって規定される。周知のように、ウェハは、一般に、200mm、300mm、450mmなど、様々なサイズで提供される。角型基板またはより小さな基板の場合には、チャンバ内で実施されるエッチング工程に応じて、他の形状が可能である。グリッドの断面は、様々な形状とすることができる。平坦な平面状のグリッド断面は、一部の実施形態には適している。しかしながら、他の実施形態では、皿状、ドーム状、周期的形状(例えば、正弦波形状、矩形波形状、山形状)、傾斜状などのグリッド断面が適切である。これらの断面形状のいずれかを貫通したスロットまたは孔は、本明細書の別項に記載するような(アスペクト比を含む)特性を有することになる。
グリッドは、平均して、約1〜50mmの間の厚さ、好ましくは約5〜20mmの間の厚さとすることができる。グリッドは、厚すぎると、適正に機能しない場合がある(例えば、通過を阻まれる種が多すぎること、質量が大きすぎること、反応チャンバで占めるスペースが大きすぎること、などがある)。グリッドは、薄すぎると、プラズマ処理に耐えることができない場合があり、かなり頻繁な交換が必要となり得る。スロットの高さはグリッドの厚さによって決まるので、グリッドの厚さは、後述するように、グリッドにおけるスロットの所望のアスペクト比によっても制限される。
一部の実施形態において、グリッドは、上流プラズマと下流プラズマとの間の分離器として機能し、この場合、下流プラズマは、下部サブチャンバ内にあって、ラジカルリッチとなり得る。このように、グリッドを装備したプラズマチャンバによって、現在はカリフォルニア州フリーモント市所在のラムリサーチ社であるノベラスシステムズ社(Novellus Systems)から入手可能なGAMMA(登録商標)プラットフォームツールなどの既存のリモートプラズマ・ツールで達成されるのと同様の結果を得ることができる。この目的で機能させる場合には、グリッドは、比較的厚く、例えば約20〜50mm厚とすることができる。
いくつかの実施形態において、グリッドはスロットを有し、それらのスロットは、典型的な一実施形態では、長くて薄い形状を有する。スロットは、グリッドの中心から径方向外向きに延びている。スロットは、高さ、幅、および厚さを有する(幅と長さを、図2Aにおいてラベルで明示している)。スロット高さは、グリッド面に垂直な軸に沿って測定され、この高さは、グリッドの厚さに略等しい。スロットの幅は、スロットの径方向範囲にわたって可変または一定とすることができる。いくつかの例において、スロットは、扇形と(すなわち、グリッドの中心に向かってより薄く、エッジに向かってより厚く)することができる。いくつかの実施形態において、スロットは、その長さ方向がグリッドの中心から外向きに(すなわち、径方向に)延びている。いくつかの実施形態において、スロット幅は、約25mm以下である。スロットの長さは、およそグリッドの方位角方向において可変または一定することができる。径方向スロットの分離角は、グリッドの周りで可変または一定することができる。
グリッドにスロットがない場合には、プラズマ生成中に、グリッドに電流が誘導されることになる。この電流は、グリッドの周りに略環状に流れるか、または局所渦電流を形成し、そして、電力消費が増加することになる。ところが、スロットがあることによって、そのような寄生電流の発生が防止され、これにより電力が節約されて、その結果、より効率的なプロセスとなる。略円形の孔などのような形状の開口部は、このような電流の発生を防ぐ効果が比較的低くなり得る。そこで、上述のように、円形開口部は、スロット状開口部と併せて用いることができる。
スロットのアスペクト比は、スロットの幅に対するその高さの比(h/w)と定義される。通常、このアスペクト比のジオメトリは、スロットの長さ方向(多くの場合、径方向)に垂直な断面として見ることができる。スロットの幅は可変とすることができるので、アスペクト比は、同様に可変とすることができる。いくつかの実施形態において、スロットのアスペクト比は、約0.3〜5の間、または約1〜4の間、または約0.5〜2の間である。多くの実施形態では、このようなアスペクト比を有するグリッドによって、上部サブチャンバと比較して、下部サブチャンバにおける電子密度および実効電子温度を低下させる。上述のように、電子がスロットを通過する際に、多くの高温電子がグリッドに衝突することを少なくとも一因として、実効電子温度が低下すると考えられる。また、下部サブチャンバの電子はグリッドによって遮蔽されることで、プラズマコイル(または他のプラズマ源)からの誘導加熱を受けないため、下部サブチャンバにおける実効電子温度は、上部サブチャンバと比較して低下する。
孔をスロットと共に用いる場合には、孔は、スロットと同じ目的を果たすことができる。従って、それらは、通常、上記のようなアスペクト比を有する。いくつかの実施形態において、孔は、約0.05(1.27mm)インチ〜約0.2(5.08mm)インチの範囲の直径を有する。それらは、グリッドの厚さ全体を貫通している。
グリッドにより得られる更なる効果は、メイン注入器からの対流効果を緩和することができることである。これによって、ウェハ面へのガス流を、より均一とすることが可能である。ウェハと上部チャンバ内のガス注入器(複数の場合もある)との間にグリッドがあることによって、グリッドがガス流を妨げることで、ウェハ上で、より拡散性の高いフローレジームが得られるので、ガス注入器から送出されるガスの対流の影響を著しく軽減することが可能である。
一部の実施形態では、グリッドは、ガス吐出孔を含んでいる。このような実施形態では、グリッドは、上部サブチャンバおよび/または下部サブチャンバのためのシャワーヘッドであるという付加的な目的を果たすことができる。このような実施形態では、1つ以上のグリッドに、1つ以上のチャネルを含むことができる。これらのチャネルに、注入口(または複数の注入口)からガスを供給して、そのガスをグリッド(複数の場合もある)の複数の出口孔に送ることができる。出口孔は、上部サブチャンバおよび下部サブチャンバのいずれかまたは両方に処理ガスを送出するガス分配シャワーヘッドを形成することができる。
いくつかの実現形態において、グリッドは、グリッドを貫通してプロービング装置を配置することを可能にする特徴部を含む中心領域などの領域を有する。プロービング装置は、作動中のプラズマ処理システムに関連するプロセスパラメータをプローブするために設けることができる。プロービングプロセスには、発光終点検出、干渉法による終点検出、プラズマ密度測定、イオン密度測定、および他の計量プロービング操作を含むことができる。一部の実施形態では、グリッドの中心領域は、開口している。他の実施形態では、グリッドの中心領域は、光がグリッドを透過することを可能とするため、光学的に透明な材料(例えば、石英、サファイアなど)を含んでいる。
いくつかの実施形態では、300mmウェハ用エッチャの場合に、グリッドの外縁付近で約15mm〜40mm毎に、グリッドにスロットを有することが好ましい場合がある。これは、方位角的に隣接する方位角隣接スロットが、それぞれ約18°または約48°だけ離間されていることに相当する。このように、いくつかの実施形態において、方位角隣接スロットは、少なくとも約10°または少なくとも約15°だけ離間されている。これらまたは他の実施形態において、方位角隣接スロットは、約40°以下、または約50°以下、または約60°以下だけ離間されている。
いくつかの実施形態において、グリッドは、プラズマ形成において重要な役割を果たすものではない。しかし、グリッドは、電子−イオンプラズマを上部サブチャンバに留めるとともに、下部サブチャンバに送出させる種をフィルタリングして透過させる役割を担い得るものである。
[プラズマ特性]
グリッドは、プラズマチャンバを、2つのゾーン、すなわちプラズマ生成用のコイル(または他のプラズマ生成機構)に近接した上部ゾーンと、基板ホルダに近接した下部ゾーンとに、効果的に分割する。いくつかの実施形態において、上部ゾーンのプラズマは、比較的「高温」の高エネルギー電子を含んでいる。このプラズマは、しばしば電子−イオンプラズマとして特徴付けられる。いくつかの実施形態において、下部ゾーンのプラズマは、比較的「低温」の低エネルギー電子を含んでいる。この下部ゾーン・プラズマは、しばしばイオン−イオンプラズマとして特徴付けられる。
プラズマは、主として、または専ら、上部サブチャンバにおいて生成され得る。一実施形態では、上部サブチャンバの上方に位置するコイルに電流を流すことによって、上部サブチャンバにおいて誘導結合プラズマが生成される。シングルコイルまたは多重コイルが採用され得る。他の実施形態では、例えば、VHF CCP源を用いて、容量結合プラズマが生成される。グリッドがあることによって、上部サブチャンバのプラズマは、下位サブチャンバのプラズマとは明確に異なる特性を有する。
多くの実施形態において、上部ゾーン・プラズマは、通常の電子−イオンプラズマである。この種のプラズマでは、正に帯電した種のほとんどは正イオンであり、負に帯電した種のほとんどは電子である。負イオンは存在するものの、それらは比較的低濃度にすぎない。これに対し、下部サブチャンバのプラズマは、多くの場合、イオン−イオンプラズマである。イオン−イオンプラズマは、電子−イオンプラズマと比較して、負イオンである負に帯電した種の割合がより大きく、電子である負に帯電した種の割合がより低い。いくつかの実現形態において、イオン−イオンプラズマ中の電子濃度に対する正イオン濃度の比率(電子に対する正イオンの比ni/neとも呼ばれる)は、約2以上であり、いくつかの例では、約5以上であるか、さらには約10以上である。一部の例では、電子に対する正イオンの比は、下部プラズマでは、上部プラズマよりも少なくとも約2倍大きい(例えば、少なくとも5倍大きい)。
2つのプラズマ間の関連差異は、上部ゾーン・プラズマのほうが、著しく高い電子密度を有することである。例えば、下部ゾーン・プラズマの電子密度は、約5×109cm-3以下(例えば、約1×109cm-3以下)とすることができる。このような範囲は、特に電子負性処理ガスに適用可能である。上部ゾーン・プラズマは、下部ゾーン・プラズマのそれよりも少なくとも約10倍大きい(例えば、少なくとも約100倍大きい、または少なくとも約1000倍大きい)電子密度を有することができる。いくつかの例において、下部サブチャンバは、イオン−イオンプラズマを有し、その場合の電子密度は、負イオン密度および正イオン密度よりも、少なくとも一桁小さい。具体的な下部サブチャンバ・プラズマの一例では、電子密度(Ne)が約108cm-3であり、正イオン密度(Ni+)が約109cm-3であり、負イオン密度(Ni−)が約109cm-3である。
上部ゾーン・プラズマと下部ゾーン・プラズマとの間の追加的な差異は、通常、下部ゾーン・プラズマのほうが、正イオンに対する負イオンの比率がより高いということである。上部ゾーンの電子−イオンプラズマは、通常、主として正イオンと電子を含み、負イオンは比較的少ないので、負イオン:正イオン比は低くなる。下部ゾーン・プラズマにおける負イオン:正イオン比は、約0.5〜1の間(例えば、約0.8〜0.95の間)とすることができる。
下部ゾーン・プラズマ中の比較的低い電子濃度についての、限定的ではない1つの考えられる説明は、下部ゾーンにある電子(例えば、上部ゾーンから下部ゾーンへグリッドを通り抜けた電子)は、一般に、RF電場により加熱されず、ガス分子との非弾性衝突によって急速にエネルギーを損失し、その結果、低実効電子温度となるということである。これらの低エネルギー電子は、中性種と相互作用して負イオンを生成する可能性が、(上部ゾーン・プラズマ中の高エネルギー電子と比較して)より高い。電子は、中性粒子に付着して負イオンを生成するためには、比較的低エネルギーでなければならない。このような負イオンの生成は、高エネルギー電子では発生せず、それらは、中性種と衝突したときに、結合して負イオンを生成するのではなく、他の電子を「蹴り出す」ことがある。
指摘したように、実効電子温度は、下部ゾーン・プラズマに比べて、上部ゾーン・プラズマで高い。電子は、グリッドのスロットを通過する際に冷却され得る。典型的には、下部ゾーン・プラズマの実効電子温度は、約1eV以下である。いくつかの例において、下部ゾーン・プラズマの実効電子温度は、約0.1〜1eVの間(例えば、約0.2〜0.9eVの間)とすることができる。実効電子温度は、電子ボルトで測定した場合、下部ゾーン・プラズマにおける温度よりも、上部ゾーン・プラズマにおいて、少なくとも約2倍高く(例えば、少なくとも約3倍高く)なり得る。具体的な実現形態では、上部ゾーン・プラズマは、約2.5eVの実効電子温度を有し、下部ゾーン・プラズマは、約0.8eVの実効電子温度を有する。いくつかの実施形態において、このような実効電子温度の違いは、全面的または部分的に、グリッドがあることに起因して生じる。
グリッドの役割は、特定の理論またはメカニズムに制限されることなく、以下のように説明することができる。グリッドは、下部サブチャンバを部分的に遮蔽して、その中の荷電種がプラズマコイルからの電力を直接受けることがないようにすることができる。さらに、グリッドのスロットの特定のアスペクト比によって、高エネルギー電子の一部がスロットを通過するときにグリッドと衝突するようにする。これによって、2つのプラズマゾーンで、2つの質的に異なるプラズマを発生させる。
上部ゾーン・プラズマと下部ゾーン・プラズマのもう1つの区別される特徴は、それらのプラズマ電位である。一般に、下部チャンバにおけるよりも、上部チャンバにおけるプラズマ電位は高い。例えば、上部プラズマにおけるプラズマ電位は、約8〜35Vの間(例えば、約10〜20Vの間)とすることができ、下部プラズマにおけるプラズマ電位は、約0.4〜10Vの間(例えば、約0.5〜3Vの間)とすることができる。このようなプラズマ電位の差は、下部サブチャンバでは電子エネルギーがより低いため、下部ゾーン・プラズマは電子を失うことを防ぐことに積極的である必要がないことから、生じ得る。
また、2つのプラズマは、通常、異なるエネルギー分布関数(例えば、イオンエネルギー分布関数および電子エネルギー分布関数)を有する。電子エネルギー分布関数とイオンエネルギー分布関数は、どちらも、下部プラズマでは幅がより狭く、上部プラズマでは幅がより広い。グリッドを用いることにより、波形発生器による高度な制御を用いることなく、極めて狭いイオンエネルギー分布関数を得ることができる。例えば、下部プラズマのイオンエネルギー分布関数は、わずか約5Vの半値全幅を有し得る。その結果、負イオンから負電流を引き出すことが可能であり、これが基板表面に達して(電子がこの目的を果たす代わりに)電気的中性を維持する。こうして、独特なエッチング機構が得られる。
下部ゾーン・プラズマ中のラジカル濃度は、約1%の全中性密度〜約70%の全中性密度の範囲、または約10%〜約70%の全中性密度の範囲、または約10%〜約50%の全中性密度の範囲とすることができる。
エッチング工程中のチャンバ圧力は、約1〜2000ミリトルの間(例えば、約0.267〜26.7Pa(2〜200ミリトルの間)など、約2000ミリトル未満とすることができる。具体的な一例では、チャンバ圧力は約20ミリトル以下に維持される。このような圧力は、約0.5eV以下の実効電子温度および/または約5×108cm-3以下の電子密度を有する下部ゾーン・プラズマで用いる場合に、特に有用である。また、これらの圧力は、下部ゾーンのイオン−イオンプラズマで用いる場合に特に有用である。
イオン−イオンプラズマは、半導体処理において、いくつかの効果が得られると考えられる。例えば、イオン−イオンプラズマでエッチングされた半製品の半導体デバイスは、被エッチング基板の面にわたり、極めて良好な選択性、プロファイル角、疎/密(I/D)ローディング、および全面均一性を示す。従来の技術では、これらの効果をすべて同時に得ることはできなかった(すなわち、プロセス設計者は、例えば、良好な全面エッチング均一性を達成することと、他の効果を得ることとの間で、選択しなければならなかった)。従って、本明細書に記載の実施形態は、エッチング方法における大きな進歩を示すものである。
図3A〜3Cは、エッチング副生成物の分解が、エッチングされているフィーチャに及ぼす影響を示している。最初に、図3Aは、3層がその上に堆積された基板を示している。最下層303はゲート酸化物を表し、中間層305はポリシリコンを表し、(3つの個々のブロックとして示す)最上層307はハードマスクを表す。従来のエッチングプロセスでは、チャンバ内にあるプラズマが、図3Bに示すように、エッチング副生成物310を一部解離するように作用すると考えられる。このメカニズムには、正イオン309で一部を示すイオンアシスト化学エッチングが含まれることがある。これらの副生成物310は、多くの場合、揮発性成分(例えば、SiBr4)であり、条件が整えば、基板から掃去される。ところが、電子−イオンプラズマに特徴的である高実効電子温度のプラズマが、ウェハと接触すると、プラズマ中の高エネルギー電子が、揮発性副生成物310と反応することで、それらを物理化学的に「粘着性」の解離生成物312(例えば、SiBr2)に解離させる可能性がある。これらの解離生成物312は、図3Bに示すように、基板に付着することがあり、多くの場合、エッチングされているフィーチャの側壁に付着して、図3Cに示すように、エッチングプロセスを、非垂直または他の望ましくない形で発生させる。このような解離生成物の付着/再付着は、結果的に非垂直エッチングとなるローカルローディング効果につながる。
これらの望ましくない効果は、被エッチング基板に近接したプラズマの実効電子温度を抑えるためのグリッドを用いることによって、軽減される。グリッドによって、結果的にイオン−イオンプラズマを生成することができ、それに応じて電子密度および実効電子温度が低下することで、これらの望ましくない効果が軽減される。一般に、イオンは電子よりもかなり低いエネルギーを有するので、本発明の実施形態のイオン−イオンプラズマ中のイオンは、このような副生成物の解離を引き起こすことはない。本発明の実施形態では、電子−イオンプラズマを生成し得るものの、この高電子密度/高実効電子温度プラズマを上部サブチャンバに留めることができる。このため、エッチング副生成物は、下部ゾーン・プラズマのみに接触する傾向にあり、高実効電子温度の上部ゾーン・プラズマとは接触しない。また、イオン−イオンプラズマ中には多少の電子は存在するものの、それらの電子は一般に、低Teを有し、従って、通常、副生成物の解離を引き起こすのに十分なエネルギーを持たない。このように、エッチング副生成物は、「粘着性」の問題を引き起こす化合物に解離されることはない。
[ウェハバイアス]
いくつかの実現形態において、ウェハは処理中にバイアスされる。これは、ウェハを保持/支持するのに用いられる静電チャックにバイアスを印加することにより実現される。ウェハは、下部サブチャンバにおいて(イオン−イオンプラズマなどの)より低Teの低電子密度プラズマに暴露されるので、そのイオン−イオンプラズマに特有の効果が享受/促進されるように、チャックにバイアスを印加することができる。さらに、下部サブチャンバにおいて電子−イオンプラズマの形成が回避されるように、バイアスを印加することができる。例えば、バイアスは、電子−イオンプラズマの形成を防ぐのに適した周波数および電力とすることができ、その場合、イオン−イオンプラズマは、チャックバイアスにより与えられる電力を用いることなく形成される。例えば、基板へのバイアス電力の印加により発生する電子加熱量を抑えるように、RFバイアスは、約20MHz未満の周波数、好ましくは約100kHz〜約13.56MHzの間の周波数とすることができる。一部の実施形態では、(周波数にかかわらず)バイアスは、約1%〜99%の間のデューティサイクルで、約1Hz〜約10kHzの範囲でパルス化される。
上述のように、通常の電子−イオンプラズマでは、プラズマ電位は、かなり高い正電位である。このようなプラズマ電位によって、それらの電子がプラズマから逃げ出す能力が効果的に制限される。一方、下部ゾーン・プラズマは、一般に、通常とは異なる低い電子密度および実効電子温度を有し、よって、その電子を効果的に留めるのに必要とされるのは、はるかに低いプラズマ電位にすぎない。この低プラズマ電位によって、動作ウィンドウの制限が緩和され、任意選択的に、バイアス波形の正サイクル期間に、イオン−イオンプラズマ中に負イオンを存在させることで、ウェハに向けた衝突を加速させることが可能となる。このようなエッチングレジームは、従来の連続波プラズマでは得ることができなかったものである。
静電チャックに印加されるバイアスの周波数は、イオン−イオンプラズマ中のイオン(限定されないが、特に負イオン)の形成および引力を最適化するように設計することができる。
静電チャックに印加されるバイアスの電力レベルは、下部サブチャンバでの電子−イオンプラズマの形成を防ぐように設計することができる。いくつかの実施形態において、チャックをバイアスするために供給される電力は、約3〜300Wの間、例えば約5〜150Wの間である。これは、約0〜500Vの間のバイアス電圧に相当し得る。
いくつかの実施形態において、静電チャックに印加されるバイアスの周波数は、約0.1〜15MHzの間(例えば、約400kHz〜13.56MHzの間)である。具体的な一例では、バイアスは、約8MHzである。このような周波数は、イオン移動周波数に相当するので、特に有用となり得る。他の周波数を用いてもよいが、効果が低くなる可能性がある。例えば、約100kHz〜1MHzの間の周波数は、ある程度は機能し得るが、より高い上記周波数よりも効果は低くなる。チャック/ウェハに印加されるバイアスに関するもう1つの考慮事項は、バイアスの周波数が高すぎると、バイアスは、下部サブチャンバ内で電子−イオンプラズマを形成するように作用し得るということである。このような条件を回避するためには、静電チャックに印加されるバイアスの周波数は、約30MHz未満でなければならない。いくつかの実施形態において、バイアスの周波数は、約100kHz〜13MHzの間である。
注目すべきことは、グリッドを使用し、かつ静電チャック/ウェハに適切な周波数のACバイアスを印加する場合には、プラズマから負イオンと正イオンを交互に引き出してウェハ面に向けて加速させるように、ウェハ上方のプラズマシースを機能させることができるということである。すなわち、プラズマシースによって、正サイクルで負イオンを、そして負サイクルで正イオンを引き付けて、これらのサイクルをACバイアスによって繰り返す。上述のように、このような(ウェハへの)負イオンの引き付けは、本発明の実施形態の実現より以前には、プラズマ電位が高すぎるために、これによってACバイアス・サイクルの当該半分の引き付け効果を打ち消すことで、不可能であったものである。
前述のように、バイアスは、パルスで印加することができる。しかしながら、パルス化の必要がない多くのケースある。本発明の実施形態では、エッチングの全過程において、ウェハ上方に安定したイオン−イオンプラズマが得られる。従って、本明細書に記載の効果を得るためには、チャック/ウェハへのバイアスをパルス化する必要はない。ところが、いくつかの実施形態では、それでもバイアスがパルスで印加される場合があり、それは、例えば、エッチング速度または基板のイオン衝撃量を抑えるためであって、これにより下層に対するエッチング選択性を向上させる。イオン−イオンプラズマにおけるバイアスのパルス化は、イオンとラジカルを交互に用いて選択性を向上させることにより、特に有用とすることができる。すなわち、パルス化によって、基板表面へのイオンとラジカルの流れを分けることができる(パルスオン:ラジカル+イオン;パルスオフ:ラジカルのみ)。
[プロセス/応用]
本明細書で開示する装置およびプラズマ条件は、シリコン(多結晶、アモルファス、単結晶、および/または微結晶シリコンを含む)、金属(TiN、W、TaNなどを含むが、これらに限定されない)、酸化物および窒化物(SiO、SiOC、SiN、SiONなどを含むが、これらに限定されない)、有機物(フォトレジスト、アモルファスカーボンなどを含むが、これらに限定されない)など、様々な材料のいずれかをエッチングするために用いることができ、さらに他の様々な材料として、限定するものではないが、W、Pt、Ir、PtMn、PdCo、Co、CoFeB、CoFe、NiFe、W、Ag、Cu、Mo、TaSn、Ge2Sb2Te2、InSbTe Ag−Ge−S、Cu−Te−S、IrMn、および/またはRuが含まれる。このコンセプトは、NiOx、SrTiOx、ペロブスカイト(CaTiO3)、PrCMnO3、PZT(PbZr1xTix3)、(SrBiTa)O3などの材料に拡張することができる。本装置は、現今の製造設備で使用可能な任意のガスの組み合わせ(HBr、CO、NH3、CH3OHなどを含む)で用いることが可能である。
本明細書で開示する装置およびプラズマ条件は、任意のテクノロジノードのデバイスまたは他の構造においてフィーチャをエッチングするために採用することができる。一部の実施形態では、エッチングは、20−10nmノードまたはそれ以降のものの製造において用いられる。エッチングは、製造手順のフロントエンドと製造手順のバックエンドの両方の前に実施することができる。エッチングによって、優れた垂直プロファイル、材料選択性、I/Dローディング、および/または約2%よりも良好なウェハの中心からエッジへの均一性を提供することができる。好適なエッチング用途のいくつかの例には、シャロートレンチ・アイソレーション、ゲートエッチング、スペーサエッチング、ソース/ドレイン・リセスエッチング、酸化物リセス、およびハードマスク開口エッチングが含まれる。
[装置]
本明細書で記載する方法は、任意の適切な装置によって実施することができる。適切な装置は、グリッド構造により上部サブチャンバと下部サブチャンバとに分割されたチャンバと、本明細書で記載するようなエッチング条件を提供および維持するための電子ハードウェアと、を備えるものである。適切な装置は、さらに、そのような条件を達成するようにハードウェアを制御するため、さらにはFETのゲート電極をエッチングするなどの応用に適した一連のプロセス工程を実行するための、命令を有するシステムコントローラを備える。いくつかの実施形態において、ハードウェアには、プロセスツールに含まれる1つ以上の処理ステーションを含むことができる。
図1は、本明細書で記載するいくつかの実施形態による誘導結合型プラズマエッチング装置100の断面図を模式的に示している。誘導結合型プラズマエッチング装置100は、チャンバ壁101と窓111によって構造的に画成される全体エッチングチャンバを備える。チャンバ壁101は、典型的には、ステンレス鋼またはアルミニウムで製造される。窓111は、典型的には、石英または他の誘電材料で製造される。内部プラズマグリッド150によって、全体エッチングチャンバを、上部サブチャンバ102と下部サブチャンバ103とに分割している。下部サブチャンバ103内の内部底面の近くに、チャック117が配置されている。チャック117は、エッチングプロセスがその上で実施される半導体ウェハ(すなわち「ウェハ」)119を受けて、保持するように構成されている。チャック117は、ウェハがある場合にこれを支持するための静電チャックとすることができる。いくつかの実施形態において、エッジリング(図示せず)がチャック117を取り囲んでおり、それは、チャック117上にウェハがある場合にそのウェハ表面と略平面となる上面を有する。チャック117は、さらに、ウェハのチャッキングおよびデチャッキングを可能にするために、静電電極を有する。この目的のために、フィルタおよびDCクランプ電源を設けることができる。また、チャック117からウェハを持ち上げるための他の制御システムを設けることもできる。チャック117は、RF電源123を用いて帯電させることが可能である。RF電源123は、接続127を介して整合回路121に接続されている。整合回路121は、接続125を介してチャック117に接続されている。このようにして、RF電源123は、チャック117に接続されている。
窓111の上方に、コイル133が配置されている。コイル133は、導電性材料で製造されており、少なくとも1ターンの完全なターンを含んでいる。図1に示す例示的なコイル133は、3ターンを含んでいる。コイル133の断面に記号を付して示しており、「X」を付したコイルは、回転して紙面に入るように延伸し、「・」を付したコイルは、回転して紙面から出るように延伸する。RF電源141は、コイル133にRF電力を供給するように構成されている。通常、RF電源141は、接続145を介して整合回路139に接続されている。整合回路139は、接続143を介してコイル133に接続されている。このようにして、RF電源141は、コイル133に接続されている。オプションのファラデーシールド149が、コイル133と窓111との間に配置される。ファラデーシールド149は、コイル133に対して離間した関係に維持される。ファラデーシールド149は、窓111の直ぐ上に配置される。コイル133、ファラデーシールド149、および窓111は、それぞれ、相互に略平行となるように構成されている。金属またはその他の種がプラズマチャンバの誘電体窓に付着することを、ファラデーシールドによって防ぐことができる。
上部チャンバに配置されたメイン注入口160を介して、さらに/またはSTGとも呼ばれるサイド注入口170を介して、処理ガスを供給することができる。ガス排出口は図示していない。また、操作的プラズマ処理中の、真空制御、およびチャンバからのガス状副生成物の除去を可能にするために、チャンバ101に接続されたポンプも、図示していない。
装置の作動中には、注入口160および/または170を介して、1種以上の反応ガスを供給することができる。いくつかの実施形態において、ガスは、メイン注入口のみを介して、またはサイド注入口のみを介して供給することができる。いくつかの例では、注入口は、シャワーヘッドで置き換えることができる。ファラデーシールド149および/またはグリッド150は、チャンバへの処理ガスの送出を可能にする内部チャネルおよび孔を有することができる。すなわち、ファラデーシールド149およびグリッド150のいずれかまたは両方は、処理ガスを送出するためのシャワーヘッドとして機能することができる。
高周波電力がRF電源141からコイル133に印加され、これにより、RF電流がコイル133に流れる。コイル133に流れるRF電流によって、コイル133の周りに電磁場が発生する。この電磁場によって、上部サブチャンバ102内に誘導電流が発生する。この誘導電流が、上部サブチャンバ102内にあるガスに作用することで、上部サブチャンバ102内に電子−イオンプラズマが発生する。内部プラズマグリッド150によって、下部サブチャンバ103内の高温電子の量が制限される。いくつかの実施形態において、装置は、下部サブチャンバ内にあるプラズマがイオン−イオンプラズマとなるように、設計され、操作される。
上部の電子−イオンプラズマと、下部のイオン−イオンプラズマは、どちらも、正イオンと負イオンを含むが、イオン−イオンプラズマのほうが、負イオン:正イオン比が大きい。種々のイオンおよびラジカルとウェハ119との物理的および化学的相互作用によって、ウェハのフィーチャが選択的にエッチングされる。揮発性のエッチング副生成物は、排出口(図示せず)を介して下部サブチャンバから除去される。重要なことは、このような揮発性副生成物が高温電子に曝されることは実質的にないので、それらが不揮発性の「粘着性」解離生成物に解離される恐れはない。
典型的には、本明細書で開示するチャックは、約30℃〜約250℃の範囲の、好ましくは約30〜150℃の範囲の高温で作動する。この温度は、エッチングプロセス処理および具体的なレシピに依存する。また、チャンバ101は、約1ミリトル〜約12.7Pa(95ミリトルの範囲、または約5〜20ミリトルの範囲の圧力で作動することができる。ただし、一部の実施形態では、上記で開示したような、より高い圧力とすることができる。
図示はしていないが、チャンバ101は、通常、クリーンルームまたは製造施設に設置されると、様々な設備に連結される。それらの設備には、処理ガス、真空、温度制御、環境粒子制御を提供する配管設備が含まれる。チャンバ101がターゲット製造施設に設置されると、このような設備が連結される。さらに、チャンバ101を搬送室に連結することができ、これによって、ロボット技術により、通常の自動操作を用いて、半導体ウェハをチャンバ101に出し入れする搬送が可能となる。
図2A−2Bは、本明細書で記載する実施形態による内部プラズマグリッドの例を示している。グリッドは、径方向外向きに延びるスロットを有することができる。図2Bの実施形態では、3種類のスロットがある。3種類のスロットはそれぞれ、異なるスロット長を有する。図2Bに示すスロットは、上述のように、下部サブチャンバにおいてイオン−イオンプラズマを生成するのに適したアスペクト比を有する。図2Aに示すスロットは、縮尺通りに描かれていない場合がある。
いくつかの実施形態において、半導体エッチング装置を、マルチステーション・ツールに組み込むことができる。マルチステーション・ツールは、本明細書で開示するような複数のプラズマエッチング反応器を含むことができ、また、他の半導体製造プロセスを実施するための追加のステーションを含むことができる。マルチステーション統合処理ツールおよびそのようなツールを用いる方法は、2006年2月8日に出願され、発明の名称を「WAFER MOVEMENT CONTROL MACROS(ウェハの移動を制御するマクロ)」とするPCT出願第PCT/US2006/004625号、および2008年5月7日に出願され、発明の名称を「DYNAMIC ALIGNMENT OF WAFERS USING COMPENSATION VALUES OBTAINED THROUGH A SERIES OF WAFER MOVEMENTS(一連のウェハ移動を通じて得られる補償値を使用したウェハのダイナミックアライメント)」とする米国特許出願第12/116,897号に、さらに説明および記載されており、これらの文献はそれぞれ、その全体が参照により本明細書に組み込まれる。
図4は、典型的な半導体プロセス・クラスタアーキテクチャを示しており、真空搬送モジュール38(VTM:Vacuum Transfer Module)とインタフェース接続される各種モジュールを例示している。当業者には周知のように、複数の保管設備および処理モジュールの間でウェハを「搬送する」ための搬送モジュールの構成は、しばしば「クラスタツールアーキテクチャ」システムと呼ばれる。様々な製造プロセスを実施するために個々に最適化することができる4つの処理モジュール20a〜20dと共に、ロードロックまたは搬送モジュールとも呼ばれるエアロック30を、VTM38に示している。例として、処理モジュール20a〜20dは、基板エッチング、成膜、イオン注入、ウェハ洗浄、スパッタリング、および/または他の一般的な半導体プロセスを実施するように実現することができる。基板エッチング処理モジュールのうち1つまたは複数(20a〜20dのいずれか)を、本明細書で開示するように、すなわち反応チャンバを上部サブチャンバと下部サブチャンバとに分割するグリッド構造を備えるように、実現することができる。エアロック30または処理モジュール20について全体的に述べる場合に、あるエアロックまたはある処理モジュールのどちらかを指すときに、ステーションという用語が用いられる。各ステーションは、該ステーションを真空搬送モジュール38にインタフェース接続するファセット36を有する。各ファセットの内部で、センサ1〜18を用いて、それぞれのステーションに出入りするウェハ26の通過を検出する。
ロボット22により、ウェハ26をステーション間で搬送する。一実施形態では、ロボット22は1つのアームを有し、他の実施形態では、ロボット22は2つのアームを有し、その場合、各アームは、移送のためにウェハをピックアップするためのエンドエフェクタ24を有する。大気搬送モジュール40(ATM:Atmospheric Transfer Module)内のフロントエンド・ロボット32を用いて、カセットから、またはロードポート・モジュール(LPM:Load Port Module)42内の正面開口一体型ポッド(FOUP(フープ):Front Opening Unified Pod)34から、エアロック30へウェハを搬送する。処理モジュール20内部のモジュール中心28は、ウェハ26を配置するための理想的な位置を示している。ATM40内のアライナ44を用いて、ウェハを位置合わせする。
例示的な処理方法では、ロードポート・モジュール42内のフープ34のいずれか1つに、ウェハが配置される。フロントエンド・ロボット32が、ウェハをフープ34からアライナ44に搬送し、これにより、ウェハは、エッチングされる前に適切に中心に位置合わせされることが可能である。位置合わせされた後に、ウェハは、フロントエンド・ロボット32によってエアロック・モジュール30内に移送される。エアロック・モジュールは、大気搬送モジュールと真空搬送モジュールとの間で環境を適合させる能力を持つので、2つの圧力環境の間でウェハを損傷することなく移送することが可能である。ウェハは、エアロック・モジュール30から、ロボット22により真空搬送モジュール38を通して、処理モジュール20a〜20dのいずれか1つの中に移送される。このようなウェハの移動を達成するため、ロボット22は、そのアームの各々のエンドエフェクタ24を使用する。ウェハが処理されたら、それを、ロボット22によって、処理モジュール20a〜20dからエアロック・モジュール30に移送する。そこから、フロントエンド・ロボット32によって、フープ34のいずれか1つまたはアライナ44に、ウェハを移送することができる。
留意すべきことは、ウェハの移動を制御するコンピュータは、このクラスタアーキテクチャにローカルなものとすることができ、または製造現場内のどこか、もしくは遠隔地に配置して、ネットワークを介してクラスタアーキテクチャに接続することができるということである。
[システムコントローラ]
いくつかの実施形態において、システムコントローラ(1つ以上の物理コントローラまたは論理コントローラを含むことができる)により、エッチングチャンバの動作の一部またはすべてを制御する。システムコントローラは、1つ以上のメモリデバイスと、1つ以上のプロセッサとを備えることができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、および他の同様の構成要素を含むことができる。適切な制御動作を実現するための命令が、プロセッサ上で実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されていてもよいし、あるいはネットワークを介して提供されるものであってもよい。いくつかの実施形態において、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下のチャンバ動作条件のうち1つ以上の適用のタイミングおよび/または大きさを制御するための命令を含むことができる:ガスの混合および/または組成、チャンバ圧力、チャンバ温度、ウェハ/ウェハ支持部の温度、ウェハに印加するバイアス、コイルまたは他のプラズマ発生要素に印加する周波数および電力、ウェハ位置、ウェハ移動速度、ならびにツールによって実施される具体的なプロセスのその他パラメータ。システム制御ソフトウェアは、任意の適切な方法で構成することができる。例えば、種々のプロセスツール・プロセスを実施するために必要なプロセスツール構成要素の動作を制御するための、各種プロセスツール構成要素サブルーチンまたは制御オブジェクトを作成することができる。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングすることができる。
いくつかの実施形態において、システム制御ソフトウェアは、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含む。例えば、半導体製造プロセスの各段階は、システムコントローラで実行するための1つ以上の命令を含むことができる。例えば、エッチング段階のプロセス条件を設定するための命令は、対応するエッチングレシピ段階に含むことができる。一部の実施形態では、それらのレシピ段階は、あるプロセス段階のすべての命令がそのプロセス段階と同時に実行されるように、順に配列することができる。
いくつかの実施形態において、他のコンピュータソフトウェアおよび/またはプログラムを採用することができる。本目的のためのプログラムまたはプログラム部分の例には、基板位置決めプログラム、処理ガス組成制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびRF電源制御プログラムが含まれる。
一部の例において、コントローラは、ガス濃度、ウェハの移動、さらに/またはコイルおよび/もしくは静電チャックに供給される電力、を制御する。コントローラは、例えば、必要な反応物質(複数の場合もある)を適切な濃度で供給する1種以上の流入ガス流を発生させるように、関連する弁を開閉することにより、ガス濃度を制御することができる。ウェハの移動は、例えば、所望の移動をウェハ位置決めシステムに指示することにより、制御することができる。コイルおよび/またはチャックに供給される電力は、上部サブチャンバ内で所望の電子−イオンプラズマを発生させ、下部サブチャンバ内で所望のイオン−イオンプラズマを発生させるための、特定のRF電力レベルを与えるように制御することができる。さらに、下部サブチャンバ内で電子−イオンプラズマが形成されないような条件で、静電チャックに電力が供給されるように、コントローラを構成することができる。すなわち、コントローラは、下部サブチャンバ内でイオン−イオンプラズマ(または、少なくとも、適切な低実効電子温度および密度を有するプラズマ)を維持するように構成される。コントローラは、これらまたはその他の側面を、センサ出力(例えば、電力、電位、圧力などが所定の閾値に達したとき)、操作のタイミング(例えば、プロセスの所定の時点で弁を開放する)に基づいて、またはユーザから受ける指示に基づいて、制御することができる。
上記の各種ハードウェアおよび方法の実施形態は、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスとともに用いることができる。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。
リソグラフィによる膜のパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールによって実施可能となる。(1)例えばシリコン窒化膜がその上に形成された基板であるワークピースの上に、スピン式またはスプレー式のツールを用いて、フォトレジストを塗布する、(2)ホットプレートまたは炉または他の適切な硬化ツールを用いて、フォトレジストを硬化させる、(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する、(4)ウェットベンチまたはスプレー式現像装置などのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する、(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する、(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。一部の実施形態では、フォトレジストを塗布する前に、アッシャブル・ハードマスク層(アモルファスカーボン層など)および他の適切なハードマスク(反射防止層など)を成膜することができる。
本明細書で記載した構成および/または手法は、当然のことながら、本質的に例示的なものであり、これらの具体的な実施形態または例は、限定的な意味で解釈されるべきではなく、数多くの変形が可能である。本明細書に記載の具体的なルーチンまたは方法は、多数の処理戦略のうちの1つまたは複数を提示し得るものである。従って、例示した種々の処理動作は、例示した順序で、他の順序で、並列に、実行することができ、あるいは場合によって、省略することもできる。同様に、上記のプロセスの順序を変更することができる。
本開示の対象には、本明細書で開示した様々なプロセス、システムおよび構成の新規かつ非自明なすべての組み合わせおよび部分的組み合わせ、さらには、その他の特徴、機能、処理動作、および/または特性、ならびにそれらのあらゆる均等物が含まれる。
[実験]
本開示の方法および装置によって、半導体基板上の半製品のデバイスのエッチングが改善されることを、実験により確認した。プラズマグリッドを使用した場合には、エッチングされた製品は、良好な選択性、プロファイル角、疎/密ローディング、および全面エッチング均一性を示している。
図5Aおよび5Bは、従来の高圧技術(5A)により、および、プラズマグリッドを用いた本発明の実施形態(5B)により、エッチングされたフィンFET構造の走査型電子顕微鏡(SEM:Scanning Electron Microscope)像を示している。図5Aに示すように、従来技術によると、ウェハの中心とエッジとの間に深刻な不均一性が認められる結果となる。例えば、フィーチャ底部は、ウェハのエッジよりも中心において、かなり多くエッチングされた。このことは、解離副生成物の再付着が、ウェハの中心よりもエッジ付近で、より大きな問題であったことを示唆している。I/Dローディングが大きく、材料間の選択性が低かった。疎/密ローディングは、いくつかの方法で検討することができる。エッチング深さの疎/密ローディングは、疎なフィーチャ(一般的には、大きなフィーチャであって、例えば、間隔500nmの配線)でのエッチング深さと、密なフィーチャ(一般的には、小さなフィーチャであって、例えば、間隔30nmの配線)でのエッチング深さとの差として、計算することができる。プロファイルの疎/密ローディングは、疎なフィーチャでのプロファイル角と、密なフィーチャでのプロファイル角との差として、計算することができる。また、疎/密ローディングは、限界寸法(CD:Critical Dimension)の比較を指す場合もある。その場合、疎/密ローディングは、(疎なフィーチャでの(底部CD−頂部CD))−(密なフィーチャでの(底部CD−頂部CD))として計算することができる。別段の規定がある場合を除き、I/Dローディングは、この限界寸法の比較を指すものとする。
一方、図5Bに示すように、プラズマグリッドを使用することで、中心からエッジへの均一性は、実質的に向上する。また、I/Dローディングは、はるかに低く、選択性が向上した。本実験は、フィンFETの高さを表す厚さまで薄厚化し、フルパターンウェハのエッチングをシミュレートするために50%SiNクーポンで覆ったSiキャリアウェハ上で、実施した。フィンFET構造は、プロファイルのテーパを最小限に抑えるように、65%でオーバエッチングされた。
図6Aおよび6Bは、従来の低圧技術(6A)により、および、プラズマグリッドを用いた本発明の実施形態(6B)により、エッチングされたフィーチャのSEM像を示している。従来技術は、シリコンと酸化物との間の比較的低い選択性を示し、エッチングされたフィーチャはテーパ状のプロファイルを有し、また、I/Dローディングは良好ではなかった。一方、図6Bに示すように、プラズマグリッドによって、向上した選択性(無限大の選択性)、より垂直なプロファイル角が得られ、また、I/Dローディングは略なくなった。本実験は、パターンウェハから切り離されてキャリアウェハの中心に配置されたチップ上で、実施した。本実験は、フィンFETの高さを表す厚さまで薄厚化し、フルパターンウェハのエッチングをシミュレートするために50%SiNクーポンで覆ったSiキャリアウェハ上で、実施した。
図7は、プラズマグリッドを用いることなく、いくつかのレジームに従ってエッチングされたフィーチャの様々なSEM像を示している。2通りの異なる圧力と、4通りの異なる総流量とを用いた。実効電子温度(Te)は、圧力の増加に伴って低下する。滞留時間は、総流量の増加に伴って減少する。それぞれの圧力で、総流量を増加させると、エッチング結果が向上する。特に、高流量のケースは、より良好な(より垂直な)プロファイル角、および向上した選択性(より多いマスク残り)を示している。しかしながら、これらの改善は、より良好ではないI/Dローディングおよび中心からエッジへの均一性により、軽減される。この高流量での結果は、ガスの形態で掃去されない場合のいくつかの副生成物および/または解離生成物が、図3A〜3Cに示すようにフィーチャの側壁および/または底部に付着し得ることで不良なエッチング結果となるという考えを裏付けるものである。総流量がより高いと、これらの副生成物が、より効果的に反応チャンバから掃去されて、エッチング不良が生じる可能性がより低くなる。
様々な実験によって、プラズマグリッドを使用した結果、極めて良好な選択性、プロファイル角、I/Dローディング、中心からエッジへの均一性を伴うエッチングプロセスが得られることが示された。いくつかの例において、選択性(すなわち、Siのエッチング速度:酸化物のエッチング速度)は、約10より大きいか、または約100よりも大きい。実際に、いくつかの例では、プラズマグリッドを用いることで、無限大の選択性が得られる場合がある。このような場合、酸化物材料がエッチングされることは略なく、むしろ、酸化物表面に少量の堆積が生じることがある。多くのケースにおいて得られるプロファイル角は、略垂直(例えば、約89°超)である。いくつかの実現形態において、I/Dローディングは、約2°未満であることが示された。また、いくつかの実現形態における中心からエッジへの均一性は、約2nm未満であった。

Claims (28)

  1. 基板上のフィーチャをエッチングするための装置であって、
    プラズマが提供され得る内部を画定するチャンバと、
    エッチング中に前記チャンバ内で基板を保持するための基板ホルダと、
    前記チャンバ内でプラズマを生成するためのプラズマ発生器と、
    前記チャンバの内部を、前記プラズマ発生器に近接する上部サブチャンバと、前記基板ホルダに近接する下部サブチャンバと、に分割するグリッドと、
    前記上部サブチャンバ内で上部ゾーン・プラズマを生成し、前記下部サブチャンバ内で下部ゾーン・プラズマを生成するという条件で、前記チャンバ内でプラズマを発生させるように設計または構成されているコントローラと、を備え、
    前記上部ゾーン・プラズマのプラズマ電位は、前記下部ゾーン・プラズマのプラズマ電位よりも高く、
    前記上部サブチャンバは、前記下部サブチャンバの高さの少なくとも約1/6の高さを有し、
    前記グリッドは、略径方向外向きに延びる複数のスロットを有し、前記スロットにより、前記チャンバ内でプラズマが生成される際に前記グリッドに誘導電流が発生することを実質的に防止する、装置。
  2. 請求項1に記載の装置において、
    前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
    前記下部ゾーン・プラズマの電子密度は、約5×109cm-3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い、装置。
  3. 請求項1に記載の装置において、前記コントローラは、さらに、前記グリッドにバイアスを印加するように設計または構成されている、装置。
  4. 請求項1に記載の装置において、前記コントローラは、さらに、前記基板ホルダにバイアスを印加するように設計または構成されている、装置。
  5. 請求項1から請求項4のいずれか一項に記載の装置において、前記コントローラは、さらに、前記チャンバにエッチャントガスを供給するように設計または構成されている、装置。
  6. 請求項1から請求項5のいずれか一項に記載の装置において、前記コントローラは、さらに、前記プラズマにより前記基板をエッチングする間、前記チャンバ内の圧力を約267Pa未満とするように設計または構成されている、装置。
  7. 請求項1から請求項6のいずれか一項に記載の装置において、前記コントローラは、さらに、前記下部サブチャンバ内でイオン−イオンプラズマを発生させるように設計または構成されている、装置。
  8. 請求項1から請求項7のいずれか一項に記載の装置において、前記グリッドは、約1〜50mmの間の平均厚さを有する、装置。
  9. 請求項1から請求項8のいずれか一項に記載の装置において、前記グリッドの前記スロットは、幅に対する高さのアスペクト比が、約0.3〜5の間である、装置。
  10. 請求項1から請求項9のいずれか一項に記載の装置において、前記スロットは、隣接スロットから約60度以下の方位角で離間されている、装置。
  11. 請求項1から請求項10のいずれか一項に記載の装置において、前記プラズマ発生器は、前記チャンバの天井の上方に配置されているコイルを有する、装置。
  12. 請求項1から請求項11のいずれか一項に記載の装置において、前記基板ホルダは、静電チャックである、装置。
  13. 請求項1から請求項12のいずれか一項に記載の装置において、さらに、真空接続部を備える、装置。
  14. 半導体基板を処理するためのシステムであって、
    真空搬送モジュールと、
    前記真空搬送モジュール内のロボットと、
    前記真空搬送モジュールにおけるファセットに接続される複数の処理モジュールと、
    プロセッサを有するコントローラと、を備え、
    前記複数の処理モジュールのうち少なくとも1つは、
    プラズマが提供され得る内部を画定するチャンバと、
    エッチング中に前記チャンバ内で基板を保持するための基板ホルダと、
    前記チャンバ内でプラズマを生成するためのプラズマ発生器と、
    前記チャンバの内部を、前記プラズマ発生器に近接する上部サブチャンバと、前記基板ホルダに近接する下部サブチャンバと、に分割するグリッドと、を備え、
    前記コントローラは、上部サブチャンバ内で上部ゾーン・プラズマを生成し、前記下部サブチャンバ内で下部ゾーン・プラズマを生成するという条件で、前記チャンバ内でプラズマを発生させるように設計または構成され、前記上部ゾーン・プラズマのプラズマ電位は、前記下部ゾーン・プラズマのプラズマ電位よりも高く、
    前記上部サブチャンバは、前記下部サブチャンバの高さの少なくとも約1/6の高さを有し、
    前記グリッドは、略径方向外向きに延びる複数のスロットを有し、該スロットにより、前記チャンバ内でプラズマが生成される際に前記グリッドに誘導電流が発生することを実質的に防止する、システム。
  15. 半導体エッチング装置と関連して用いられるグリッドであって、
    半導体デバイス製造用の標準的な半導体基板の直径と略同じ直径を有するプレートと、
    前記プレートにおいて略径方向外向きに延びる複数のスロットであって、前記プレートがプラズマに暴露される際に前記プレートに誘導電流が発生することを実質的に防止するための複数のスロットと、を備え、
    前記スロットは、幅に対する高さのアスペクト比が、約0.3〜5の間である、グリッド。
  16. 請求項15に記載のグリッドにおいて、前記グリッドは、半導体エッチング装置の処理チャンバ内に配置されると、前記処理チャンバを上部サブチャンバと下部サブチャンバとに分割し、前記上部サブチャンバ内で生成されるプラズマに曝されると、前記上部サブチャンバにおける上部電子密度よりも少なくとも約10倍低い前記下部サブチャンバの下部電子密度を維持するように機能する、グリッド。
  17. 請求項16に記載のグリッドにおいて、前記グリッドは、前記上部電子密度よりも少なくとも約100倍低い前記下部電子密度を維持するように機能する、グリッド。
  18. 請求項15から請求項17のいずれか一項に記載のグリッドにおいて、前記標準的な半導体基板は、約300mmまたは約450mmの直径を有する、グリッド。
  19. 請求項15から請求項18のいずれか一項に記載のグリッドにおいて、方位角的に隣接する方位角隣接スロットは、少なくとも約10°、かつ約60°以下で離間されている、グリッド。
  20. 請求項15から請求項19のいずれか一項に記載のグリッドにおいて、前記グリッドは、金属を含んでいる、グリッド。
  21. 請求項15から請求項19のいずれか一項に記載のグリッドにおいて、前記グリッドは、絶縁性材料を含んでいる、グリッド。
  22. 基板上のフィーチャをエッチングする方法であって、
    チャンバ内の基板ホルダに基板を供給し、前記チャンバはプラズマ発生器とグリッドとを備え、前記グリッドは、前記チャンバの内部を前記プラズマ発生器に近接する上部サブチャンバと、前記基板ホルダに近接する下部サブチャンバとに分割し、前記上部サブチャンバは、前記下部サブチャンバの高さの少なくとも約1/6の高さを有し、
    前記上部サブチャンバ内で上部ゾーン・プラズマを生成し、前記下部サブチャンバ内で下部ゾーン・プラズマを生成するという条件で、前記チャンバ内でプラズマを発生させ、
    前記下部ゾーン・プラズマと前記基板との相互作用によって、前記基板のフィーチャをエッチングすること、を備え、
    前記上部ゾーン・プラズマのプラズマ電位は、前記下部ゾーン・プラズマのプラズマ電位よりも高く、
    前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
    前記下部ゾーン・プラズマの電子密度は、約5×109cm-3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い、方法。
  23. 請求項22に記載の方法において、前記プラズマを発生させる際に、前記グリッドに電流は実質的に生じない、方法。
  24. 請求項22または請求項23に記載の方法において、さらに、前記グリッドにバイアスを印加することを備える、方法。
  25. 請求項22または請求項23に記載の方法において、さらに、前記基板ホルダにバイアスを印加することを備える、方法。
  26. 請求項22から請求項25のいずれか一項に記載の方法において、さらに、前記チャンバにエッチャントガスを供給することを備える、方法。
  27. 請求項22から請求項26のいずれか一項に記載の方法において、前記エッチングは、約267Pa未満のチャンバ圧力で実施される、方法。
  28. 請求項22から請求項27のいずれか一項に記載の方法において、前記下部ゾーン・プラズマは、イオン−イオンプラズマである、方法。
JP2014076868A 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド Active JP6461482B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361809246P 2013-04-05 2013-04-05
US61/809,246 2013-04-05
US14/082,009 US20140302681A1 (en) 2013-04-05 2013-11-15 Internal plasma grid for semiconductor fabrication
US14/082,009 2013-11-15

Publications (3)

Publication Number Publication Date
JP2014204127A JP2014204127A (ja) 2014-10-27
JP2014204127A5 JP2014204127A5 (ja) 2017-05-18
JP6461482B2 true JP6461482B2 (ja) 2019-01-30

Family

ID=51654740

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014076868A Active JP6461482B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド
JP2014076598A Active JP6506915B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014076598A Active JP6506915B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド

Country Status (6)

Country Link
US (5) US9245761B2 (ja)
JP (2) JP6461482B2 (ja)
KR (2) KR102270841B1 (ja)
CN (3) CN104103478B (ja)
SG (3) SG10201401112YA (ja)
TW (3) TWI665709B (ja)

Families Citing this family (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI659853B (zh) * 2014-04-25 2019-05-21 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) * 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
WO2016109063A1 (en) * 2015-01-02 2016-07-07 Applied Materials, Inc. Processing chamber
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
CN106676532B (zh) * 2015-11-10 2019-04-05 江苏鲁汶仪器有限公司 金属刻蚀装置及方法
KR102227879B1 (ko) * 2016-01-15 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 가변 패턴 분리 그리드
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
JP2018078515A (ja) * 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11195704B2 (en) * 2017-03-31 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Pedestal assembly for plasma processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108878242B (zh) * 2017-05-10 2021-01-29 北京北方华创微电子装备有限公司 一种等离子体装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
ES2773989T3 (es) * 2017-05-19 2020-07-16 Total Sa Aparato y método para el procesamiento de texturizado
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102133279B1 (ko) * 2018-06-20 2020-07-13 주식회사 엘지화학 회절 격자 도광판용 몰드의 제조방법 및 회절 격자 도광판의 제조방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111146334A (zh) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 一种磁隧道结制作方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN113242989A (zh) 2018-12-17 2021-08-10 应用材料公司 使用离子束源的光学设备制造方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11039527B2 (en) 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
KR102697639B1 (ko) 2019-08-16 2024-08-22 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
CN111243991B (zh) * 2020-01-15 2022-12-09 北京北方华创微电子装备有限公司 内衬及半导体加工设备
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
US12074013B1 (en) * 2020-08-01 2024-08-27 Qi Liang System and method for in-situ plasma modification
US12014910B2 (en) * 2021-03-19 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process
CN117133622A (zh) * 2023-08-28 2023-11-28 上海稷以科技有限公司 用于调节等离子体均匀性的可变控制板及调节方法
CN117690774B (zh) * 2024-02-04 2024-04-16 上海邦芯半导体科技有限公司 一种降低刻蚀不均匀度的icp装置及调节方法

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH0738384B2 (ja) 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
JPH01302645A (ja) 1988-02-08 1989-12-06 Anelva Corp 放電装置
JP2552701B2 (ja) 1988-02-29 1996-11-13 日本電信電話株式会社 イオン源
US5015331A (en) 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02131550U (ja) 1989-03-31 1990-11-01
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JPH07335618A (ja) 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> プラズマプロセスの方法及びプラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) * 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP4043089B2 (ja) 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH10242116A (ja) 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH10270429A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
JPH1154717A (ja) 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) * 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6238527B1 (en) * 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
WO1999040609A1 (en) 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP3948857B2 (ja) 1999-07-14 2007-07-25 株式会社荏原製作所 ビーム源
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
JP3510174B2 (ja) 2000-03-01 2004-03-22 住友重機械工業株式会社 イオン発生装置及び成膜装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2001332534A (ja) 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3882060B2 (ja) * 2000-05-29 2007-02-14 株式会社 東北テクノアーチ 高品質ダイヤモンドの形成方法及びその装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP2004248505A (ja) * 2001-09-21 2004-09-09 Norio Nakatsuji 移植抗原の一部または全てを欠除したes細胞由来の未分化な体細胞融合細胞およびその製造
KR20030046189A (ko) * 2001-12-05 2003-06-12 변홍식 플라즈마 발생장치
TWI272877B (en) 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
MXPA05000232A (es) 2002-06-21 2005-06-17 Transform Pharmaceuticals Inc Composiciones farmaceuticas con disolucion mejorada.
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
CN100490073C (zh) * 2002-11-20 2009-05-20 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2007502860A (ja) * 2003-04-24 2007-02-15 ヴァンダービルト ユニバースィティ 昆虫を防除するための組成物及び方法
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (ja) * 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP2005116865A (ja) 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005276931A (ja) 2004-03-23 2005-10-06 Toshiba Corp 半導体装置およびその製造方法
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP2006020032A (ja) * 2004-07-01 2006-01-19 Canon Inc 画像処理装置及び画像処理方法
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
KR100663351B1 (ko) * 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
JP2006236772A (ja) 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
KR100997868B1 (ko) * 2005-05-31 2010-12-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2007035728A (ja) 2005-07-22 2007-02-08 Renesas Technology Corp 半導体装置及びその製造方法
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
DE112006002412T5 (de) 2005-09-09 2008-07-17 ULVAC, Inc., Chigasaki Ionenquelle und Plasma-Bearbeitungsvorrichtung
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
JP2007149788A (ja) * 2005-11-24 2007-06-14 Aqua Science Kk リモートプラズマ装置
US7335602B2 (en) 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
CH696456A5 (de) * 2006-05-02 2007-06-29 Fostag Holding Ag Stapelbares Besteckteil.
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP5463536B2 (ja) 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
TWI320237B (en) * 2006-07-24 2010-02-01 Si-substrate and structure of opto-electronic package having the same
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
KR100869359B1 (ko) 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7875555B2 (en) 2007-11-29 2011-01-25 Tokyo Electron Limited Method for plasma processing over wide pressure range
US20090162262A1 (en) 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8187948B2 (en) 2008-02-18 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gap-fill approach for STI formation
WO2009115135A1 (en) 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US7732759B2 (en) 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US8066170B2 (en) * 2008-06-10 2011-11-29 Kulicke And Soffa Industries, Inc. Gas delivery system for reducing oxidation in wire bonding operations
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8986558B2 (en) 2008-09-01 2015-03-24 Japan Science And Technology Agency Plasma etching method, plasma etching device, and method for producing photonic crystal
KR101293896B1 (ko) 2008-12-03 2013-08-06 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010192197A (ja) 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20120104274A1 (en) 2009-07-16 2012-05-03 Canon Anelva Corporation Ion beam generating apparatus, substrate processing apparatus and method of manufacturing electronic device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
WO2011100363A1 (en) 2010-02-09 2011-08-18 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
EP2566494B1 (en) * 2010-02-26 2017-11-29 Acer Therapeutics, Inc. Cxcr4 receptor compounds
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP2012054304A (ja) 2010-08-31 2012-03-15 Tokyo Electron Ltd エッチング方法及びエッチング装置
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
WO2012173698A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
KR101578178B1 (ko) 2011-10-31 2015-12-16 캐논 아네르바 가부시키가이샤 자성막의 이온 빔 에칭 방법 및 이온 빔 에칭 장치
WO2013070438A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US8461554B1 (en) 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
TWI467625B (zh) 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Also Published As

Publication number Publication date
TWI677025B (zh) 2019-11-11
SG10201708121VA (en) 2017-11-29
KR102284325B1 (ko) 2021-08-02
KR20140121367A (ko) 2014-10-15
CN107578973A (zh) 2018-01-12
US10224221B2 (en) 2019-03-05
TWI636481B (zh) 2018-09-21
US20160141188A1 (en) 2016-05-19
JP6506915B2 (ja) 2019-04-24
SG10201401254VA (en) 2014-11-27
US20140302680A1 (en) 2014-10-09
TW201511066A (zh) 2015-03-16
CN104103477A (zh) 2014-10-15
JP2014239210A (ja) 2014-12-18
CN104103477B (zh) 2017-08-11
TW201511067A (zh) 2015-03-16
CN104103478B (zh) 2017-05-10
SG10201401112YA (en) 2014-11-27
US11171021B2 (en) 2021-11-09
US9245761B2 (en) 2016-01-26
KR20140121368A (ko) 2014-10-15
CN107578973B (zh) 2020-03-13
KR102270841B1 (ko) 2021-06-29
JP2014204127A (ja) 2014-10-27
TW201836012A (zh) 2018-10-01
US20140302681A1 (en) 2014-10-09
CN104103478A (zh) 2014-10-15
TWI665709B (zh) 2019-07-11
US20160203990A1 (en) 2016-07-14
US20160181130A1 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
JP6461482B2 (ja) 半導体製造用の内部プラズマグリッド
US9633846B2 (en) Internal plasma grid applications for semiconductor fabrication
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
CN107293468B (zh) 离子束蚀刻系统
US20150083582A1 (en) Ion to neutral control for wafer processing with dual plasma source reactor

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170331

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181226

R150 Certificate of patent or registration of utility model

Ref document number: 6461482

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250