TWI677025B - 半導體製造用之內部電漿格柵 - Google Patents

半導體製造用之內部電漿格柵 Download PDF

Info

Publication number
TWI677025B
TWI677025B TW107120262A TW107120262A TWI677025B TW I677025 B TWI677025 B TW I677025B TW 107120262 A TW107120262 A TW 107120262A TW 107120262 A TW107120262 A TW 107120262A TW I677025 B TWI677025 B TW I677025B
Authority
TW
Taiwan
Prior art keywords
grid
grille
plasma
assembly
chamber
Prior art date
Application number
TW107120262A
Other languages
English (en)
Other versions
TW201836012A (zh
Inventor
席恩哈密特
Harmeet Singh
立爾托爾斯滕
Thorsten Lill
瓦海地瓦西德
Vahid Vahedi
派特森艾立克斯
Alex Paterson
堤圖司莫妮卡
Monica Titus
卡麥希葛瑞
Gowri Kamarthy
Original Assignee
美商蘭姆研究公司
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司, Lam Research Corporation filed Critical 美商蘭姆研究公司
Publication of TW201836012A publication Critical patent/TW201836012A/zh
Application granted granted Critical
Publication of TWI677025B publication Critical patent/TWI677025B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本文中的實施例係關於蝕刻半導體基板的方法及設備。一電漿格柵組件係置於一反應室中以將該反應室分隔為上子室與下子室。該電漿格柵組件可包含一或多個電漿格柵,格柵具有特定高寬比的槽口讓某些物種得以從上子室通過格柵而到達下子室。當使用複數電漿格柵時,格柵中的一或多者為可動的,俾以維持至少該下子室中的電漿條件。在某些情況中,在上子室中產生電子-離子電漿。通過格柵而到達下子室的電子會因為通過格柵而變冷。在某些情況中,這會導致在下子室中的離子-離子電漿。

Description

半導體製造用之內部電漿格柵
本申請案主張下列者為優先權母案並將其所有內容包含於此作為任何用途之參考:2013年6月12日申請且案名為「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION」的美國專利申請案US 13/916,318,其主張2013年4月5日申請且案名為「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION」的美國專利臨時申請案US 61/809,246為優先權母案。
在半導體製造中常用到的一操作為蝕刻操作。在蝕刻操作中會自尚未製造完成之積體電路部分移除或全部移除一或多種材料。電漿蝕刻常被使用,尤其是在涉及小尺寸、高高寬比的幾何圖案中或者是需要轉移精確圖案時。
一般而言,電漿包含電子、正與負離子及某些原子團。原子團、正離子與負離子會與基板產生作用而蝕刻基板上的特徵部、表面及材料。在利用感應耦合電漿源進行的蝕刻中,腔室線圈的功能係類似於變壓器中之主要線圈的功能,電漿之功能係類似於變壓器中之次要線圈的功能。
隨著電晶體從平面結構進化至3D結構(如邏輯裝置用之FinFET閘極),電漿蝕刻處理需要變得更精確及更均勻以製造高品質的產品。尤其,電漿蝕刻處理應具有良好的選擇比、輪廓角度、孤立/密集圖案的負載效應及整體的均勻度。
對於蝕刻處理而言,在欲蝕刻之材料與欲保留之材料間具有良好選擇比是有利的。在FinFET閘極結構的情況下,這意指,受到蝕刻之閘極與其他裸露部件如氮化矽遮罩之間應有良好的選擇比。輪廓角度係量測最近受到蝕刻(約略垂直)之側壁與水平表面之間的角度。在許多的應用中,理想的輪廓角度為90度,產生一個垂直蝕刻的段差或開口。有時,晶圓上的局部特徵部密度會影響蝕刻處理。例如,特徵部密集的晶圓區域的蝕刻行為可能會與特徵部較孤立之晶圓區域的蝕刻行為稍有不同(例如,蝕刻得較快、較慢、較等向性、較非等向性等)。因特徵部密度之變異所產生的差異被稱為孤立/密集負載(I/D負載)。在製造期間最小化此些差異是有利的。除了滿足此些及潛在的其他裝置特定需求之外,蝕刻處理通常需要在基板的整個表面上恆定地執行(例如,自半導體晶圓的中央至邊緣,蝕刻條件及結果應該要均勻)。
發現當蝕刻先進的結構如FinFET閘極時,難以達到許多目標如前述的目標。
本文中揭露一種在半導體裝置製造期間用以蝕刻半導體基板及形成於其上之膜層的設備。在本文實施例的一態樣中,提供一種用以蝕刻基板上之特徵部的設備。此設備包含:一電漿室,定義一內部,電漿係於此內部中提供;一基板支撐件,用以在蝕刻期間支撐該電漿室中的一基板;一電漿產生器,用以在該電漿室內產生電漿;及一格柵組件,將該電漿室的該內部分隔為靠近該電漿產生器的上子室及靠近該基板支撐件的下子室,其中該上子室的高度係至少約為該下子室之高度的1/6,其中該格柵組件包含兩或更多格柵,此些格柵包含複數槽口,在該電漿生成於該電漿室內時此些槽口能實質上避免感應電流形成於該格柵中。
該設備更包含一控制器被設計成或用來於該電漿室中在特定條件下產生該電漿,在此條件下會於該上子室中產生上部電漿並於該下子室中產生下部電漿。在某些實施例中,該下部電漿中的有效電子溫度約為1 eV或更低且低於該上部電漿中的有效電子溫度。在某些實施例中,該下部電漿的電子密度約為5x109 cm-3 或更少且少於該上部電漿中的電子密度。該控制器亦被設計成或用以將偏壓施加至該格柵組件之一或多個格柵及/或該基板支撐件。該控制器更被設計成或用以將一蝕刻氣體輸送至該電漿室。在某些情況中,該控制器更被設計成或用以在該電漿蝕刻該基板時於該電漿室中提供少於約2000 mTorr的壓力。然而在某些情況中,該控制器被設計成或用以在蝕刻期間於該電漿室中提供更低的壓力如少於約200 mTorr的壓力。在其他情況中,該控制器被設計成或用以將該電漿室中的壓力維持在介於約1 mTorr 至20 mTorr或介於約5 mTorr 至20 mTorr之間。該控制器亦被設計成或用以在該設備中提供一組條件俾以在該下子室中產生離子-離子電漿。
在某些實施例中,該格柵組件之至少一格柵具有介於約1 mm至50 mm或介於約5 mm至20 mm之間的平均厚度。在某些實施例中,該組件之複數格柵的厚度總和係介於約2 mm至50 mm之間。在某些情況中,槽口係徑向排列或大致上呈徑向排列。在該格柵組件之至少一格柵中的槽口具有介於約0.01至5的高寬比。在某些實施例中,該槽口的高寬比係介於約0.3至5之間、介於約0.5至2之間、或介於約1至4之間。槽口通常的排列方式使其大致上徑向地向外延伸。有時方位角相鄰的槽口間係以至少約15°的角度分隔。在此些或其他情況中,方位角相鄰的槽口可以不大於約60°的角度分隔。
在某些實施例中的電漿產生器包含設於電漿室之頂板上方的線圈。在某些實施例中,基板支撐件為靜電夾頭。在設備中可包含各種其他元件。例如,該設備亦可包含一處理氣體入口。又,該設備可包含一真空連接件。
在一特定的實施例中,該電漿組件包含一第一格柵與一第二格柵。該第一與第二格柵可具有實質上相同的複數槽口,或者其可具有彼此不同的槽口形狀及/或佈局。該第一格柵與該第二格柵中的至少一者可相對於另一格柵旋轉。此旋轉可繞著垂直於該基板支撐件之上表面的軸。在某些實施例中,該第一格柵與該第二格柵可具有複數槽口圖案讓該下子室中的電漿條件得以被徑向地調整。在此些或其他實施例中,此些格柵中的至少一者為可移動的俾使該第一格柵與該第二格柵間的距離為可變的。在某些實施例中,該組件槽口的高寬比係介於約0.1至5之間。
在本文實施例的另一態樣中,提供一種用以蝕刻基板上之特徵部的方法,其包含:將該基板提供至一電漿室中的一基板支撐件,此電漿室包含一電漿產生器及一格柵組件,該格柵組件將該電漿室分隔為靠近該電漿產生器的一上子室與靠近該基板支撐件的一下子室,其中該格柵組件包含至少兩格柵,其中該上子室的高度至少約為該下子室之高度的1/6;在該電漿室中在特定條件下產生該電漿,在此條件下會於該上子室中產生上部電漿並於該下子室中產生下部電漿;及藉由該下子室與該基板的相互作用而蝕刻該基板中的該蝕刻部。在某些此類方法中,該下部電漿中的有效電子溫度約為1 eV或更低且低於該上部電漿中的有效電子溫度。在某些實施例中,該下部電漿的電子密度約為5x109 cm-3 或更少且少於該上部電漿中的電子密度。
在某些情況中,當產生該電漿時該格柵組件之複數格柵中實質上無電流生成。此方法更包含將偏壓施加至該格柵及/或將偏壓施加至該基板支撐件。在某些實施例中,該方法亦包含將一蝕刻氣體提供至該電漿室。該蝕刻可在低於約2000 mTorr的腔室壓力下進行且在某些情況中該蝕刻係在介於約1 mTorr至約200 mTorr、或介於約1 mTorr至約20 mTorr、或介於約5 mTorr至20 mTorr之間的壓力下進行。下部電漿可為文中所述的離子-離子電漿。
該方法亦可包含旋轉該格柵組件中的至少一格柵。在此些或其他實施例中,該方法亦可包含在該格柵組件中沿著一中心軸改變複數格柵間的距離。一般而言,雖然沒有必要,但旋轉及/或移動調整等係於開始蝕刻尚未製造完成之半導體裝置或其他結構的特定膜層之前進行。然而在某些實施例中,此些調整可在蝕刻處理期間進行。
下面將參考相關圖示說明此些及其他特徵。
在本申請案中,可交換地使用下列詞彙:「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「尚未製造完成之積體電路」。熟知此領域之技術者當能瞭解,「尚未製造完成之積體電路」係指在積體電路製造之各種階段的任一階段期間半導體晶圓上的裝置。下列詳細敘述假設,本發明係於晶圓上實施。然而,本發明不限於此。工作件可具有各種形狀、尺寸及材料。
在下面的敘述中,舉出許多特定細節以提供對本發明之實施例的全面瞭解。然而所揭露的實施例可在缺乏此些特定實施例之部分或全部的情況下施行。在其他的情況中,不詳細說明習知的處理操作以免不必要地模糊本發明之實施例。雖然利用特定的實施例來說明本發明之實施例,但應瞭解,本發明並不限於此些實施例。
本文揭露一種用以在半導體裝置之製造期間蝕刻半導體基板及形成於其上之膜層的設備。此設備係由一腔室所定義,蝕刻係於此腔室中進行。在某些實施例中,此腔室包含平面窗、大致上平面的激發線圈及用以在蝕刻期間支撐半導體基板的平臺或夾頭。當然,本發明並不限於任何特定類型的電漿源。除了平面激發線圈之外,可使用圓頂及平板電漿源。電漿源包含感應耦合式電漿源、電容耦合式電漿源及其他熟知此項技藝者已知的電漿源。本文中的實施例使用置於腔室內將腔室分隔為兩個子腔室的格柵。在不同的實施例中,有時兩或多更堆疊格柵的組合會被稱為「格柵組件」並使用此一詞。在操作期間,每一子腔室包含具有不同特性的電漿。電漿係主要或只產生於上子室中且特定物種能以不受影響之方式通過格柵或格柵組件而進入下子室。格柵具有貫穿格柵厚度的槽口。在某些實施例中,此些槽口約略徑向地向外延伸。此處所用之「約略徑向地向外延伸」一詞係指,在此所討論的特徵物件具有至少某些沿著徑向的部分。換言之,只要特徵物件的某些部分大致上以中央到邊緣的方向延伸,特徵物件毋需整體皆沿著徑向。又,「中央到邊緣的方向」被定義為包含,在真正中央至邊緣方向附近之一個範圍內的角度(例如,在真正中央至邊緣方向之約20°內)。
格柵或格柵組件可包含貫穿格柵厚度的複數徑向槽口。格柵及槽口被設計成只讓上子室中一部分的高能電子可通過格柵。共同地,較高能量的電子在通過格柵並進入下子室時通常會變成較低能量的「較冷」電子。雖然高能電子可能具有充分的能量去通過格柵,但其大部分係以一角度接近格柵而與格柵碰撞並損失能量。確能通過格柵的高能電子共同並不具有足夠的能量來維持格柵下方的電漿,因為其現在已與激發源隔離。熱電子在下室中變冷的機制包含:與格柵碰撞、在格柵下方與中性物種碰撞及格柵屏蔽了格柵下方的電子與格柵上方的激發源。是以,格柵可在下子室中產生具有低電子密度(ne )及低平均有效電子溫度(Te )的電漿。在格柵或格柵組件上方,電漿通常為傳統的電子-離子電漿,其中有極大部分的帶負電物種為電子。在格柵或格柵組件的下方,電漿包含遠遠較高百分比的負離子且事實上可能是離子-離子電漿。下面將說明離子-離子電漿的特定特性。一般而言,相較於電子-離子電漿,離子-離子電漿包含明顯較高比例的帶負電物種(離子而非電子)。格柵在反應室中的位置
格柵或格柵組件係位於電漿室之內,藉此將電漿室分隔為上子室與下子室。適合改造以包含如本文中所述之格柵之腔室的實例為來自加州費利蒙之科林研發(Lam Research Corporation)的Kiyo反應器。對於背景的介紹,可考慮參考圖1的下列敘述,圖1在後面會有更進一步的說明。在某些實施例中,格柵係置於反應室之內底上方約1-6吋處,或者置於基板支撐件如平臺上方約1-6吋 (例如約1.5-3吋)處。在此些或其他實施例中,格柵可被置於處理室之內頂板下方約1-6吋 (例如約1.5-3吋)處。頂板通常配有一介電窗。
在某些實施例中,上子室與下子室的高度係實質上相同(例如差異介於約5%內),但在其他實施例中此些高度可更明顯地不同。上腔室之高度與下腔室之高度的比例(hu /hl )亦被稱為子室高度比,其可介於約0.1-10之間或介於約0.2-5之間。在某些實施例中,子室高度比係大於約1/6。
格柵不應被放置得太靠近晶圓,因為這會造成格柵的圖案產生在晶圓的表面。換言之,在處理後,格柵中之槽口的圖案可能會非所欲地出現在晶圓的表面上,在基板表面上造成嚴重的蝕刻不均勻性。對於許多的應用而言,自基板上部至格柵的分離距離至少約1吋便足夠。格柵設計
各種設計可被用於格柵。在某些實施例中,格柵為相當簡單之具有槽口的材料薄片,槽口通常是能讓部分電子自上子室通過而到達下子室的圓形孔或其他孔洞。在其他的實施例中,格柵可由一個較複雜的格柵組件所構成,格柵組件具有複數的元件。例如,格柵組件可具有複數格柵、支撐元件及/或致動元件。
在一簡單的實施例中,格柵為具有槽口的相對薄片。額外地,在某些實施例中,格柵可包含孔洞。是以,格柵包含孔洞與槽口的組合。格柵結構的非限制性實例係顯示於圖2A、2B及3A-3D。格柵中所包含的材料可以是絕緣體、導體或其組合。在某些實施例中,格柵包含一或多種材料,此一或多種材料包含但不限於為金屬、金屬合金如不銹鋼、鋁、鈦、陶瓷、矽、碳化矽、氮化矽及其組合。材料可以或可不要經過陽極化或其他鈍化處理以抗腐蝕。在一實施例中,格柵係由具有陶瓷塗層的金屬材料所製成。亦可使用其他塗層。當受到蝕刻的膜層為揮發性時,使用經塗佈的格柵尤其有利。在某些實施例中,格柵可以純塗料來加以塗佈,純塗料包含但不限於例如Y2 O3 、YF3 、YAG、氮化鈦或CeO2 的塗料。又,格柵可接地、浮接或受到偏壓。在某些實施例中,接地的格柵對於陰極而言具有強化偏壓電流返回的作用。
格柵一般橫跨腔室的整個水平橫剖面。當腔室為圓形時(上視),格柵也會是圓形。這讓格柵能有效地將處理室分隔為兩個子室。在特定的設計中,格柵的圓形形狀係由基板的幾何形狀所定義,基板通常為圓形晶圓。如習知的,晶圓通常有各種尺寸如200 mm、300 mm、450 mm等。取決於腔室內進行的蝕刻操作,對於方形或其他多邊形的基板或較小的基板,可使用其他形狀。是以,格柵的橫剖面可具有各種形狀與尺寸。對於某些實施例而言,平面的格柵橫剖面是適當的。然而在其他的實施例中,碟形、圓頂形、振盪形(例如正弦形、方波形、V形)、傾斜等形狀的格柵橫剖面也是適當的。貫穿此些橫剖面輪廓中的任一者的槽口或孔洞將具有特徵(包含本文他處所提到的高寬比)。
格柵的平均厚度可介於約1 mm至50 mm之間,較佳地介於約5 mm至20 mm之間。若格柵太厚,其無法正確地發揮功能(例如,其可能會阻擋太多的物種而使這些物種無法通過、可能具有太高的質量、佔掉反應室中過多的空間等)。若格柵太薄,其可能無法耐受電漿處理,且可能需要經常被更換。一般而言,格柵的厚度亦受到格柵中之槽口之期望高寬比的限制,因為如下所述,槽口的高度係由格柵厚度所決定。
在某些實施例中,格柵具有上游電漿與下游電漿之分隔件的作用,其中下游電漿係存在於下子室中且可以是富有原子團的。在此方式下,配有格柵的電漿室可產生類似於現行遠端電漿設備所能達到之結果的結果,現行遠端電漿設備例如是來自諾發系統的GAMMA™平台設備,諾發系統現在是來自加州費里蒙的科林研發。當為此目的操作時,格柵可相對地厚如約20-50 mm厚。
在某些實施例中,格柵包含具有長薄形狀的槽口。槽口自格柵的中央徑向地向外延伸。槽口具有一高度、一寬度及一長度(寬度與長度皆明確地標示在圖2A中)。槽口高度係沿著垂直於格柵面的軸量測(即,在大部分的操作配置中槽口高度的位向為垂直的),此高度大致上等於格柵的厚度。在槽口的整個徑向範圍內,槽口寬度可以是變化的或固定的。在某些情況下,槽口可以是派狀的(即愈朝向格柵中央愈薄,愈朝向格柵邊緣愈厚)。在不同的實施例中,槽口的長度自格柵的中央向外延伸(即徑向延伸)。在某些實施例中,槽口寬度係不大於約25 mm。槽口長度可隨著格柵的方向角變化或固定不變。徑向槽口之間的分離角度可繞著格柵變化或固定不變。
若在格柵中無槽口,則在電漿生成時會在格柵中感應電流。此電流會沿著格柵實質上環形地流動或者形成局部的渦流,導致能量消耗增加。然而,槽口的存在避免了此類寄生電流的生成,藉此節省能量並導致更有效的處理。具有形狀如實質上圓形孔洞的開口在避免此類電流生成上較無效果。然而,如前所述,圓形開口可以與槽狀開口並用。
槽口的高寬比被定義為槽口之高度與其寬度(h/w)的比值。一般而言,此高寬比的幾何特徵可在沿著垂直於槽口長度方向(通常為徑向)所取的橫剖面見到。由於槽口寬度可以是變化的,高寬比可類似地為變化的。在某些實施例中,槽口的高寬比 (在整個格柵內可以是變化的或是固定的)係介於約0.01-5之間、或介於約0.3-5之間、或介於約1-4、或介於約0.5-2之間。在許多的實施例中,相較於上子室中,具有此些高寬比的格柵會減少下子室中的電子密度及有效電子溫度。如所述,一般相信,當電子通過槽口時至少部分因為大量的熱電子與格柵碰撞,所以有效電子溫度會降低。又,相較於上子室中,下子室中的有效電子溫度較低,因為下子室中的電子受到格柵的屏蔽因為無法受到來自電漿線圈(或其他電漿源)的感應式加熱。
當孔洞與槽口一起搭配使用時,孔洞可具有與槽口相同的目的。因此孔洞大致上具有前述列舉的高寬比。在某些實施例中,孔洞具有之直徑的範圍係介於約0.05 吋至約0.2吋之間。其貫穿格柵的完整厚度。
格柵所提供的額外優點為,其可中和來自主要注射器的對流效應。這使得更均勻的氣體流流至晶圓表面上。存在於晶圓及上腔室中之氣體注射器(複數注射器)之間的格柵或格柵組件可大幅地降低自氣體注射器(複數注射器)所輸出之任何氣體的對流衝擊,因為格柵能中斷氣體流並導致在晶圓上方有更擴散性的流動域。
在某些實施例中,格柵包含氣體輸送孔。在此類實施例中,格柵可具有作為上子室及/或下子室之噴淋頭的額外作用。在此些實施例中,在一或多個格柵中可包含一或多個通道。可自入口(或多個入口)將氣體饋送至此些通道,然後將氣體輸送至格柵(複數格柵)的複數出口孔。出口孔可形成氣體分散噴淋頭,將處理氣體輸送至上子室與下子室中的一者或兩者。
在某些實施例中,格柵具有一區域如包含一結構的中央區域,此結構讓探針設備得以貫穿格柵設置。可提供該探針設備以在操作期間探測與電漿處理系統相關之處理參數。探測處理可包含光學發射終點偵測、干涉度量終點偵測、電漿密度量測、離子密度量測及其他探測度量操作。在某些實施例中,格柵的中央區域為開放的。在其他的實施例中,格柵的中央區域包含一光學透明材料(如石英、藍寶石等)以讓光穿過格柵。
在某些實施例中,在格柵中較佳地具有一槽口,對於300 mm的晶圓蝕刻設備而言,靠近格柵的外緣約每15 mm至40 mm處設置一槽口。此對應至方位角相鄰的槽口間係以約18°或約48°的角度分隔。是以,在某些實施例中,方位角相鄰的槽口間係以至少約10°或至少約15°的角度分隔。在此些或其他實施例中,方位角相鄰的槽口間係以不大於約40°、或不大於約50°或不大於約60°的角度分隔。
在某些實施例中,電漿格柵可包含嵌於格柵中的冷卻通道,此些冷卻通道可充滿流動或不流動的冷卻劑材料。在某些實施例中,冷卻材料為流體如氦氣或其他惰性氣體或者為液體如DI水、處理冷卻水、氟化液或冷凍劑如全氟碳化物、氫氟碳化物、氨及CO2 。在此些或其他實施例中,電漿格柵可包含嵌設的加熱元件及/或溫度量測裝置。冷卻通道及嵌設的加熱元件能精確地控制溫度,這讓粒子及室壁條件受到密切控制。在某些情況中,此控制可用以調整下部電漿的條件。例如,當電漿格柵被維持在較冷的溫度時,來自晶圓的蝕刻副產物將會傾向於沈積在格柵上,藉此減少在下子室中蝕刻副產物的氣相密度。或者,格柵或格柵組件可被維持在較熱的溫度(例如高於80 °C)以減少在格柵上的沈積並確保腔室可維持在相對潔淨的狀態及/或減少在無晶圓自動清理(WAC)期間清理腔室所需的時間。
在某些實施例中可包含的另一特徵為,格柵可具有噴淋頭的功能,將處理氣體輸送至上子室或下子室或兩者。是以,格柵可包含複數通道,此些複數通道將氣體供應源連接至上及/或下子室。可配置噴淋頭孔洞以將氣體均勻地輸送至子室中。
又,在某些實施例中,使用一個以上的氣體供應源。例如,不同處理氣體可被輸送至上子室與下子室(經由一或多個噴淋頭型的格柵或藉由其他氣體輸送裝置)。在一特定的實施例中,將惰性氣體輸送至上子室並將電漿蝕刻化學品輸送至下子室。在某些其他的實施例中,被輸送至上子室的氣體為H2 、N2 、O2 、NF3 或C4 F8 或其他氟碳化物,但實施例並不限於此。在此些或其他實施例中,被輸送至下子室的氣體可為N2 、CO2 或CF4 或其他的氟碳化物,但實施例並不限於此。
有時電漿處理反應器允許工作基板附近為廣泛範圍的電漿條件是有用的。此類條件包含電漿密度、電漿中的有效電子溫度及電漿中電子對離子的比值。為了原位處理(即複數膜層皆在同一腔室中進行處理),可能必須要針對每一膜層改變處理條件。固定位置的格柵可限制反應器的操作範圍例如若格柵係針對製造下子室中的離子-離子電漿最佳化則可能無法達到高電漿密度。因此,某些實施例提供格柵線之開放面積可藉由旋轉及/或移動來作調整的格柵與格柵組件。
在某些實施例中,電漿格柵可相對於電漿線圈或其他電漿源上升或下降。例如,此可藉著將格柵安裝在可動平臺上來達成。在某些實施例中,垂直移動讓操作者或控制器能改變上部電漿及下部電漿中的有效電子溫度、電子或電漿密度、電子對離子的比值、原子團的濃度等。又,由於原子團的濃度係受到電漿格柵的高度所影響,因此使用可動電漿格柵的處理可在多階段處理期間調整原子團的濃度。由於原子團物種具有化學反應性且負離子和電子具有不同的蝕刻特性,故此參數尤其能用來調整/控制以達到期望的反應。
又,在某些實施例中,在處理室的單一格柵組件中可使用複數電漿格柵。當使用複數格柵時,格柵的數目通常介於約2至5個之間。通常,當使用複數電漿格柵時,電漿格柵中的至少一者可相對於至少一另一電漿格柵移動。一般而言,移動可藉著旋轉或分離格柵 (在某些情況中可使用此兩種移動類型)達成。在格柵組件中使用可旋轉的格柵得以在不同處理/晶圓之間及處理晶圓過程中於單一處理/晶圓內輕易地變化開放面積。重要的是,下子室中的有效電子溫度及電子密度將會是格柵開放面積的函數。
當使用複數格柵時,定義額外的參數是有用的。自上觀之,組件槽口或其他開口為組件的一區域,在此區域中當一格柵中的一開口係與另一格柵(複數格柵)中的一開口對準時,會如圖3E所示,形成貫穿電漿格柵組件的清晰視野線。當格柵中的複數槽口/孔洞未對準時,會如圖3F所示,無貫穿格柵組件的清晰視野線。在圖3E與3F中,上格柵302係位於下格柵304的上方。格柵302與304每一者下方的暗區為物種可行進的開放面積。在一特定的實施例中,下格柵304下方的暗區為下子室的上部。在一單一的電漿格柵組件中通常存在著複數組件開口。組件開口的幾何特徵會隨著各別格柵相對於彼此移動而變化。例如,當第一格柵相對於第二格柵旋轉時,組件槽口的寬度會改變。類似地,當格柵相對於另一格柵旋轉或以其他方式移動時,組件槽口的高寬比(被定義為上格柵之上部與下格柵之底部之間的總距離除以視野線開口寬度)會隨之改變。在某些實施例中,組件槽口的高寬比範圍可介於約0.1-5之間。
格柵組件的開放面積被定義為當槽口對準(自平行於格柵表面的平面觀之)時格柵組件上之槽口的總面積。如圖3F中所示,當槽口失準時,電漿中的某些物種(尤其是帶電物種如離子及電子)實質上不會通過而到達下子室。槽口的此失準配置能有效地增加組件中之槽口的高寬比或消除整個組件槽口(當沒有任何槽口交疊時),減少自上子室通過而到達下子室之熱電子的比例。然而當如圖3E中所示槽口對準時,電漿物種如上所述可通過槽口。在一實例中,使用兩個相同的電漿格柵,每一者具有約50%的開放(槽口)面積。在此實例中,格柵組件的開放面積可介於約0%(當各別電漿格柵完全失準時)至約50%(當各別電漿格柵完全對準時)。在另一實例中,每一電漿格柵具有約75%的開放面積。在此情況中,格柵組件的開放面積可在約50-75%之間變化。藉由改變格柵組件的開放面積,可調整下子室中的電漿條件。例如,相較於當格柵組件的開放面積較小時,當格柵組件的開放面積較大時,下部電漿的有效電子溫度係較高、下部電漿的電子密度較高、下部電漿的電子對離子的比值較高、且下部電漿之原子團的濃度較低。
使用複數格柵尤其有利,因為其在單一處理站的晶圓上提供電漿密度及其他電漿條件的廣泛處理範圍。當處理具有複數膜層及/或具有複數類型之裸露材料的複雜結構時,此優點尤其有用。如所述,針對每一處理膜層通常必須要改變處理條件。
每一電漿格柵上的槽口圖案可與其他電漿格柵上的槽口圖案相同或不同。又,可設計槽口圖案以在晶圓的特定區域上提供開放面積。例如,可設計槽口使得,晶圓之中央附近有較多的開放面積而晶圓的邊緣附近有較少的開放面積(或反之亦然)。又,可設計槽口使得,在處理期間內的不同時間處格柵組件的開放面積係集中在晶圓的不同部分上。例如,可設計槽口使得,在處理的初期時格柵組件的開放面積係集中在晶圓的中央附近,在接近處理結束時格柵組件的開放面積係集中在晶圓的邊緣附近(或反之亦然)。這種變換得以在處理過程期間於晶圓上方沿著徑向調整氣體流量、電漿密度、電漿類型(如離子-離子電漿)與有效電子溫度等參數。此可調整性對於在晶圓的整個表面上產生均勻的蝕刻結果是有利的,且尤其有利於解決在處理期間常發生的中央至邊緣非均勻性。可用於電漿格柵組件中以達成此些徑向調整效果之一對電子格柵的實例係顯示於圖3A與3B中。在此些圖示中,槽口(開放面積)係以灰色顯示,格柵材料係以白色顯示。
使用可分離的格柵使得某些距離得以控制、調整。例如,可調整的距離包含晶圓與下格柵之間的距離、上子室之上部與上格柵之間的距離及/或格柵之間的距離。相較於單一的固定格柵,此些可變的距離讓晶圓上方的電子溫度與電漿密度有較寬廣的調整範圍。
某些實施例使用具有可動格柵與固定電漿格柵兩者的電漿格柵組件。格柵可接地或電浮接,且可受到支撐柱或連接至致動元件如旋轉致動器或舉升件之其他物件的支撐。在某些實施例中,致動元件係位於晶圓及晶圓支撐平臺的下方,但可使用其他的配置。取決於受到支撐之格柵是接地還是電浮接,支撐柱可以是導電或絕緣性的。
對固定格柵而言接地是有利的。如圖4中所示,當固定格柵係設置在可動格柵上方時,固定格柵的接地連接能為任何自上腔室激發源流至格柵的RF電流提供良好的接地路徑。當上腔室係受到ICP源激發且高度小於約5 cm 時,或者當上部電漿係利用VHF CCP源所產生時,這尤其有用。如圖5中所示,當固定格柵係設置在可動格柵下方時,接地連接能為下部電漿中的偏壓電流提供大接地返回表面。當蝕刻處理期間在晶圓上需要大偏壓(例如大於約100V)時,這尤其有利。
可動格柵的最佳電連接可取決於固定格柵與可動格柵之間的相對位置。當固定格柵係設置於可動格柵的上方時,可動格柵可有利地電浮接。相反地,當固定格柵係設置於可動格柵的下方時,可動格柵可接地或浮接。當可動格柵接地時,支撐結構應為導電性的(例如金屬)。當可動格柵電浮接時,支撐結構應為絕緣性的。
當固定格柵係設置於可動格柵下方時,固定格柵可具有弧形的槽口(或允許越過槽口之類弧形移動的其他槽口)讓可動格柵的支撐件能延伸通過固定格柵以連接支撐件與致動元件。如上所述,此實施例係顯示於圖5中。或者,可動格柵可受到自反應室外緣向內延伸之可動支撐結構的支撐,或者其可藉由與反應室之上部相連接的結構所支撐。無論使用何種實施例,都應該設計支撐結構俾使其不干擾上部電漿與下部電漿的生成。又,希望能將用以移動格柵的致動器維持在晶圓平面的較下方,以將粒子自致動器移動至晶圓的風險降至最低。
可利用DC或RF源來偏壓格柵組件。若格柵組件具有複數導電格柵,有利地將其全部都偏壓至相同電位。或者,格柵組件可由單一導電格柵以及一或多個浮接/絕緣的格柵所構成,其中只有導電格柵受到偏壓。電漿特性
格柵有效地將電漿腔室分成兩部:接近用以產生電漿之線圈的上部及接近基板支撐件的下部。在不同的實施例中,上部中的電漿包含相對「熱」的高能電子。通常,這種電漿被特徵化為電子-離子電漿。在不同的實施例中,下部中的電漿包含相對「冷」的低能電子。通常,這種下部電漿被特徵化為離子-離子電漿。
可主要在上子室或只在上子室中產生電漿。在一實施例中,藉著使電流通過位於上子室上方的線圈而在上子室中產生感應耦合電漿。可使用單一線圈或複數線圈。在其他的實施例中,利用例如VHF CCP源產生電容耦合電漿。在上子室中的電漿和下子室中的電漿因為格柵的存在而會具有極為不同的特性。
在許多的實施例中,上部電漿為傳統的電子-離子電漿。在此類的電漿中,大部分的帶正電物種為正離子而大部分的帶負電物種為電子。雖然負離子存在,但其只有相對低的濃度。相反地,下子室中的電漿為富離子的電漿,通常稱為離子-離子電漿。相較於電子-離子電漿,離子-離子電漿具有較大比例的帶負電物種為負離子、較少比例的帶負電物種為電子。在某些實施例中,於離子-離子電漿中正離子之濃度對電子之濃度的比值(有時又被稱為正離子與電子的比值ni /ne )約為2或更大,在某些情況中約為5或更大甚至約為10或更大。在某些情況下,下部電漿中之正離子對電子的比值至少是上部電漿中之比值的2倍(例如至少5倍)。
兩種電漿之間的相關差異為,上部電漿具有明顯較高的電子密度。例如,下部電漿中的電子密度可約為5x109 cm-3 或更少(例如約1x109 cm-3 或更少)。此些範圍尤其適用於負電性的處理氣體。上部電漿的電子密度可至少是下部電漿的10倍(例如至少100倍或至少1000倍)。在某些情況下,下子室具有離子-離子電漿,在此電漿中電子密度至少比負離子密度及正離子密度少一數量級。在一特定的實例中, Ne約為108 cm-3 的數量級、Ni+約為109 cm-3 的數量級、Ni-約為109 cm-3 的數量級。
上部電漿與下部電漿之間的額外差異(基於電子:離子比值的隱含差異)為,下部電漿中負離子對正離子的比值通常會較高。因為上部的電子-離子電漿通常主要包含正離子與電子但包含相對較少的負離子,故負離子對正離子的比值低。下部電漿中負離子對正離子的比值可介於約0.5-1之間(例如介於約0.8-0.95之間)。
對下部電漿中較低濃度之電子的一非限制性解釋為,初期在下部中的電子(例如自上部通過格柵到達下部的電子)通常未被RF場加熱,會因為與氣體分子的非彈性碰撞而迅速失去能量,導致低有效電子溫度。此些低能量的電子較容易(相較於上部電漿中的高能電子)與中性物種相互作用而產生負離子。電子必須要具有相對低的電能以附著至中性物種而形成負離子。在高能電子的情況下不會有此負離子的產生,高能電子與中性物種碰撞時可能會「觸發」另一電子而非與中性物種結合而形成負離子。
如所示,上部電漿中的有效電子溫度係高於下部電漿中的有效電子溫度。電子通過格柵中之槽口時可能會變冷。一般而言,下部電漿中的有效電子溫度約為1 eV或更低。在某些情況下,下部電漿中的有效電子溫度可介於約0.1 eV至1 eV(例如介於約0.2 eV至0.9 eV)之間。以電子伏特為單位量測時,上部電漿中的有效電子溫度可至少是下部電漿的有效電子溫度的2倍(例如至少約3倍)。在一特定的實施例中,上部電漿具有約2.5 eV的有效電子溫度而下部電漿具有約0.8 eV的有效電子溫度。在不同的實施例中,有效電子溫度的此差異係部分或完全源於格柵的存在。
不限於任何特定理論或機制,可以下列方式解釋格柵的角色。格柵可部分地屏蔽下子室俾使其中的帶電物種不會直接曝露至電漿線圈的能量。額外地,格柵中之槽口的特定高寬比會造成部分的高能電子在通過槽口時與格柵碰撞。此使得兩個電漿部中的電漿有不同的品質。
上部電漿與下部電漿的另一明顯差異為其電漿電位。上室中的電漿電位大致上高於下室中的電漿電位。例如,上室中的電漿電位可介於約8 V至35 V(例如介於約10 V至20 V),下室電漿中的電漿電位可介於約0.4 V至10 V(例如介於約0.5 V至3 V)。這是因為電子能量降低因此電漿毋需如此地帶正電以避免電子離開。
又,兩種電漿通常具有不同的能量分佈函數(例如離子能量分佈函數及電子能量分佈函數)。電子與離子能量分佈函數在下部電漿中會較窄而在上部電漿中會較寬。藉著使用格柵,可在毋需使用波形產生器進行複雜控制的情況下便達到極窄的離子能量分佈函數。例如,下部電漿的離子能量分佈函數可能具有僅約5 V 的半峰全寬。因此,可自到達基板表面以維持電中性的負離子(取代電子達到此目的)汲取負電流。這提供了一個獨特的蝕刻機制。
下部電漿中的原子團濃度可介於下列範圍:約總中性密度之1%至約總中性密度之70%、或者約總中性密度之10%至約總中性密度之70%、或者約總中性密度之10%至約總中性密度之50%。
在蝕刻操作期間腔室壓力可低於約2000 mTorr如介於約1 mTorr至2000 mTorr (例如介於約2 mTorr至200 mTorr)之間。在一特定的實例中,腔室壓力被維持在或低於約20 mTorr。當下部電漿使用下列條件時此些壓力尤其有用:有效電子溫度約0.5 eV或更低及/或電子密度約5x108 cm-3 或更低。當使用下部離子-離子電漿時此些壓力亦尤其有用。
本發明人相信離子-離子電漿能為半導體處理提供一些好處。例如,在離子-離子電漿中受到蝕刻之尚未製造完成的半導體裝置顯現出極佳的選擇比、輪廓角度、I/D負載及橫跨經蝕刻之基板表面的整體均勻度。先前的技術無法達到所有此些優點(即,處理設計者必須要在例如達到良好整體蝕刻均勻度與其他優點之間抉擇)。是以,本文中的實施例代表蝕刻技術的明顯進步。
圖6A-6C說明蝕刻副產物於受到蝕刻之特徵部上的解離效應。一開始,圖6A顯示其上沈積了三膜層的基板。底層代表閘氧化物、中間代表多晶矽、上層代表硬遮罩(被顯示為三個獨立的方塊)。一般相信,如圖6B中所示,在傳統的蝕刻處理中,存在於腔室中的電漿部分作用而解離蝕刻副產物。此些副產物在正確的條件下通常為揮發性的成分(如SiBr4 ),會從基板被帶走。然而,當高電子密度電漿(通常為電子-離子電漿)接觸晶圓時,電漿中的高能電子會和揮發性的副產物反應以造成揮發性的副產物解離為物理-化學性的「黏著性」解離產物(如SiBr2 )。如圖6B中所示,此些解離產物會黏著至基板通常是黏著至正在受到蝕刻之特徵部的側壁,造成如圖6C中所示之非垂直或其他非所欲方式之蝕刻過程的發生。此解離產物的黏著/重新沈積會引發局部負載效應而導致非垂直蝕刻。
使用格柵去減少正在受到蝕刻之基板附近之電漿的有效電子溫度能減少此些非所欲的效應。因此製造離子-離子電漿(與其相應較低的電子密度與有效電子溫度)能減少此些非所欲之效應。因為相較於電子離子通常具有明顯較少的能量,因此在本發明實施例中之離子-離子電漿中的離子不會造成副產物解離。雖然本發明的實施例會產生電子-離子電漿,但此高電子密度/高有效電子溫度的電漿可被限制在上子室中。因此,蝕刻副產物傾向於只接觸下部電漿且不會與高有效電子溫度的上部電漿相接觸。又,雖然在離子-離子電漿中存在著一些電子,但此些電子通常具有低Te ,因此其通常不具有能使副產物解離的足夠能量。是以,蝕刻副產物不會解離成為「黏著性」的問題型化合物。晶圓偏壓
在某些實施例中,在處理期間晶圓受到偏壓。這可藉由將偏壓施加至用以乘載/支撐晶圓的靜電夾頭來達成。因為晶圓係曝露至下子室中的低Te 低電子密度電漿(如離子-離子電漿),可以俾以捕捉/促進離子-離子電漿之獨特優點的方式將偏壓施加至夾頭。又,可以俾以避免在下子室中形成電子-離子電漿的方式施加偏壓。例如,偏壓可具有適合避免離子-離子電漿轉換為電子-離子電漿的頻率與功率。
在某些實施例中,RF偏壓可具有低於30 MHz的頻率較佳地介於約100 kHz至約13.56 MHz的頻率以降低對基板施加偏壓能量所產生的電子加熱量。在某些實施例中,偏壓(無論頻率為何)的脈衝範圍係介於約1 Hz至約10 kHz且具有介於約1%至99%的工作週期。
如上所述,在傳統的電子-離子電漿中,電漿電位相當高且正。此種電漿電位能有效地限制電子脫離電漿的能力。然而,下部電漿通常具有非傳統的低電子密度與溫度,因此需要遠遠較低的電漿電位便能限制其電子。低電漿電位擴張了操作範圍,選擇性地讓存在於離子-離子電漿中的負離子在偏壓波形的正循環期間能加速朝向晶圓並轟擊晶圓。在先前的連續波形電漿中無法獲得此蝕刻域。
可設計被施加至靜電夾頭之偏壓的頻率以最佳化離子-離子電漿中之離子(尤其是負離子但不局限於負離子)的生成與吸引。在這點上,被施加至靜電夾頭之偏壓的頻率係介於約0.1 MHz至15 MHz(例如介於約400 kHz至13.56 MHz)之間。在一特定的實例中,此偏壓約為8 MHz。此頻率尤其有用,因為其對應至離子的輸送頻率。亦可使用其他頻率,但其他頻率較無效。例如,介於約100 kHz至1 MHz之間的頻率在某種程度上有用,但效果比前述的較高頻率差。
應注意,當使用格柵且將適當頻率的AC偏壓施加至靜電夾頭/晶圓時,晶圓上的電漿鞘層可作用以交替地將負離子與正離子拉出電漿並使其加速朝向晶圓表面。換言之,電漿鞘層會在正循環中吸引負離子並在負循環中吸引正離子,此些循環會隨著AC偏壓重覆。如前所解釋的,在本發明的實施例之前並無此負離子吸引(吸引至晶圓),因為電漿電位太高而壓過了(drown out)來自AC偏壓循環之相關半部的任何吸引效應。
如所述,可以脈衝方式施加偏壓。然而,在許多的情況下毋需脈衝。本發明的實施例在整個蝕刻處理期間於晶圓上方獲得穩定的離子-離子電漿。是以,夾頭/晶圓上的偏壓毋需以脈衝方式提供便能達到文中所述的優點。然而,在某些實施例中,仍可以脈衝方式施加偏壓,俾以減少離子轟擊基板的蝕刻率或蝕刻量以增加對下層的蝕刻選擇比。離子-離子電漿中的偏壓脈衝尤其有利於在離子與原子團之間交替時增進選擇比。換言之,脈衝可分隔朝向基板表面的離子與原子團通量(有脈衝時:原子團及離子,無脈衝時:只有原子團)。處理 / 應用
本文中所揭露的設備及電漿條件可用以蝕刻任何不同的材料如矽(包含多晶矽、非晶矽、單晶矽及/或微晶矽)、金屬(包含但不限於TiN、W、TaN等)、氧化物與氮化物(包含但不限於SiO、SiOC、SiN、SiON等)、有機物(包含但不限於光阻、非晶碳等)以及各種其他材料(包含但不限於W、Pt、Ir、PtMn、PdCo、Co、CoFeB、CoFe、NiFe、W、Ag、Cu、Mo、TaSn、Ge2 Sb2 Te2 、InSbTe、Ag--Ge--S、Cu--Te--S、IrMn、Ru等)。此概念可延伸至其他材料如NiOx 、SrTiOx 、鈣鈦礦(CaTiO3 )、PrCAMnO3 、PZT (PbZr1-x Tix O3 )、(SrBiTa)O3 等。本發明之設備可與現今製造廠務中可用的任何氣體組合(包含HBr、CO、NH3 、CH3 OH等)一起使用。
本文中所揭露的設備及電漿條件可用以蝕刻任何技術節點下的裝置特徵部或其他結構。在某些實施例中,蝕刻係用以製造20 nm至10 nm的技術節點或更小的技術節點。蝕刻可在前端製造程序及後端製造程序中進行。蝕刻可提供較佳的垂直輪廓、材料選擇比、I/D負載及/或比約2%更佳之晶圓中央到邊緣的均勻度。適合蝕刻應用的數個實例包含淺溝槽隔離、閘極蝕刻、間隙壁蝕刻、源極/汲極凹槽蝕刻、氧化物回蝕及硬遮罩開口蝕刻。 設備
本文中所述的方法可以任何適合的設備施行之。適合的設備包含一腔室及用以提供與維持本文中所述之蝕刻條件的電子硬體。適合的設備亦包含具有指令的系統控制器,此些指令係用以控制硬體以達到此些條件並用以執行適合應用如蝕刻FET之閘極電極之處理操作的程序。在某些實施例中,硬體可包含容納於一處理設備中的一或多個處理站。
回到圖1,顯示根據本發明之特定實施例之感應耦合電漿蝕刻設備100的橫剖面圖。如前所述,本文中的實施例亦可利用非感應耦合電漿施行之。感應耦合電漿蝕刻設備100包含由室壁101 與窗111在結構上定義的整個蝕刻室。室壁101通常是由不銹鋼或鋁所製成。窗111通常是由石英或其他介電材料所製成。內部電漿格柵150將整個蝕刻室區分為上子室102與下子室103。在特定的其他實施例中,使用更複雜的電漿格柵組件。例如,如圖4與5中所示,電漿格柵組件可包含複數格柵以及支撐結構與致動元件。回到圖1的實施例,夾頭117係位於下子室 103內靠近下內表面。夾頭117係用以接收並支撐待接受蝕刻處理的半導體晶圓(即晶圓)119。夾頭117可以是用以支撐晶圓(若晶圓存在時)的靜電夾頭。在某些實施例中,一邊緣環(未顯示)圍繞夾頭117並具有約與晶圓(當晶圓存在於夾頭117上時)上表面共平面的上表面。夾頭117亦包含靜電電極俾以夾持或鬆開晶圓。為此目的可提供一濾波器及DC拑置電源。亦可提供用以將晶圓舉升離開夾頭117的其他控制系統。可利用RF電源123使夾頭117帶電。RF電源123係經由連接件127而連接至匹配電路121。匹配電路121係經由連接件125而連接至夾頭117。在此方式下,RF電源123係連接至夾頭117。
線圈133係位於窗111上方。線圈133係自導電材料所製造且包含至少一完整的迴圈。圖1中所示之例示性線圈133包含三圈。具有符號「X」之線圈133的橫剖面代表線圈133旋轉地延伸進入紙面。相反地,具有符號「•」的線圈133代表線圈133旋轉地延伸出紙面。RF電源141係用以將RF能量供給至線圈133。一般而言,RF電源141係經由連接件145而連接至匹配電路139。匹配電路139係經由連接件143而連接至線圈133。在此方式下,RF電源141係連接至線圈133。選擇性的法拉第屏蔽件149係置於線圈133與窗111之間。將法拉第屏蔽件149維持在相對遠離線圈133的位置。法拉第屏蔽件149係置於窗111的正上方。線圈133、法拉第屏蔽件149與窗111的每一者應彼此平行。法拉第屏蔽件可避免金屬或其他物種沈積在電漿室的介電窗上。
處理氣體可經由位於上子室中的主注射接口160及/或經由側注射接口170(有時被稱為STG)供給。未顯示氣體排放接口。亦未顯示的是連接至腔室101以致使真空控制及在電漿操作處理期間自腔室移除氣態副產物的泵浦。
在設備的操作期間,可經由注射接口160及/或170供給一或多種反應氣體。在某些實施例中,可只經由主注射接口或可只經由側注射接口來供給氣體。在某些情況下,注射接口可被噴淋頭所取代。法拉第屏蔽件149及/或格柵150可包含內部通道及孔洞以將處理氣體輸送至腔室。換言之,法拉第屏蔽件149與格柵 150中的一者或兩者可具有用以輸送處理氣體之噴淋頭的功能。
射頻功率係自RF電源141供給至線圈133以使得RF電流流過線圈133。流過線圈133的RF電流在線圈133的周圍產生電磁場。電磁場在上子室102內產生感應電流。感應電流作用在上子室102中的氣體上以在上子室102內產生電子-離子電漿。內部電漿格柵150限制下子室103中的熱電子的量。在不同的實施例中,設計及操作設備俾使下子室內的電漿為離子-離子電漿。
上電子-離子電漿與下離子-離子電漿兩者皆包含正離子及負離子,但離子-離子電漿具有較高之負離子對正離子的比值。各種離子及原子團與晶圓119進行物理與化學相互作用以選擇性地蝕刻晶圓的特徵部。經由排放接口(未顯示)自下子室移除揮發性的蝕刻副產物。重要地,此些揮發性的副產物實質上並未曝露至熱電子,因此其較不易解離為非揮發性的「黏著性」解離產物。
一般而言,本文中所揭露的夾頭係操作在介於約攝氏30°至約攝氏250°較佳地介於約攝氏30°至攝氏150°的溫度範圍內。溫度將取決於蝕刻處理操作及特定配方。腔室101亦操作在介於約1 mTorr至約95 mTorr或介於約5 mTorr至20 mTorr的壓力範圍內。
雖然未顯示,當腔室101被安裝至潔淨室或製造廠房時,其通常耦合至廠務端。廠務端包含提供處理氣體、真空、溫度控制及環境粒子控制的管道。當腔室101被安裝至目標製造廠房時,此些廠務設備被耦合至腔室101。此外,腔室101可耦合至傳送室,傳送室使用典型的自動化致使機械人將半導體晶圓傳入及傳出腔室101。
圖2A-2B與3A-3D顯示根據本文之實施例之內部電漿格柵的實例。在某些情況下,每一格柵可具有徑向向外或大致上徑向向外延伸的槽口。在此些或其他情況下,如圖3C與3D中所示,槽口可具有較不尋常的非線性形狀。在圖2B的實施例中,有三種槽口。此三種槽口的每一者皆具有不同的槽口長度。如上所述,圖2B中所示之槽口的高寬比適合用於產生下子室中的離子-離子電漿。圖2A及3A-3D中所示的槽口可能並未依照比例繪製。系統控制器
在某些實施例中,系統控制器(其可包含一或多個實質或邏輯的控制器)控制蝕刻室的部分或所有操作。系統控制器可包含一或多個記憶體裝置及一或多個處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進機馬達控制板等元件。用以施行適當控制操作的指令係於處理器上執行。此些指令可被儲存在與控制器相關的記憶體裝置上或其可藉由網路提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包含用以控制下列腔室操作條件中之任一者或多者之應用時序及/或強度的指令:氣體的混合及/或組成、腔室壓力、腔室溫度、晶圓溫度、施加至晶圓的偏壓、施加至線圈或其他電漿生成元件的頻率與能量、晶圓位置、晶圓移動速度、格柵位置、格柵移動速度、及設備所執行之特定處理的其他參數。系統控制軟體可以任何適當的方式配置。例如,可將各種處理設備元件的子程式或控制物件寫入執行各種處理設備處理所必須之處理設備元件的控制操作。系統控制軟體可以任何適合的電腦可讀程式語言編碼。
在某些實施例中,系統控制軟體包含用以控制上述各種參數的輸入/輸出控制(IOC)時序指令。例如,半導體製造處理的每一階段可包含待由系統控制器執行的一或多個指令。例如,用以設定一蝕刻階段之處理條件的指令可被包含於一對應的蝕刻配方階段中。在某些實施例中,可依序地配置配方階段俾使一處理階段的所有指令能與該處理階段同時執行。
在某些實施例中可使用其他電腦軟體及/或程式。為此目的之程式及程序區段的實例包含基板定位程式、格柵組件定位程式、處理氣體組成控制程式、壓力控制程式、加熱器控制程式及RF電源控制程式。
在某些情況下,控制器控制氣體濃度、晶圓移動、格柵移動及/或供給至線圈及/或靜電夾頭的能量。控制器可藉著例如下列方式控制氣體濃度:開啟與關閉相關閥件以產生用以提供必要反應物(複數反應物)之適當濃度(複數濃度)的一或多個入口氣體流。晶圓移動可藉著例如使一晶圓定位系統依期望移動來加以控制。格柵移動可藉著例如使致動元件(例如旋轉致動器、舉升件及/或其他致動元件)依期望移動格柵組件來加以控制。在一實例中,控制器指揮旋轉致動器旋轉一或多個電漿格柵以在下部電漿中達到特定的電漿條件(包含但不限制為電子溫度、電子密度、離子密度、正離子對電子的比值等)。在某些實施例中,控制器係用以在晶圓的不同部分上達到不同的電漿條件(例如電漿條件可依徑向調變)。可控制供給至線圈及/或夾頭的能量而提供特定的RF能量位準,以於上子室中產生期望的電子-離子電漿。又,控制器可用以將能量供給至特定條件下的靜電夾頭俾以在下子室中不形成電子-離子電漿。換言之,控制器係用以維持下子室中的離子-離子電漿(或至少具有適當低之有效電子溫度與密度的電漿)。控制器可基於感測器輸出(例如當能量、電位、壓力等到達一特定閾值時)、操作的時序(例如在一處理的特定時間點處開啟閥件)或基於自使用者所接收到的指令,控制此些或其他態樣。
上述的各種硬體及方法實施例可與微影圖案化設備或處理一起使用,以例如形成或製造半導體裝置、顯示器、LED、光伏面板等。一般而言,雖然沒有必要,但此類設備/處理將會在共同的製造廠房中一起使用或進行。
薄膜的微影圖案化通常包含下列步驟的部分或全部,每一步驟可利用複數的可能設備:(1)利用旋塗或噴塗設備將光阻施加至工作件例如其上形成有氮化矽薄膜的基板上;(2)利用熱板或爐管或其他適合的固化設備來固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV光或X射線;(4)利用一設備如濕式槽或噴塗顯影設備來顯影光阻,以選擇性地移除光阻並藉此將其圖案化;(5)利用乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件;及(6)利用一設備如RF或微波電漿光阻剝除設備來移除光阻。在某些實施例中,在施加光阻前可沈積一可灰化的硬遮罩層(如非晶碳層)及其他適合的硬遮罩(如抗反射層)。
應瞭解,本文中所揭露之配置及/或方案皆為例示性,此些特定的實施例或實例不應被認為是限制性,因為許多變化皆為可行的。本文中所揭露的特定程式或方法可代表任何數目之處理策略中的一或多者。是以,本文中所述之各種步驟可以所述的順序、其他順序、平行方式施行之,或在某些情況下加以省略。類似地,上述處理的順序可加以改變。
本發明的標的包含文中所揭露之各種處理、系統與配置、及其他特徵、功能、動作及/或特性的所有新穎與非顯而易知的組合與次組合以及其任何與全部的等效物。實驗
實驗已證實,本發明的方法與設備能對半導體基板上部分製造完成之裝置提供較佳的蝕刻。當使用電漿格柵時,經蝕刻的產品顯示出良好的選擇比、輪廓角度、孤立/密集負載效應及整體的蝕刻均勻度。
圖7A與7B顯示已根據高壓傳統技術蝕刻過的FinFET結構(7A)及已根據本發明實施例使用電漿格柵蝕刻過的FinFET結構(7B)的電子掃描顯微鏡(SEM)影像。如圖7A中所示,傳統技術造成晶圓之中央與邊緣間的嚴重不均勻度。I/D負載大且材料間的選擇比差。相反地,如圖7B中所示,使用電漿格柵實質上增加了中央到邊緣的均勻度。又,I/D負載遠遠地較低且選擇比改善了。此實驗係於Si載板晶圓上進行,此Si載板晶圓已被薄化到能代表FinFET高度的厚度且被50%的SiN圖案(coupons)所覆蓋以模擬完全圖案化之晶圓的蝕刻。FinFET結構受到65%的過蝕刻以最小化輪廓中的傾斜。
圖8A與8B顯示已根據低壓傳統技術蝕刻過之特徵部(8A)及根據本發明實施例使用電漿格柵蝕刻過之特徵部(8B)的SEM影像。傳統的技術展現出矽與氧化物之間的較差選擇比,經蝕刻的特徵部具有傾斜的輪廓且I/D負載差。然而,如圖8B中所示,源格柵提供較佳的選擇比(無限大的選擇比)、較垂直的輪廓角度及實質上無I/D負載。此實驗係於一晶片上進行,此晶片係自圖案化的晶圓所擷取並被置於載板晶圓的中央。此實驗係於Si載板晶圓上進行,此Si載板晶圓已被薄化到能代表FinFET高度的厚度且被50%的SiN圖案(coupons)所覆蓋以模擬完全圖案化之晶圓的蝕刻。
圖9顯示已根據未使用電漿格柵之各種電漿域蝕刻過之特徵部的不同SEM影像。使用兩種不同的壓力及四種不同的總流量。有效電子溫度 (Te )隨著壓力增加而減少。滯留時間會隨著總流量的增加而減少。針對每一種壓力,增加總流量以改善蝕刻結果。尤其,高流量的情況顯示出較佳(較垂直)的輪廓角度以及較佳的選擇比(留下較多的遮罩)。然而,此些改善被較差的I/D負載及中央到邊緣的均勻度所減損。在高流量下的結果支持了下列理論:如圖6A-6C中所示,當某些副產物及/或解離產物在其氣態形式時未被掃除時,其可黏附至特徵部的側壁及/或底部以產生不良的蝕刻結果。當總流量較高時,此些副產物能被更有效率地自反應室掃除,因此較不會造成蝕刻缺陷。
不同的實驗顯示,使用電漿格柵能得到具有極佳的選擇比、輪廓角度、I/D負載及中央到邊緣的均勻度的蝕刻處理。在某些情況下,選擇比(即Si的蝕刻率:氧化物的蝕刻率)係大於約10或大於約100。事實上,在某些情況下利用電漿格柵可達到無限大的選擇比。在此些情況下,實質上不蝕刻氧化物材料且在氧化物表面上甚至可能有少量的沈積。在許多情況中所獲得的輪廓角度為實質上垂直(例如超過約89°)。在某些實施例中,顯示I/D負載低於約2°。又,在各種實施例中,中央到邊緣的均勻度係小於約2 nm。
100‧‧‧感應耦合電漿蝕刻設備
101‧‧‧室壁
102‧‧‧上子室
103‧‧‧下子室
111‧‧‧窗
117‧‧‧夾頭
119‧‧‧半導體晶圓
121‧‧‧匹配電路
123‧‧‧RF電源
125‧‧‧連接件
127‧‧‧連接件
133‧‧‧線圈
139‧‧‧匹配電路
141‧‧‧RF電源
143‧‧‧連接件
145‧‧‧連接件
149‧‧‧法拉第屏蔽件
150‧‧‧內部電漿格柵
160‧‧‧主注射接口
170‧‧‧側注射接口
302‧‧‧上格柵
304‧‧‧下格柵
圖1之概略性橫剖面圖顯示根據本文之特定實施例之蝕刻操作用之電漿處理系統。
圖2A為根據本文之特定實施例之格柵結構的簡略上視圖。
圖2B為根據本文之特定實施例之格柵結構的照片。
圖3A與3B顯示可用以徑向調整下子室中之電漿條件的電漿格柵。
圖3C與3D顯示根據本文之一實施例之具有C形槽口的一對電漿格柵。
圖3E-3F顯示雙板格柵組件的橫剖面圖,圖3E顯示孔洞雙板中的孔洞係對準的情況,圖3F顯示孔洞非對準的情況。
圖4顯示根據本文之一實施例之處理室的簡圖,此處理室具有固定電漿格柵置於可動電漿格柵的上方。
圖5顯示根據本文之一實施例之處理室的簡圖,此處理室具有可動電漿格柵置於固定電漿格柵的上方。
圖6A-6C說明因蝕刻副產物解離所產生的特定問題。
圖7A-7B顯示已根據高壓傳統技術(7A)受到蝕刻及已根據利用電漿格柵之一實施例(7B)受到蝕刻之FinFET結構的SEM影像。
圖8A與8B顯示已根據低壓傳統技術(8A)受到蝕刻及根據利用電漿格柵之目前揭露的實施例(8B)受到蝕刻之特徵部的SEM影像。
圖9顯示已根據未使用電漿格柵之各種電漿域受到蝕刻之特徵部的各種SEM影像。

Claims (36)

  1. 一種蝕刻基板上之特徵部的方法,此方法包含:將該基板提供至一電漿室中的一基板支撐件,此電漿室包含一電漿產生器及一格柵組件,該格柵組件將該電漿室的內部分隔為靠近該電漿產生器的一上子室與靠近該基板支撐件的一下子室,其中該格柵組件包含至少兩格柵;在該電漿室中,在會於該上子室中產生上部電漿並於該下子室中產生下部電漿的條件下,產生電漿;及藉由該下部電漿與該基板的相互作用而蝕刻該基板中的該特徵部,其中該下部電漿中的有效電子溫度約為1eV或更低,且低於該上部電漿中的有效電子溫度,且其中該下部電漿中的電子密度約為5x109cm-3或更少,且少於該上部電漿中的電子密度。
  2. 如申請專利範圍第1項之蝕刻基板上之特徵部的方法,更包含施加偏壓至該格柵組件。
  3. 如申請專利範圍第1項之蝕刻基板上之特徵部的方法,更包含施加偏壓至該基板支撐件。
  4. 如申請專利範圍第1項之蝕刻基板上之特徵部的方法,其中該下部電漿為離子-離子電漿。
  5. 如申請專利範圍第1項之蝕刻基板上之特徵部的方法,更包含使該格柵組件中的至少一格柵繞著垂直於該基板支撐件之上表面的軸旋轉。
  6. 如申請專利範圍第1項之蝕刻基板上之特徵部的方法,更包含改變該格柵組件中複數格柵間的距離。
  7. 如申請專利範圍第1項之蝕刻基板上之特徵部的方法,其中不同的處理氣體被提供至該上子室與該下子室。
  8. 如申請專利範圍第4項之蝕刻基板上之特徵部的方法,其中該格柵組件中每一格柵包含複數槽口,在電漿生成於該電漿室內時此些槽口能實質上避免感應電流形成於該格柵中,其中在該格柵組件中之該第一格柵及該第二格柵其中至少一者中的該複數槽口其中至少一者具有在約0.5至1之間的高寬比。
  9. 一種格柵組件,用於一反應室,該反應室包含在該格柵組件上方的一電漿源及在該格柵組件下方的一基板支撐件,該反應室係用以執行電漿處理,該格柵組件包含:一第一格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第一格柵的複數孔洞;一第二格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第二格柵的複數孔洞,該第一格柵及該第二格柵係配置成使用於該反應室中,其中該第一格柵及該第二格柵係實質上彼此平行且垂直對準,使得當安裝於該反應室中時該第一格柵係在該第二格柵上方,且其中該第一格柵及該第二格柵相對於彼此係可移動的,其中當該第一格柵及該第二格柵係設置在一第一相對位置時,該格柵組件具有一第一格柵組件開放面積,且當該第一格柵及該第二格柵係設置在一第二相對位置時,該格柵組件具有一第二格柵組件開放面積,其中產生於該格柵組件上方的電漿於該第一格柵及該第二格柵係設置在該第一相對位置設置時導致一第一離子通量分佈通過該第一格柵組件開放面積,於該第一格柵及該第二格柵係設置在該第二相對位置時導致一第二離子通量分佈通過該第二格柵組件開放面積,其中相較於該第一離子通量分佈,該第二離子通量分佈係相對於該格柵組件的中央而相對地較集中靠近該格柵組件之外緣。
  10. 如申請專利範圍第9項之格柵組件,其中該格柵組件的該第一格柵及/或該第二格柵係配置成相對於彼此而在該第一相對位置與該第二相對位置之間旋轉。
  11. 如申請專利範圍第9項之格柵組件,其中該第一格柵及該第二格柵其中至少一者係以一層Y2O3、YF3、YAG、氮化鈦或CeO2加以塗佈。
  12. 如申請專利範圍第9項之格柵組件,其中該第一格柵及該第二格柵其中至少一者之表面係加以陽極化。
  13. 如申請專利範圍第9項之格柵組件,其中該第一格柵及該第二格柵其中至少一者之表面係加以鈍化。
  14. 如申請專利範圍第9項之格柵組件,其中該第一格柵與該第二格柵之間的距離係約5mm或更小。
  15. 如申請專利範圍第14項之格柵組件,其中該第一格柵與該第二格柵之間的距離係約2mm或更小。
  16. 如申請專利範圍第9項之格柵組件,其中該第一格柵及該第二格柵其中至少一者為非平面的。
  17. 如申請專利範圍第9項之格柵組件,其中當該第一格柵及該第二格柵係設置在一第三相對位置時,該第一格柵及該第二格柵中的此些孔洞係非對準的,俾使格柵開放面積不存在。
  18. 如申請專利範圍第9項之格柵組件,其中該第一格柵及該第二格柵其中至少一者上的此些孔洞包含徑向延伸的複數槽口,此些槽口避免感應電流形成於該第一格柵及/或該第二格柵中。
  19. 如申請專利範圍第9項之格柵組件,該格柵組件更包含與該第一格柵及該第二格柵垂直對準的一第三格柵。
  20. 一種格柵組件,用於一反應室,該反應室包含在該格柵組件上方的一電漿源及在該格柵組件下方的一基板支撐件,該反應室係用以執行電漿處理,該格柵組件包含:一第一格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第一格柵的複數孔洞;及一第二格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第二格柵的複數孔洞,該第一格柵及該第二格柵係配置成使用於該反應室中,其中該第一格柵及該第二格柵係彼此垂直對準,使得當安裝於該反應室中時該第一格柵係在該第二格柵上方,且其中該第一格柵及該第二格柵其中至少一者當從其側面觀看時具有一非平面橫剖面,且其中滿足以下條件中至少一者:(i)該第一格柵及該第二格柵其中至少一者係以一層Y2O3、YF3、YAG、氮化鈦或CeO2加以塗佈,或(ii)該第一格柵及該第二格柵其中至少一者之表面係加以陽極化或鈍化。
  21. 如申請專利範圍第20項之格柵組件,其中該非平面橫剖面包含圓頂形或碟形。
  22. 如申請專利範圍第20項之格柵組件,其中該非平面橫剖面包含正弦形。
  23. 如申請專利範圍第20項之格柵組件,其中該非平面橫剖面包含方波形。
  24. 申請專利範圍第20項之格柵組件,其中該非平面橫剖面包含V形。
  25. 如申請專利範圍第20項之格柵組件,其中該第一格柵與該第二格柵之間的距離係約5mm或更小。
  26. 如申請專利範圍第20項之格柵組件,該格柵組件更包含與該第一格柵及該第二格柵垂直對準的一第三格柵。
  27. 一種格柵組件,用於一反應室,該反應室包含在該格柵組件上方的一電漿源及在該格柵組件下方的一基板支撐件,該反應室係用以執行電漿處理,該格柵組件包含:一第一格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第一格柵的複數孔洞;及一第二格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第二格柵的複數孔洞,該第一格柵及該第二格柵係配置成使用於該反應室中,其中該第一格柵及該第二格柵係彼此垂直對準,使得當安裝於該反應室中時該第一格柵係在該第二格柵上方,且其中該第一格柵及該第二格柵其中至少一者當從其側面觀看時具有一非平面橫剖面,且其中滿足以下條件中至少一者:(i)當該格柵組件係置於用以執行電漿處理的該反應室中,該第一格柵及該第二格柵其中至少一者相對於在用以進行電漿處理的該反應室中進行處理的一基板係傾斜的,(ii)該第一格柵與該第二格柵之間的距離係約5mm或更小,或(iii)該格柵組件更包含與該第一格柵及該第二格柵垂直對準的一第三格柵。
  28. 一種用以蝕刻基板上之特徵部的方法,包含:將該基板提供至一電漿室中的一基板支撐件,此電漿室包含一電漿產生器及一格柵組件,該格柵組件將該電漿室之內部分隔為靠近該電漿產生器的一上子室與靠近該基板支撐件的一下子室,其中該格柵組件包含至少兩格柵,每一格柵包含複數槽口,其中該等格柵其中至少一者中的該等槽口其中至少一者具有在約0.5至2之間的高寬比;在該電漿室中,在會於該上子室中產生上部電漿並於該下子室中產生下部電漿的條件下,產生一電漿;及藉由該下部電漿與該基板的相互作用而蝕刻該基板中的該特徵部,其中該下部電漿為離子-離子電漿。
  29. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,更包含施加偏壓至該格柵組件。
  30. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,更包含施加偏壓至該基板支撐件。
  31. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,更包含使該格柵組件中的至少一格柵繞著垂直於該基板支撐件之上表面的軸旋轉。
  32. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,更包含於在該基板上蝕刻該特徵部時,改變該格柵組件中該等格柵之間的距離。
  33. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,更包含於在該基板上蝕刻該特徵部時,改變該格柵組件與用以產生該上部電漿的一電漿產生器之間的距離。
  34. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,其中不同的處理氣體被提供至該上子室與該下子室。
  35. 如申請專利範圍第28項之蝕刻基板上之特徵部的方法,其中當該電漿產生時,在該格柵組件的該等格柵中實質上沒有產生電流。
  36. 一種格柵組件,用於一反應室,該反應室包含在該格柵組件上方的一電漿源及在該格柵組件下方的一基板支撐件,該反應室係用以執行電漿處理,該格柵組件包含:一第一格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第一格柵的複數孔洞;及一第二格柵,包含讓產生於該格柵組件上方之離子得以行進通過該第二格柵的複數孔洞,該第一格柵及該第二格柵係配置成使用於該反應室中,其中該第一格柵及該第二格柵係彼此垂直對準,使得當安裝於該反應室中時該第一格柵係在該第二格柵上方,且其中該第一格柵及該第二格柵其中至少一者當從其側面觀看時具有一非平面橫剖面,且其中滿足以下條件中至少一者:(i)該非平面橫剖面包含方波形,或(ii)該非平面橫剖面包含V形。
TW107120262A 2013-04-05 2014-04-03 半導體製造用之內部電漿格柵 TWI677025B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361809246P 2013-04-05 2013-04-05
US61/809,246 2013-04-05
US13/916,318 2013-06-12
US13/916,318 US9245761B2 (en) 2013-04-05 2013-06-12 Internal plasma grid for semiconductor fabrication

Publications (2)

Publication Number Publication Date
TW201836012A TW201836012A (zh) 2018-10-01
TWI677025B true TWI677025B (zh) 2019-11-11

Family

ID=51654740

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103112593A TWI636481B (zh) 2013-04-05 2014-04-03 半導體製造用之內部電漿格柵
TW103112591A TWI665709B (zh) 2013-04-05 2014-04-03 半導體製造用之內部電漿格柵及使用該內部電漿格柵的設備、系統及方法
TW107120262A TWI677025B (zh) 2013-04-05 2014-04-03 半導體製造用之內部電漿格柵

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW103112593A TWI636481B (zh) 2013-04-05 2014-04-03 半導體製造用之內部電漿格柵
TW103112591A TWI665709B (zh) 2013-04-05 2014-04-03 半導體製造用之內部電漿格柵及使用該內部電漿格柵的設備、系統及方法

Country Status (6)

Country Link
US (5) US9245761B2 (zh)
JP (2) JP6461482B2 (zh)
KR (2) KR102284325B1 (zh)
CN (3) CN104103477B (zh)
SG (3) SG10201401112YA (zh)
TW (3) TWI636481B (zh)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI798594B (zh) * 2014-04-25 2023-04-11 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
WO2016109063A1 (en) * 2015-01-02 2016-07-07 Applied Materials, Inc. Processing chamber
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
CN106676532B (zh) * 2015-11-10 2019-04-05 江苏鲁汶仪器有限公司 金属刻蚀装置及方法
KR102227879B1 (ko) * 2016-01-15 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 가변 패턴 분리 그리드
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
JP2018078515A (ja) * 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110462781B (zh) * 2017-03-31 2022-03-11 玛特森技术公司 用于等离子体处理设备的基座组件
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108878242B (zh) * 2017-05-10 2021-01-29 北京北方华创微电子装备有限公司 一种等离子体装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
ES2773989T3 (es) * 2017-05-19 2020-07-16 Total Sa Aparato y método para el procesamiento de texturizado
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102133279B1 (ko) * 2018-06-20 2020-07-13 주식회사 엘지화학 회절 격자 도광판용 몰드의 제조방법 및 회절 격자 도광판의 제조방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111146334A (zh) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 一种磁隧道结制作方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020131848A1 (en) 2018-12-17 2020-06-25 Applied Materials, Inc. Methods of optical device fabrication using an electron beam apparatus
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11039527B2 (en) * 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
CN111243991B (zh) * 2020-01-15 2022-12-09 北京北方华创微电子装备有限公司 内衬及半导体加工设备
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN117690774B (zh) * 2024-02-04 2024-04-16 上海邦芯半导体科技有限公司 一种降低刻蚀不均匀度的icp装置及调节方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060102286A1 (en) * 2004-11-12 2006-05-18 Kim Do-Hyeong Plasma processing apparatus

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH0738384B2 (ja) 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
JP2552701B2 (ja) 1988-02-29 1996-11-13 日本電信電話株式会社 イオン源
JPH01302645A (ja) 1988-02-08 1989-12-06 Anelva Corp 放電装置
US5015331A (en) 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02131550U (zh) 1989-03-31 1990-11-01
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JPH07335618A (ja) 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> プラズマプロセスの方法及びプラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) * 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4043089B2 (ja) 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
JPH10242116A (ja) 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH10270429A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
JPH1154717A (ja) 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) * 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6238527B1 (en) * 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
WO1999040609A1 (en) 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP3948857B2 (ja) 1999-07-14 2007-07-25 株式会社荏原製作所 ビーム源
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
JP3510174B2 (ja) 2000-03-01 2004-03-22 住友重機械工業株式会社 イオン発生装置及び成膜装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2001332534A (ja) 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3882060B2 (ja) * 2000-05-29 2007-02-14 株式会社 東北テクノアーチ 高品質ダイヤモンドの形成方法及びその装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP2004248505A (ja) * 2001-09-21 2004-09-09 Norio Nakatsuji 移植抗原の一部または全てを欠除したes細胞由来の未分化な体細胞融合細胞およびその製造
KR20030046189A (ko) * 2001-12-05 2003-06-12 변홍식 플라즈마 발생장치
TWI272877B (en) 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP2006500377A (ja) 2002-06-21 2006-01-05 トランスフォーム・ファーマシューティカルズ・インコーポレイテッド 改善された溶解性を有する医薬組成物
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR100883697B1 (ko) * 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2007502860A (ja) * 2003-04-24 2007-02-15 ヴァンダービルト ユニバースィティ 昆虫を防除するための組成物及び方法
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (ja) 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP2005116865A (ja) 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005276931A (ja) 2004-03-23 2005-10-06 Toshiba Corp 半導体装置およびその製造方法
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP2006020032A (ja) * 2004-07-01 2006-01-19 Canon Inc 画像処理装置及び画像処理方法
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
JP2006236772A (ja) 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
CN101189708A (zh) * 2005-05-31 2008-05-28 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2007035728A (ja) 2005-07-22 2007-02-08 Renesas Technology Corp 半導体装置及びその製造方法
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
US8356575B2 (en) 2005-09-09 2013-01-22 Ulvac, Inc. Ion source and plasma processing apparatus
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
JP2007149788A (ja) * 2005-11-24 2007-06-14 Aqua Science Kk リモートプラズマ装置
US7335602B2 (en) 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
CH696456A5 (de) * 2006-05-02 2007-06-29 Fostag Holding Ag Stapelbares Besteckteil.
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP5463536B2 (ja) 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
TWI320237B (en) * 2006-07-24 2010-02-01 Si-substrate and structure of opto-electronic package having the same
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
KR100869359B1 (ko) 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7875555B2 (en) 2007-11-29 2011-01-25 Tokyo Electron Limited Method for plasma processing over wide pressure range
US20090162262A1 (en) 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8187948B2 (en) 2008-02-18 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gap-fill approach for STI formation
CN101978461B (zh) 2008-03-20 2013-09-11 波鸿-鲁尔大学 在射频等离子体中控制离子能量的方法
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US7732759B2 (en) 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
KR101434001B1 (ko) * 2008-06-10 2014-08-25 쿨리케 앤드 소파 인더스트리즈, 인코포레이티드 와이어 본딩 작업에서 산화 감소를 위한 가스 전달 시스템
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8986558B2 (en) 2008-09-01 2015-03-24 Japan Science And Technology Agency Plasma etching method, plasma etching device, and method for producing photonic crystal
WO2010064306A1 (ja) 2008-12-03 2010-06-10 富士通株式会社 半導体装置の製造方法
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010192197A (ja) 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2534674B1 (en) 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
WO2011106703A2 (en) * 2010-02-26 2011-09-01 Anchor Therapeutics, Inc. Cxcr4 receptor compounds
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP2012054304A (ja) 2010-08-31 2012-03-15 Tokyo Electron Ltd エッチング方法及びエッチング装置
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
WO2012173698A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5689980B2 (ja) 2011-10-31 2015-03-25 キヤノンアネルバ株式会社 磁性膜のイオンビームエッチング方法及びイオンビームエッチング装置
WO2013070438A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US8461554B1 (en) 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
TWI467625B (zh) 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060102286A1 (en) * 2004-11-12 2006-05-18 Kim Do-Hyeong Plasma processing apparatus

Also Published As

Publication number Publication date
SG10201401112YA (en) 2014-11-27
CN104103477A (zh) 2014-10-15
SG10201708121VA (en) 2017-11-29
US20160203990A1 (en) 2016-07-14
CN104103478A (zh) 2014-10-15
US20160141188A1 (en) 2016-05-19
KR20140121367A (ko) 2014-10-15
KR20140121368A (ko) 2014-10-15
JP6506915B2 (ja) 2019-04-24
TWI665709B (zh) 2019-07-11
CN107578973B (zh) 2020-03-13
JP6461482B2 (ja) 2019-01-30
TW201511067A (zh) 2015-03-16
CN104103477B (zh) 2017-08-11
JP2014239210A (ja) 2014-12-18
US10224221B2 (en) 2019-03-05
US20140302681A1 (en) 2014-10-09
US20160181130A1 (en) 2016-06-23
US11171021B2 (en) 2021-11-09
JP2014204127A (ja) 2014-10-27
CN104103478B (zh) 2017-05-10
TW201511066A (zh) 2015-03-16
TW201836012A (zh) 2018-10-01
TWI636481B (zh) 2018-09-21
SG10201401254VA (en) 2014-11-27
US9245761B2 (en) 2016-01-26
CN107578973A (zh) 2018-01-12
US20140302680A1 (en) 2014-10-09
KR102284325B1 (ko) 2021-08-02
KR102270841B1 (ko) 2021-06-29

Similar Documents

Publication Publication Date Title
TWI677025B (zh) 半導體製造用之內部電漿格柵
TWI626686B (zh) 用於半導體製造之內部電漿格柵應用
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
TWI638403B (zh) 離子束蝕刻系統
US20150083582A1 (en) Ion to neutral control for wafer processing with dual plasma source reactor