US20160181130A1 - Internal plasma grid for semiconductor fabrication - Google Patents

Internal plasma grid for semiconductor fabrication Download PDF

Info

Publication number
US20160181130A1
US20160181130A1 US15/055,380 US201615055380A US2016181130A1 US 20160181130 A1 US20160181130 A1 US 20160181130A1 US 201615055380 A US201615055380 A US 201615055380A US 2016181130 A1 US2016181130 A1 US 2016181130A1
Authority
US
United States
Prior art keywords
plasma
grid
chamber
ion
zone plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/055,380
Inventor
Harmeet Singh
Thorsten Lill
Vahid Vahedi
Alex Paterson
Monica TITUS
Gowri Kamarthy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/055,380 priority Critical patent/US20160181130A1/en
Publication of US20160181130A1 publication Critical patent/US20160181130A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • etching operation One operation frequently employed in the production of semiconductors is an etching operation.
  • an etching operation one or more materials are partly or wholly removed from a partially fabricated integrated circuit.
  • Plasma etching is often used, especially where the geometries involved are small, high aspect ratios are used, or precise pattern transfer is needed.
  • a plasma typically contains electrons, as well as positive and negative ions, and some radicals.
  • the radicals, positive ions, and negative ions interact with a substrate to etch features, surfaces and materials on the substrate.
  • a chamber coil performs a function analogous to that of a primary coil in a transformer, while the plasma performs a function analogous to that of a secondary coil in the transformer.
  • plasma etching processes need to be increasingly precise and uniform in order to produce quality products.
  • the plasma etch processes should have good selectivity, profile angle, Iso/Dense loading, and overall uniformity.
  • an etching process it is beneficial for an etching process to have good selectivity between the material that is etched and the material that is retained. In the context of the FinFET gate structure, this means that there should be good selectivity of the gate being etched to other exposed components such as a silicon nitride mask.
  • the profile angle is measured as the angle between a recently etched (roughly vertical) sidewall and a horizontal plane. In many applications, the ideal profile angle is 90 degrees, producing a vertical etched step or opening. Sometimes, the local on-wafer feature density can affect the etching process.
  • an area of the wafer where features are dense may etch somewhat differently (e.g., etch more quickly, more slowly, more isotropically, more anisotropically, etc.) as compared to an area of the wafer where features are more isolated.
  • the differences which arise due to variations in feature density are referred to as Iso/Dense loading (I/D loading). It is beneficial to minimize these differences during fabrication.
  • the etching process often needs to be consistently executed over the entire face of a substrate (e.g., the etch conditions and results should be uniform from the center to the edge of a semiconductor wafer).
  • an apparatus for etching a feature on a substrate may include a chamber defining an interior where a plasma can be provided; a substrate holder for holding a substrate in the chamber during etching; a plasma generator for producing a plasma within the chamber; and a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder, where the upper sub-chamber has a height that is at least about 1 ⁇ 6 that of the lower sub-chamber, and where the grid assembly includes two or more grids having a plurality of slots that substantially prevent formation of induced current in the grid when the plasma is produced within the chamber.
  • the apparatus may also include a controller designed or configured to produce the plasma in the chamber under conditions that produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber.
  • the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma.
  • the electron density in the lower zone plasma is about 5 ⁇ 10 9 cm ⁇ 3 or less, and is less than the electron density in the upper zone plasma.
  • the controller may also be designed or configured to apply a bias to one or more grids of the grid assembly and/or to the substrate holder.
  • the controller may also be designed or configured to deliver an etchant gas to the chamber.
  • the controller is designed or configured to provide a pressure of less than about 2000 mTorr in the chamber while the plasma etches the substrate. In certain cases, however, the controller is designed or configured to provide a lower pressure in the chamber during etching, such as a pressure less than about 200 mTorr. In other cases, the controller may be designed or configured to maintain a pressure in the reaction chamber between about 1-20 mTorr, or between about 5-20 mTorr. The controller may also be designed or configured to provide a combination of conditions within the apparatus that produce an ion-ion plasma in the lower sub-chamber.
  • At least one grid of the grid assembly may have an average thickness of between about 1-50 mm, or between about 5-20 mm. In certain embodiments, the sum of thicknesses of the grids in the assembly is between about 2 and 50 mm.
  • the slots are radially directed or roughly radially directed.
  • the slots in at least one grid of the grid assembly may have an aspect ratio between about 0.01-5. In some embodiments the aspect ratio of the slots is between about 0.3-5, between about 0.5-2, or between about 1-4.
  • the slots are often arranged such that they extend roughly radially outwards. Azimuthally adjacent slots are sometimes separated by at least about 15°. In these or other cases, azimuthally adjacent slots may be separated by no more than about 60°.
  • the plasma generator in certain embodiments includes a coil disposed above a ceiling of the chamber.
  • the substrate holder is an electrostatic chuck.
  • the apparatus may also include a process gas inlet.
  • the apparatus may include a vacuum connection.
  • the plasma grid assembly includes a first grid and a second grid.
  • the first and second grid may have slots that are substantially identical, or they may have slot shapes and/or layouts that are different between the two grids.
  • At least one of the first and second grids may be rotatable with respect to the other grid. This rotation may occur about an axis normal to an upper surface of the substrate holder.
  • the first and second grid may have slot patterns allowing plasma conditions in the lower sub-chamber to be radially tuned.
  • at least one of the grids may be movable such that the distance between the first and second grids is variable.
  • the aspect ratio of the assembly slots may be between about 0.1-5 in certain embodiments.
  • a method for etching a feature on a substrate including providing the substrate to a substrate holder in a chamber with a plasma generator and a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder, where the grid assembly has at least two grids, and where the upper sub-chamber has a height that is at least about 1 ⁇ 6 that of the lower sub-chamber; generating a plasma in the chamber under conditions that produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber; etching the feature in the substrate by interaction of the lower zone plasma with the substrate.
  • the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma. In some embodiments, the electron density in the lower zone plasma is about 5 ⁇ 10 9 cm ⁇ 3 or less, and is less than the electron density in the upper zone plasma.
  • substantially no current is generated in the grids of the grid assembly when generating the plasma.
  • the method may also include applying a bias to the grid, and/or applying a bias to the substrate holder.
  • the method also includes providing an etchant gas to the chamber.
  • the etching may be performed at a chamber pressure of less than about 2000 mTorr, and in some cases the etching is performed at a chamber pressure between about 1-200 mTorr, or between about 1-20 mTorr, or between about 5-20 mTorr.
  • the lower zone plasma may be an ion-ion plasma, as described herein.
  • the method may also include rotating at least one grid of the grid assembly.
  • the method may also include changing a distance between the grids along a central axis in the grid assembly.
  • rotational and/or translational adjustments such as these are performed prior to beginning to etch a particular layer of a partially fabricated semiconductor device or other structure. In certain implementations, however, these adjustments may be made during the etching process.
  • FIG. 1 is a schematic cross-sectional diagram illustrating a plasma processing system utilized for etching operations in accordance with certain embodiments disclosed herein.
  • FIG. 2A is a simplified top-down view of a grid structure in accordance with certain embodiments disclosed herein.
  • FIG. 2B is a picture of a grid structure in accordance with certain embodiments herein.
  • FIGS. 3A-3B illustrate a pair of plasma grids that may be used to radially tune plasma conditions in the lower sub-chamber.
  • FIGS. 3C-3D illustrate a pair of plasma grids having C-shaped slots according to an embodiment herein.
  • FIGS. 3E-3F illustrate close-up views of a grid assembly and the trajectory of ions through the assembly when the perforations in the top and bottom grids are aligned ( FIG. 3E ) and when they are not aligned ( FIG. 3F ).
  • FIG. 4 illustrates a simplified representation of a processing chamber having a fixed plasma grid positioned over a movable plasma grid in accordance with an embodiment herein.
  • FIG. 5 shows a simplified representation of a processing chamber having a movable plasma grid over a fixed plasma grid in accordance with an embodiment herein.
  • FIGS. 6A-6C illustrate certain problems that arise due to etching byproduct dissociation.
  • FIGS. 7A-7B show SEM images of FinFET structures that have been etched according to a high pressure conventional technique ( 7 A) and according to an embodiment using a plasma grid ( 7 B).
  • FIGS. 8A-8B show SEM images of features etched according to a low pressure conventional technique ( 8 A) and according to a presently disclosed embodiment using a plasma grid ( 8 B).
  • FIG. 9 shows various SEM images of features that have been etched according to various regimes without the use of a plasma grid.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to devices on a semiconductor wafer during any of various stages of integrated circuit fabrication thereon.
  • the following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • the apparatus is defined by a chamber in which etching is performed.
  • the chamber includes a planar window, a generally planar excitation coil, and a pedestal or chuck for holding the semiconductor substrate during etching.
  • this disclosure is not limited to any particular type of plasma source.
  • planar excitation coils dome and plate plasma sources may be employed.
  • Sources include inductively coupled plasma sources, capacitively coupled plasma sources, and others known to those of skill in the art.
  • the embodiments herein utilize a grid positioned within the chamber that separates the chamber into two sub-chambers.
  • each sub-chamber contains a plasma having distinct properties. Plasma is primarily or exclusively generated in the upper sub-chamber, and certain species are able to pass unaffected through the grid or grid assembly into the lower sub-chamber.
  • the grid has slots, which penetrate the thickness of the grid. In certain implementations, these slots extend roughly radially outward. As used herein, “extending roughly radially outwards” means that the feature being discussed has at least some radially directed component. In other words, the entire feature need not be overall radially directed, so long as there is some part of the feature that extends in a generally center-to-edge direction. Further, the “center-to-edge direction” is defined to include a range of angles around the true center-to-edge direction (e.g., within about 20° of the true center-to-edge direction).
  • the grid or grid assembly may contain multiple radial slots that penetrate the thickness of the grid.
  • the grid and slots are designed such that only a fraction of high energy electrons in the upper sub-chamber may pass through the grid. Collectively, the higher energy electrons generally become lower energy “colder” electrons upon passing through the grid and entering the lower sub-chamber. While high energy electrons may have sufficient energy to pass through the grid, many of them approach the grid at an angle at which they collide with the grid and lose energy. The high energy electrons that do make it through the grid do not have enough energy collectively to sustain the plasma underneath grid because they are now isolated from the excitation source.
  • the mechanisms for the hot electrons to become cold in the lower chamber include collision with the grid, collisions with neutral species under the grid and shielding of the electrons under the grid from the excitation source above the grid.
  • the grid may produce a plasma in the lower sub-chamber having a low electron density (n e ) and low average effective electron temperature (T e ).
  • the plasma is typically a conventional electron-ion plasma, in which a very large fraction of the negatively charged species are electrons.
  • the plasma contains a much higher percentage of negative ions and in fact may be an ion-ion plasma. Certain characteristics of an ion-ion plasma are described below.
  • the ion-ion plasma contains a significantly higher proportion of negatively charged species that are ions (rather than electrons).
  • the grid or grid assembly is positioned inside the plasma chamber, thereby separating the chamber into an upper sub-chamber and a lower sub-chamber.
  • a chamber suitable for modification to include a grid as described herein is a Kiyo Reactor from Lam Research Corporation of Fremont, Calif.
  • the grid is positioned between about 1-6 inches above the interior base of the reaction chamber, or between about 1-6 inches (e.g., between about 1.5-3 inches) above a substrate supporter such as a pedestal.
  • the grid may be positioned between about 1-6 inches (e.g., between about 1.5-3 inches) below the interior ceiling of the reaction chamber.
  • the ceiling is often outfitted with a dielectric window.
  • the heights of the upper and lower sub-chambers are substantially the same (e.g., within about 5%), while in other embodiments these heights may differ more considerably.
  • the ratio of the height of the upper chamber to the height of the lower chamber (h u /h l ), also referred to as the sub-chamber height ratio, may be between about 0.1-10, or between about 0.2-5. In some embodiments, the sub-chamber height ratio is greater than about 1 ⁇ 6.
  • the grid should not be positioned too close to the wafer, as this may cause printing of the grid to occur on the wafer's face.
  • the pattern of slots in the grid may undesirably appear on the face of the wafer after processing, causing severe etch non-uniformity on the substrate surface.
  • a separation distance of at least about 1 inch from the top of the substrate to the grid is sufficient.
  • the grid is a fairly simple thin sheet of material having slots, generally circular holes, or other perforations allowing some electrons to pass from the upper sub-chamber to the lower sub-chamber.
  • the grid may consist of a more complex grid assembly having multiple components.
  • the grid assembly may have multiple grids, support elements and/or movement causing elements.
  • the grid is a relatively thin sheet having slots. Additionally, in some embodiments, the grid may include holes. Thus, the grid includes holes and slots in combination. Non-limiting examples of grid structures are shown in FIGS. 2A-2B and 3A-3D .
  • the material contained in the grid may be an insulator, conductor, or some combination thereof.
  • the grid contains one or more materials including, but not limited to, metals, metallic alloys such as stainless steel, aluminum, titanium, ceramic, silicon, silicon carbide, silicon nitride, and a combination thereof. The material may or may not be anodized or otherwise passivated for, e.g., corrosion resistance.
  • the grid is made from a metallic material having a ceramic coating.
  • a coated grid is especially beneficial where the layers being etched are volatile.
  • a grid may be coated with a pure coating including, but not limited to, coatings of Y 2 O 3 , YF 3 , YAG, titanium nitride, or CeO 2 , for example.
  • the grid may be grounded, floating or biased. In some implementations, a grounded grid acts as an enhanced bias current return for the cathode.
  • the grid generally spans an entire horizontal cross-section of the chamber. Where the chamber is circular (as viewed from above), the grid will also be circular. This allows the grid to effectively divide the reaction chamber into two sub-chambers.
  • the circular shape of the grid is defined by to the geometry of the substrate, which is typically a circular wafer. As is well known, wafers typically are provided in various sizes, such as 200 mm, 300 mm, 450 mm, etc. Other shapes are possible for square or other polygonal substrates or smaller substrates, depending on the etching operations performed within chamber. Thus, the cross-section of the grid may have a variety of shapes and sizes. A flat planar grid cross-section is appropriate for some embodiments.
  • the grid may on average be between about 1-50 mm thick, preferably between about 5-20 mm thick. If the grid is too thick, it may not function correctly (e.g., it may block too many species from getting through, have too much mass, take up too much space in the reaction chamber, etc.). If the grid is too thin, it may not be able to withstand the plasma processing, and may need to be replaced fairly often. Typically, the thickness of the grid is also limited by the desired aspect ratio of the slots in the grid, as the height of the slots is determined by the grid thickness, as described below.
  • the grid functions as a separator between an upstream and a downstream plasma, where the downstream plasma exists in the lower sub-chamber and may be radical rich.
  • a plasma chamber outfitted with a grid may produce a result similar to that accomplished with existing remote plasma tools such as the GAMMATM platform tools available from Novellus Systems, now Lam Research Corporation of Fremont, Calif.
  • the grid When operated for this purpose, the grid may be relatively thick, e.g., about 20-50 mm thick.
  • the grid includes slots that have a long, thin shape.
  • the slots extend radially outwards from the center of the grid.
  • the slots have a height, width and length (the width and length are explicitly labeled in FIG. 2A ).
  • the slot height is measured along an axis perpendicular to the face of the grid (i.e., the slot height is oriented vertically in most operating configurations), and this height is generally equal to the thickness of the grid.
  • the width of the slots may be variable or constant over the radial extent of the slots.
  • the slots may be pie-shaped (i.e., thinner towards the center and thicker towards the edge of the grid).
  • the slots extend length-wise outwards from the center of the grid (i.e., radially). In some embodiments, the slot widths are no greater than about 25 mm.
  • the length of the slots may be variable or constant around the azimuthal extent of the grid.
  • the angular separation of the radial slots may be variable or constant around the grid.
  • the aspect ratio of a slot is defined as the ratio of the slot's height to its width (h/w). Typically, the geometry of this aspect ratio will be viewable as a cross-section taken perpendicular to the lengthwise direction of the slot (often radial). Because the width of the slots may be variable, the aspect ratio may be similarly variable. In certain embodiments, the slots' aspect ratio (which may be variable or constant throughout the grid) is between about 0.01-5, or between about 0.3-5, or between about 1-4, or between about 0.5-2. In many embodiments, grids having these aspect ratios reduce the electron density and effective electron temperature in the lower sub-chamber, as compared to the upper sub-chamber.
  • the effective electron temperature is reduced as electrons pass through the slots at least in part because a number of hot electrons are colliding with the grid. Further, the effective electron temperature in the lower sub-chamber is reduced compared to the upper sub-chamber because the electrons in the lower sub-chamber are shielded by the grid and therefore are not subject to inductive heating from the plasma coils (or other plasma source).
  • the holes When holes are employed together with slots, the holes may serve the same purposes as the slots. Therefore they will generally have aspect ratios as set forth above. In some embodiments, the holes have a diameter in the range of about 0.05 inches to about 0.2 inches. They penetrate the full thickness of the grid.
  • An additional benefit provided by the grid is that it may neutralize convective flow effects from the main injector. This allows for a more uniform gas flow onto the face of the wafer.
  • the presence of a grid or grid assembly between the wafer and the gas injector(s) in the upper chamber can significantly reduce the convective impact of any gas delivered out of the gas injector(s) because the grid will disrupt the gas flow and result in a more diffusive flow regime over the wafer.
  • the grid contains gas delivery holes.
  • the grid may serve the additional purpose of being a showerhead for the upper and/or lower sub-chambers.
  • one or more channels may be included in one or more grids. These channels may be fed with gas from an inlet (or multiple inlets), and deliver the gas to a plurality of outlet holes in the grid(s). The outlet holes may form gas distribution showerheads that deliver process gasses to either or both of the upper and lower sub-chambers.
  • the grid has a region such as a central region containing a feature for allowing a probing apparatus to be disposed through the grid.
  • the probing apparatus can be provided to probe process parameters associated with the plasma processing system during operation. Probing processes can include optical emission endpoint detection, interferometeric endpoint detection, plasma density measurements, ion density measurements, and other metric probing operations.
  • the central region of the grid is open. In other embodiments, the central region of the grid contains an optically clear material (e.g., quartz, sapphire, etc.) to allow light to be transmitted through the grid.
  • a slot in the grid about every 15 mm to 40 mm near the outer edge of the grid for a 300 mm wafer etcher. This corresponds to azimuthally adjacent slots being separated by about 18°, or about 48°, respectively. As such, in certain embodiments, azimuthally adjacent slots are separated by at least about 10°, or at least about 15°. In these or other embodiments, azimuthally adjacent slots are separated by no more than about 40°, or no more than about 50°, or no more than about 60°.
  • the plasma grid may include cooling channels embedded in the grid, and these cooling channels may be filled with a flowing or non-flowing coolant material.
  • the cooling material is a fluid such as helium or other inert gas or a liquid such as DI water, process cooling water, fluoroinert, or a refrigerant such as perfluorocarbons, hydrofluorocarbons, ammonia and CO2.
  • the plasma grid may include embedded heating elements and/or a temperature measurement device. The cooling channels and embedded heaters allow for precise temperature control, which permit close control over the particle and wall conditions. This control may be used to tune the conditions in the lower zone plasma, in certain cases.
  • the grid or grid assembly may be maintained hot (e.g., above 80° C.) to reduce the deposition on the grid and ensure that the chamber can remain relatively clean and/or reduce the time required to clean the chamber during waferless auto clean (WAC).
  • WAC waferless auto clean
  • the grid may act as a showerhead for delivering process gases to either or both of the upper and lower sub-chambers.
  • the grid may contain a plurality of channels which connect a gas supply source with the upper and/or lower sub-chambers.
  • the showerhead holes may be arranged to provide uniform gas delivery into the sub-chambers.
  • more than one gas supply source is used.
  • different process gases may be delivered to the upper and lower sub-chambers (either through one or more showerhead-type grids or by other gas delivery means).
  • an inert gas is delivered to the upper sub-chamber
  • plasma etching chemistry is delivered to the lower sub-chamber.
  • the gas delivered to the upper sub-chamber is H 2 , N 2 , O 2 , NF 3 , or C 4 F 8 or another fluorocarbon, though the embodiments are not so limited.
  • the gas delivered to the lower sub-chamber may be N 2 , CO 2 , or CF 4 or another fluorocarbon, though again, the embodiments are not so limited.
  • a plasma processing reactor allowing a wide range of plasma conditions adjacent to the work substrate. Such conditions include the plasma density, the effective electron temperature in the plasma, and the ratio of electrons to ions in the plasma.
  • plasma density For in situ processing, where multiple layers are being processed in a chamber, it may be necessary to change the processing conditions for each layer.
  • a fixed position grid may limit the operating window of the reactor, e.g. high plasma density may not be achievable if the grid is optimized for producing an ion-ion plasma in the lower sub-chamber. Therefore, certain embodiments provide grids and grid assemblies in which grid line of sight open area is tunable by rotation and/or translation.
  • the plasma grid can be raised or lowered with respect to the plasma coils or other plasma source. This may be accomplished by mounting the grid on a movable stage, for example.
  • the vertical movement allows an operator or controller to change the effective electron temperature, the electron or plasma density, the ratio of electrons to ions, the concentration of radicals, etc. in the upper and lower zone plasmas.
  • the concentration of radicals is affected by the height of the plasma grid, the use of a movable plasma grid allows for a process where the concentration of radicals is tunable throughout a multi-stage process. As radical species are chemically reactive and negative ions have different etch properties than electrons, this parameter is especially useful to tune/control to achieve a desired reaction.
  • multiple plasma grids may be used in a single grid assembly in the reaction chamber. Where multiple grids are used, the number of grids is typically between about 2 and 5. Often, where multiple plasma grids are used, at least one of the plasma grids is movable with respect to at least one other plasma grid. Generally, the movement is accomplished either by rotating or separating the grids (in some cases both types of movement are used).
  • the use of a rotatable grid in a grid assembly allows the grid open area to be easily varied both between different processes/wafers, and within a single process/wafer over the course of processing the wafer. Importantly, the effective electron temperature and electron density in the lower sub-chamber will be a function of the grid open area.
  • An assembly slot or other opening is an area of the assembly, as viewed from above, where an opening in one grid is aligned with an opening in the other grid(s), thus creating a clear line of sight through the plasma grid assembly, as shown in FIG. 3E . Where the slots/holes in the grids do not align, there is no clear line-of-sight through the grid assembly, as shown in FIG. 3F .
  • upper grid 302 is positioned above lower grid 304 .
  • the dark areas below each of the grids 302 and 304 are open areas through which species may travel. In a specific embodiment, the dark area below lower grid 304 is an upper portion of the lower sub-chamber.
  • the geometry of the assembly opening varies as the individual grids move with respect to one another.
  • the width of an assembly slot may vary as a first grid rotates with respect to a second grid.
  • the aspect ratio of the assembly slots defined as total distance between the top of the top grid and bottom of the bottom grid divided by the line of sight open width, may vary as the grids rotate or otherwise move with respect to one another. In some embodiments, the aspect ratio of the assembly slots may range between about 0.1-5.
  • the grid assembly open area is defined as the total area of the slots on the grid assembly where the slots are aligned (as viewed from a plane parallel to the face of the grid).
  • certain species in the plasma especially charged species such as ions and electrons
  • This misaligned arrangement of slots effectively increases the aspect ratio of the slots in the assembly, or eliminates the assembly slots altogether where there is no slot overlap, reducing the fraction of hot electrons passing from the upper sub-chamber to the lower sub-chamber.
  • the slots are aligned as in FIG. 3E , however, plasma species can pass through the slots as described above.
  • each plasma grid has about 50% open (slotted) area.
  • the grid assembly open area may vary between 0% (when the individual plasma grids are completely misaligned) and about 50% (when the individual plasma grids are exactly aligned).
  • each plasma grid has an open area of about 75%. In this case, the grid assembly open area may vary between about 50-75%.
  • the effective electron temperature in the lower zone plasma is higher, the electron density in the lower zone plasma is higher, the ratio of electrons to ions in the lower zone plasma is higher, and the concentration of radicals in the lower zone plasma is lower, as compared to when the grid assembly open area is smaller.
  • the use of multiple grids is particularly beneficial because it provides a wide process window of plasma densities and other plasma conditions over the wafer in a single processing station. This benefit is especially helpful when processing complicated structures having multiple layers and/or multiple types of exposed materials. As mentioned, it is often necessary to change the processing conditions for each layer processed.
  • the slot pattern on each plasma grid may be the same or different from the other plasma grid slot pattern.
  • the slot patterns may be designed to provide open area over particular areas of the wafer.
  • the slots may be designed such that there is more open area near the center of the wafer compared to the edge of the wafer (or vice versa).
  • the slots may be designed such that the grid assembly open area is concentrated on different parts of the wafer at different times during the process.
  • the slots may be designed such that the grid assembly open area is concentrated near the center of the wafer towards the beginning of the process, and near the edge of the wafer towards the end of the process (or vice versa).
  • This rotation allows the gas flow, plasma density, plasma type (e.g., ion-ion plasma), and effective electron temperature, to name a few parameters, to be tuned radially over the wafer over the course of the process.
  • This tunability may be beneficial in producing uniform etch results over the entire face of the wafer, and may be particularly helpful in addressing center-to-edge non-uniformities that otherwise arise during processing.
  • FIGS. 3A-3B An example of a pair of electron grids that may be used in a plasma grid assembly to achieve these radial tuning effects is shown in FIGS. 3A-3B . In these figures, the slots (open area) are shown in gray and the grid material is shown in white.
  • distances that might be tuned include the distance between the wafer and the lower grid, the distance between the top of the upper sub-chamber and the upper grid, and/or the distance between the grids. These variable distances allow a wider range of electron temperature and plasma density tuning above the wafer compared to a single fixed grid.
  • Certain implementations utilize a plasma grid assembly having both movable and fixed plasma grids.
  • the grids may be grounded or electrically floating, and may be supported by support legs or other feature connected to a movement causing element such as a rotational actuator or lifter.
  • the movement causing element is positioned below the wafer and wafer support pedestal, though other placements may be used.
  • the support legs may be conductive or insulating, depending on whether the grid being supported is grounded or electrically floating.
  • the fixed grid is grounded.
  • the grounded connection of the fixed grid provides a good ground path for any RF current flowing to the grid from the upper chamber excitation source. This may be especially useful where the upper chamber is excited by an ICP source and is less than about 5 cm in height, or where the upper-zone plasma is generated using a VHF CCP source.
  • the grounded connection provides a large ground return surface for the bias current in the lower-zone plasma. This may be especially desirable where large bias voltages (e.g., greater than about 100 V) are required on the wafer during the etch process.
  • the optimal electrical connection of the movable grid may depend on the relative position of the fixed and movable grids. Where the fixed grid is positioned above the movable grid, it may be beneficial for the movable grid to be electrically floating. In contrast, where the fixed grid is positioned under the movable grid, the movable grid may be either grounded or floating. Where the movable grid is grounded, the support structure should be conductive (e.g., metallic). Where the movable grid is electrically floating, the support structure should be insulating.
  • the fixed grid When the fixed grid is positioned below the movable grid, the fixed grid may have arc shaped slots (or other slots allowing for arc-like movement across the slots) to allow the movable grid supports to extend through the fixed grid in order to connect the supports with the movement causing element.
  • this implementation is shown in FIG. 5 .
  • the movable grid may be supported by movable support structures extending inwards from the periphery of the reaction chamber, or it may be supported by a structure that connects with the top of the reaction chamber. Whatever implementation is used, the support structure should be designed such that it does not interfere with the formation of the upper and lower zone plasmas as desired. Further, it is desirable to keep the actuators for moving the grids well below the wafer plane such that there is minimal risk of particle transport from the actuators to the wafer.
  • the grid assembly can be biased using DC or RF sources. If the grid assembly has multiple conductive grids, it is desirable to bias them together to the same potential. Alternatively, the grid assembly may consist of only one conductive grid and one or more floating/insulating grids where only the conductive grid is biased.
  • the grid effectively divides the chamber plasma two zones: an upper zone proximate the coils for generating the plasma and a lower zone proximate the substrate holder.
  • the plasma in the upper zone contains relatively “hot”, high-energy electrons. Often, this plasma is characterized as an electron-ion plasma.
  • the plasma in the lower zone contains relatively “cold”, low-energy electrons. Often, this lower zone plasma is characterized as an ion-ion plasma.
  • Plasma may be generated primarily or exclusively in the upper sub-chamber.
  • an inductively coupled plasma is generated in the upper sub-chamber by running current through coils located above the upper sub-chamber. A single coil or multiple coils may be employed.
  • a capacitively coupled plasma is generated using, for example, a VHF CCP source. The plasma in the upper sub-chamber will have distinctly different characteristics from the plasma in the lower sub-chamber due to the presence of the grid.
  • the upper zone plasma is a conventional electron-ion plasma.
  • most of the positively charged species are positive ions and most of the negatively charged species are electrons. Although negative ions exist, they are present only in relatively low concentrations.
  • the plasma in the lower sub-chamber is an ion-rich plasma, often an ion-ion plasma.
  • the ion-ion plasma has a greater proportion of negatively charged species that are negative ions, and a lower proportion of negatively charged species that are electrons.
  • the ratio of the concentration of positive ions to the concentration of electrons (sometimes referred to as the positive ion to electron ratio, n i /n e ) in the ion-ion plasma is about 2 or greater, and in some cases is about 5 or greater, or even about 10 or greater. In certain cases, the positive ion to electron ratio is at least about 2 times greater (e.g., at least 5 times greater) in the lower plasma than in the upper plasma.
  • the upper zone plasma has a significantly higher electron density.
  • the electron density in the lower zone plasma may be about 5 ⁇ 10 9 cm ⁇ 3 or less (e.g., about 1 ⁇ 10 9 cm ⁇ 3 or less). These ranges are particularly applicable to electron negative processing gases.
  • the upper zone plasma may have an electron density that is at least about 10 times greater (e.g., at least about 100 times greater, or at least about 1000 times greater) than that of the lower zone plasma.
  • the lower sub-chamber has an ion-ion plasma where electron density is at least an order of magnitude smaller than the negative ion density and positive ion density.
  • Ne ⁇ 10 8 cm ⁇ 3 , Ni+ ⁇ 10 9 cm ⁇ 3 , Ni ⁇ ⁇ 10 9 cm ⁇ 3 .
  • the lower zone plasma will typically have a higher ratio of negative ions to positive ions. Because the upper zone electron-ion plasma typically contains primarily positive ions and electrons, with relatively few negative ions, the negative ion:positive ion ratio will be low.
  • the negative ion:positive ion ratio in the lower zone plasma may be between about 0.5-1 (e.g., between about 0.8-0.95).
  • relatively low concentration of electrons in the lower zone plasma is that the electrons initially present in the lower zone (e.g., the electrons passing from the upper zone to the lower zone through the grid) are generally not heated by RF fields and quickly lose energy due to inelastic collisions with gas molecules, resulting in low effective electron temperature. These low energy electrons are more likely (as compared to the high energy electrons in the upper zone plasma) to interact with a neutral species to produce negative ions. Electrons must have a relatively low energy to attach to neutrals and form negative ions. This negative ion production will not occur with high energy electrons, which may “kick off” another electron when colliding with the neutral species instead of combining to form a negative ion.
  • the effective electron temperature is greater in the upper zone plasma as compared to the lower zone plasma. Electrons may be cooled as they pass through the slots in the grid. Typically, the effective electron temperature in the lower zone plasma is about 1 eV or less. In certain cases, the effective electron temperature in the lower zone plasma may be between about 0.1-1 eV (e.g., between about 0.2-0.9 eV). The effective electron temperature may be at least about two times greater (e.g., at least about three times greater) in the upper zone plasma than in the lower zone plasma, as measured in electron volts. In a particular implementation, the upper zone plasma has an effective electron temperature of about 2.5 eV and the lower zone plasma has an effective electron temperature of about 0.8 eV. In various embodiments, this difference in the effective electron temperature arises wholly or partially from the presence of the grid.
  • the grid may partially shield the lower sub-chamber such that the charged species therein are not directly exposed to power from the plasma coils. Additionally, the particular aspect ratios of the slots in the grid cause a fraction of the high energy electrons to collide with the grid when passing through the slots. This produces two qualitatively different plasmas in the two plasma zones.
  • the plasma potential in the upper chamber is generally higher than in the lower chamber.
  • the plasma potential in the upper plasma may be between about 8-35 V (e.g., between about 10-20 V), while the plasma potential in the lower plasma may be between about 0.4-10 V (e.g., between about 0.5-3 V). This is because the electron energy has dropped and so the plasma does not need to be as positive to prevent electrons from leaving it.
  • the two plasmas will typically have different energy distribution functions (e.g., ion energy distribution function and electron energy distribution function). Both the electron and ion energy distribution functions will be narrower in the lower plasma and broader in the upper plasma.
  • the ion energy distribution function for the lower plasma might have a full width half maximum of only about 5 V.
  • negative current can be drawn from negative ions, which arrive at the substrate surface to maintain electro-neutrality (instead of electrons serving this purpose). This provides a unique etching mechanism.
  • the radical concentration in the lower zone plasma may range between about 1% of total neutral density to about 70% of the total neutral density, or about 10% to about 70% of the total neutral density or about 10% to about 50% of the total neutral density.
  • the chamber pressure during the etch operation may be below about 2000 mTorr, such as between about 1-2000 mTorr (e.g., between about 2-200 mTorr). In one particular example, the chamber pressure is maintained at or below about 20 mTorr. These pressures are particularly useful when employed with a lower zone plasma having an effective electron temperature of about 0.5 eV or lower and/or an electron density of about 5 ⁇ 10 8 cm ⁇ 3 or lower. These pressures are also particularly useful when employed with a lower zone ion-ion plasma.
  • Ion-ion plasmas are believed to provide certain benefits for semiconductor processing. For example, partially fabricated semiconductor devices etched in an ion-ion plasma show very good selectivity, profile angle, VD loading, and overall uniformity across the face of the substrate being etched. Previous techniques could not achieve all of these benefits (i.e., process designers had to choose between, for example, achieving good overall etch uniformity and the other benefits). Thus, the embodiments herein represent a significant advancement in etching methodology.
  • FIGS. 6A-6C illustrate the effect of the breakdown of etching byproducts on a feature being etched.
  • FIG. 6A shows a substrate having three layers deposited thereon. The bottom layer represents a gate oxide, the middle layer represents polysilicon, and the top layer (shown as three separate blocks) represents a hard mask. It is believed that in a conventional etching process, the plasma present in the chamber acts, in part, to dissociate etching byproducts, as shown in FIG. 6B . These byproducts are often volatile components (e.g., SiBr 4 ), which under the right conditions are swept away from the substrate.
  • volatile components e.g., SiBr 4
  • the wafer is biased during processing. This is accomplished by applying a bias to the electrostatic chuck used to hold/support the wafer. Because the wafer is exposed to a low T e , low electron density plasma (such as an ion-ion plasma) in the lower sub-chamber, bias may be applied to the chuck in a way that captures/encourages the unique benefits of the ion-ion plasma. Further, the bias may be applied in a manner that avoids the formation of an electron-ion plasma in the lower sub-chamber. For example, the bias may have a frequency and power appropriate to prevent conversion of an ion-ion plasma to an electron-ion plasma.
  • the RF bias may have a frequency below 30 MHz, preferably between about 100 kHz to about 13.56 MHz, to reduce the amount of electron heating generated by the application of bias power to the substrate.
  • the bias (regardless of frequency) is pulsed in the range of about 1 Hz to about 10 kHz with a duty cycle of between about 1% and 99%.
  • the plasma potential is fairly high and positive, as described above. This plasma potential effectively limits the ability of electrons to escape the plasma.
  • the lower zone plasma typically has an unconventionally low electron density and temperature and therefore requires a much lower plasma potential to effectively confine its electrons.
  • the low plasma potential opens the operating window, optionally allowing negative ions present in the ion-ion plasma to accelerate towards and strike the wafer during the bias waveforms' positive cycle. This etching regime was previously unobtainable in continuous wave plasmas.
  • the frequency of the bias applied to the electrostatic chuck may be designed to optimize the formation and attraction of ions (particularly but not exclusively negative ions) in an ion-ion plasma.
  • the frequency of the bias applied to the electrostatic chuck is between about 0.1-15 MHz (e.g., between about 400 kHz-13.56 MHz). In a particular example, the bias is about 8 MHz. This frequency may be particularly useful, as it corresponds to the ion transport frequency. Other frequencies may also be used, but may be less effective. For example, frequencies between about 100 kHz-1 MHz may work to some extent, but may be less effective than the higher frequencies cited above.
  • the plasma sheath over the wafer can operate to alternatively pull negative ions and positive ions out of the plasma and accelerate them towards the face of the wafer.
  • the plasma sheath will attract negative ions in a positive cycle and then positive ions in a negative cycle, and these cycles repeat with the AC bias.
  • this negative ion attraction was not possible before implementation of the present embodiments because the plasma potential was too high, thereby drowning out any attractive effect from the relevant half of the AC bias cycles.
  • the bias may be applied in pulses.
  • pulsing is not needed for many cases.
  • the present embodiments achieve a stable ion-ion plasma above the wafer during the entire etching process.
  • the bias on the chuck/wafer does not need to be pulsed to achieve the benefits described herein.
  • the bias may nevertheless be applied in pulses, such as to reduce the etch rate or the amount of ion bombardment of the substrate to increase the selectivity of the etch to the under-layer.
  • Bias pulsing in ion-ion plasmas can be particularly beneficial by enhancing selectivity when alternating between ions and radicals.
  • pulsing may partition the flux of ions and radicals to the substrate surface (pulse on: radicals+ions ⁇ pulse off: radicals only).
  • the apparatus and plasma conditions disclosed herein may be used to etch any of a variety materials such as silicon (including polycrystalline, amorphous, single crystal, and/or microcrystalline silicon), metals (including but not limited to TiN, W, TaN, etc), oxides and nitrides (including but not limited to SiO, SiOC, SiN, SiON, etc.), organics (including but not limited to photoresists, amorphous carbon, etc), and a variety of other materials including, but not limited to, W, Pt, Ir, PtMn, PdCo, Co, CoFeB, CoFe, NiFe, W, Ag, Cu, Mo, TaSn, Ge2Sb2Te2, InSbTe Ag—Ge—S, Cu—Te—S, IrMn, Ru.
  • silicon including polycrystalline, amorphous, single crystal, and/or microcrystalline silicon
  • metals including but not limited to TiN, W, TaN, etc
  • oxides and nitrides including
  • the concept can be extended to materials like NiOx, SrTiOx, perovskite (CaTiO3), PrCAMnO3, PZT (PbZr1-xTixO3), (SrBiTa)O3, and the like.
  • the apparatus can be used with any gas combination that is available in a present day fabrication facility (including HBr, CO, NH3, CH3OH, and the like).
  • the apparatus and plasma conditions disclosed herein may be employed to etch features in devices or other structures at any technology node.
  • the etch is used during fabrication of in the 20-10 nm nodes or beyond. Etching can before both front end of line fabrication procedures and back end of line fabrication procedures.
  • the etching may provide superior vertical profile, material selectivity, VD loading, and/or wafer center to edge uniformity of better than about 2%.
  • suitable etch applications include shallow trench isolation, gate etch, spacer etch, source/drain recess etch, oxide recess, and hard-mask open etch.
  • a suitable apparatus includes a chamber and electronic hardware for providing and maintaining etching conditions as described herein.
  • Suitable apparatus will also include a system controller having instructions for controlling the hardware to achieve these conditions and for performing a sequence of process operations appropriate for applications such as etching a gate electrode of an FET.
  • the hardware may include one or more process stations included in a process tool.
  • the inductively coupled plasma etching apparatus 100 includes an overall etching chamber structurally defined by chamber walls 101 and a window 111 .
  • the chamber walls 101 are typically fabricated from stainless steel or aluminum.
  • the window 111 is typically fabricated from quartz or other dielectric material.
  • An internal plasma grid 150 divides the overall etching chamber into an upper sub-chamber 102 and a lower sub-chamber 103 . In certain other implementations, a more complex plasma grid assembly is used.
  • the plasma grid assembly may include multiple grids, as well as support structures and movement causing elements, as illustrated in FIGS. 4 and 5 .
  • a chuck 117 is positioned within the lower sub-chamber 103 near the bottom inner surface.
  • the chuck 117 is configured to receive and hold a semiconductor wafer (i.e., “wafer”) 119 upon which the etching process is performed.
  • the chuck 117 can be an electrostatic chuck for supporting the wafer when present.
  • an edge ring (not shown) surrounds chuck 117 , and has an upper surface that is approximately planar with a top surface of a wafer, when present over chuck 117 .
  • the chuck 117 also includes electrostatic electrodes to enable the chucking and dechucking of the wafer.
  • a filter and a DC clamp power supply may be provided for this purpose.
  • Other control systems for lifting the wafer off of the chuck 117 can also be provided.
  • the chuck 117 can be electrically charged using an RF power supply 123 .
  • the RF power supply 123 is connected to matching circuitry 121 through a connection 127 .
  • the matching circuitry 121 is connected to the chuck 117 through a connection 125 . In this manner, the RF power supply 123 is connected to the chuck 117 .
  • a coil 133 is positioned above the window 111 .
  • the coil 133 is fabricated from an electrically conductive material and includes at least one complete turn.
  • the exemplary coil 133 shown in FIG. 1 includes three turns.
  • the cross-sections of coil 133 symbols having an “X” indicate that the coil 133 extends rotationally into the page.
  • the coil 133 symbols having a “•” indicate that the coil 133 extends rotationally out of the page.
  • An RF power supply 141 is configured to supply RF power to the coil 133 .
  • the RF power supply 141 is connected to matching circuitry 139 through a connection 145 .
  • the matching circuitry 139 is connected to the coil 133 through a connection 143 .
  • the RF power supply 141 is connected to the coil 133 .
  • An optional Faraday shield 149 is positioned between the coil 133 and the window 111 .
  • the Faraday shield 149 is maintained in a spaced apart relationship relative to the coil 133 .
  • the Faraday shield 149 is disposed immediately above the window 111 .
  • the coil 133 , the Faraday shield 149 , and the window 111 are each configured to be substantially parallel to one another.
  • the Faraday shield may prevent metal or other species from depositing on the dielectric window of the plasma chamber.
  • Process gases may be supplied through a main injection port 160 positioned in the upper chamber and/or through a side injection port 170 , sometimes referred to as an STG.
  • Gas exhaust ports are not shown.
  • pumps connected to the chamber 101 to enable vacuum control and removal of gaseous byproducts from the chamber during operational plasma processing.
  • one or more reactant gases may be supplied through the injection ports 160 and/or 170 .
  • gas may be supplied only through the main injection port, or only through the side injection port.
  • the injection ports may be replaced by showerheads.
  • the Faraday shield 149 and/or grid 150 may include internal channels and holes that allow delivery of process gas to the chamber. In other words, either or both of Faraday shield 149 and grid 150 may serve as a showerhead for delivery of process gas.
  • Radiofrequency power is applied from the RF power supply 141 to the coil 133 to cause an RF current to flow through the coil 133 .
  • the RF current flowing through the coil 133 generates an electromagnetic field about the coil 133 .
  • the electromagnetic field generates an inductive current within the upper sub-chamber 102 .
  • the inductive current acts on the gas present in the upper sub-chamber 102 to generate an electron-ion plasma in the upper sub-chamber 102 .
  • the internal plasma grid 150 limits the amount of hot electrons in the lower sub-chamber 103 .
  • the apparatus is designed and operated such that the plasma present in the lower sub-chamber is an ion-ion plasma.
  • Both the upper electron-ion plasma and the lower ion-ion plasma will contain positive ions and negative ions, though the ion-ion plasma will have a greater ratio of negative ions:positive ions.
  • the physical and chemical interactions of the various ions and radicals with the wafer 119 selectively etch features of the wafer. Volatile etching byproducts are removed from the lower sub-chamber through an exhaust port (not shown). Importantly, these volatile byproducts are not substantially exposed to hot electrons, and therefore they are not likely to be dissociated into non-volatile “sticky” dissociation products.
  • the chuck disclosed herein operates at elevated temperatures ranging between about 30° Celsius and about 250° Celsius, preferably between about 30-150° Celsius.
  • the temperature will depend on the etching process operation and specific recipe.
  • the chamber 101 will also operate at pressures in the range of between about 1 mTorr and about 95 mTorr, or between about 5-20 mTorr.
  • chamber 101 is typically coupled to facilities when installed in a clean room, or a fabrication facility.
  • Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to chamber 101 , when installed in the target fabrication facility.
  • chamber 101 may be coupled to a transfer chamber that will enable robotics to transfer semiconductor wafers into and out of chamber 101 using typical automation.
  • FIGS. 2A-2B and 3A-3D show examples of internal plasma grids in accordance with the embodiments herein.
  • each grid may have slots that extend radially outward or roughly radially outward.
  • the slots may have a more unusual non-linear shape, as shown in FIGS. 3C-3D .
  • the slots shown in FIG. 2B have an aspect ratio that is suitable for creating an ion-ion plasma in the lower sub-chamber, as described above.
  • the slots shown in FIGS. 2A and 3A-3D may not be drawn to scale.
  • a system controller (which may include one or more physical or logical controllers) controls some or all of the operations of an etching chamber.
  • the system controller may include one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.
  • the system control software may include instructions for controlling the timing of application and/or magnitude of any one or more of the following chamber operational conditions: the mixture and/or composition of gases, chamber pressure, chamber temperature, wafer temperature, the bias applied to the wafer, the frequency and power applied to coils or other plasma generation components, wafer position, wafer movement speed, grid position, grid movement speed, and other parameters of a particular process performed by the tool.
  • System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable computer readable programming language.
  • system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller.
  • the instructions for setting process conditions for an etching phase may be included in a corresponding etching recipe phase, for example.
  • the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • Examples of programs or sections of programs for this purpose include a substrate positioning program, a grid assembly positioning program, a process gas composition control program, a pressure control program, a heater control program, and an RF power supply control program.
  • the controllers control gas concentration, wafer movement, grid movement, and/or the power supplied to the coils and/or electrostatic chuck.
  • the controller may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas streams that provide the necessary reactant(s) at the proper concentration(s).
  • the wafer movement may be controlled by, for example, directing a wafer positioning system to move as desired.
  • the grid movement may be controlled by directing movement causing elements (e.g., a rotational actuator, lifter and/or other movement causing component) to position the grid assembly as desired.
  • the controller directs the rotational actuator to rotate one or more plasma grids to achieve certain plasma conditions (including but not limited to electron temperature, electron density, ion density, positive ion to electron ratio, etc.) in the lower zone plasma.
  • the controller is configured to achieve different plasma conditions over different parts of the wafer (e.g., the plasma conditions may be radially tuned).
  • the power supplied to the coils and/or chuck may be controlled to provide particular RF power levels to create the desired electron-ion plasma in the upper sub-chamber.
  • the controller may be configured to supply power to an electrostatic chuck under conditions such that an electron-ion plasma does not form in the lower sub-chamber.
  • the controller is configured to maintain an ion-ion plasma (or at least a plasma with a suitably low effective electron temperature and density) in the lower sub-chamber.
  • the controllers may control these or other aspects based on sensor output (e.g., when power, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process) or based on received instructions from a user.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective
  • the presently disclosed methods and apparatus provide for improved etching of partially fabricated devices on semiconductor substrates.
  • the etched product shows good selectivity, profile angle, iso/dense loading, and overall etch uniformity.
  • FIGS. 7A-7B show scanning electron microscope (SEM) images of FinFET structures that have been etched according to a high pressure conventional technique ( 7 A) and according to the present embodiments using a plasma grid ( 7 B).
  • SEM scanning electron microscope
  • FIG. 7A the conventional technique results in significant non-uniformity between the center and edge of the wafer.
  • the I/D loading was large, and there was poor selectivity between the materials.
  • FIG. 7B the use of the plasma grid substantially increases the center to edge uniformity. Further, the I/D loading was much lower, and the selectivity was improved.
  • This experiment was performed on a Si carrier wafer thinned to a thickness representative of the FinFET height and covered with 50% SiN coupons to simulate the etch of a full-patterned wafer.
  • the FinFET structures was over-etched by 65% to minimize the taper in the profile.
  • FIGS. 8A-8B show SEM images of features etched according to a low pressure conventional technique ( 8 A) and according to the present embodiments using a plasma grid ( 8 B).
  • the conventional technique showed relatively poor selectivity between the silicon and oxide, the etched features had a tapered profile, and the I/D loading was poor.
  • the source grid provided improved selectivity (infinite selectivity), a more vertical profile angle, and virtually no VD loading.
  • This experiment was performed on a chip cleaved from a patterned wafer and placed on the center of a carrier wafer.
  • This experiment was performed on a Si carrier wafer thinned to a thickness representative of the FinFET height and covered with 50% SiN coupons to simulate the etch of a full-patterned wafer.
  • FIG. 9 shows various SEM images of features that have been etched according to various regimes without the use of a plasma grid.
  • Two different pressures were used, as well as four different total flow rates.
  • the effective electron temperature (Te) decreases with increasing pressure.
  • Residence time decreases with increasing total flow rate.
  • For each pressure increasing the total flow rate improves the etch results.
  • the high flow cases show better (more vertical) profile angles and improved selectivity (more mask remaining).
  • these improvements are mitigated by poorer VD loading and center to edge uniformity.
  • the results at high flow rates support the belief that certain byproducts and/or dissociation products, when not swept away in gaseous form, may be adhering to feature sidewalls and/or bottoms to produce poor etching results, as illustrated in FIGS. 6A-6C .
  • these byproducts are more effectively swept out of the reaction chamber and are less likely to cause etching defects.
  • the selectivity i.e., the etch rate of Si:etch rate of oxide
  • the selectivity is greater than about 10, or greater than about 100.
  • infinite selectivity may be achieved using the plasma grid in certain cases.
  • the profile angle achieved in many cases is substantially vertical (e.g., over about 89°).
  • the I/D loading was shown to be below about 2°.
  • the center to edge uniformity in various implementations was less than about 2 nm.

Abstract

The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid assembly is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid assembly may include one or more plasma grids having slots of a particular aspect ratio, which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. Where multiple plasma grids are used, one or more of the grids may be movable, allowing for tenability of the plasma conditions in at least the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of and claims priority to U.S. application Ser. No. 14/943,483, titled “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION,” filed Nov. 17, 2015, which is a continuation of U.S. application Ser. No. 13/916,318 (now U.S. Pat. No. 9,245,761), titled “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION,” filed Jun. 12, 2013, which claims the benefit of U.S. Provisional Application No. 61/809,246, titled “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION,” filed Apr. 5, 2013, all of which are incorporated herein by reference for all purposes.
  • BACKGROUND
  • One operation frequently employed in the production of semiconductors is an etching operation. In an etching operation, one or more materials are partly or wholly removed from a partially fabricated integrated circuit. Plasma etching is often used, especially where the geometries involved are small, high aspect ratios are used, or precise pattern transfer is needed.
  • Typically, a plasma contains electrons, as well as positive and negative ions, and some radicals. The radicals, positive ions, and negative ions interact with a substrate to etch features, surfaces and materials on the substrate. In etching conducted with an inductively coupled plasma source, a chamber coil performs a function analogous to that of a primary coil in a transformer, while the plasma performs a function analogous to that of a secondary coil in the transformer.
  • With the move from planar to 3D transistor structures (e.g., FinFET gate structures for logic devices), plasma etching processes need to be increasingly precise and uniform in order to produce quality products. Among other factors, the plasma etch processes should have good selectivity, profile angle, Iso/Dense loading, and overall uniformity.
  • It is beneficial for an etching process to have good selectivity between the material that is etched and the material that is retained. In the context of the FinFET gate structure, this means that there should be good selectivity of the gate being etched to other exposed components such as a silicon nitride mask. The profile angle is measured as the angle between a recently etched (roughly vertical) sidewall and a horizontal plane. In many applications, the ideal profile angle is 90 degrees, producing a vertical etched step or opening. Sometimes, the local on-wafer feature density can affect the etching process. For example, an area of the wafer where features are dense may etch somewhat differently (e.g., etch more quickly, more slowly, more isotropically, more anisotropically, etc.) as compared to an area of the wafer where features are more isolated. The differences which arise due to variations in feature density are referred to as Iso/Dense loading (I/D loading). It is beneficial to minimize these differences during fabrication. In addition to meeting these and potentially other device-specific requirements, the etching process often needs to be consistently executed over the entire face of a substrate (e.g., the etch conditions and results should be uniform from the center to the edge of a semiconductor wafer).
  • It has been found difficult to achieve multiple objectives such as those set forth above when etching advanced structures such as FinFET gates.
  • SUMMARY
  • Disclosed herein is an apparatus used in etching semiconductor substrates and layers formed thereon during the manufacture of semiconductor devices. In one aspect of the embodiments herein, an apparatus for etching a feature on a substrate is provided. The apparatus may include a chamber defining an interior where a plasma can be provided; a substrate holder for holding a substrate in the chamber during etching; a plasma generator for producing a plasma within the chamber; and a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder, where the upper sub-chamber has a height that is at least about ⅙ that of the lower sub-chamber, and where the grid assembly includes two or more grids having a plurality of slots that substantially prevent formation of induced current in the grid when the plasma is produced within the chamber.
  • The apparatus may also include a controller designed or configured to produce the plasma in the chamber under conditions that produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber. In some embodiments, the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma. In some embodiments, the electron density in the lower zone plasma is about 5×109 cm−3 or less, and is less than the electron density in the upper zone plasma. The controller may also be designed or configured to apply a bias to one or more grids of the grid assembly and/or to the substrate holder. The controller may also be designed or configured to deliver an etchant gas to the chamber. In certain cases, the controller is designed or configured to provide a pressure of less than about 2000 mTorr in the chamber while the plasma etches the substrate. In certain cases, however, the controller is designed or configured to provide a lower pressure in the chamber during etching, such as a pressure less than about 200 mTorr. In other cases, the controller may be designed or configured to maintain a pressure in the reaction chamber between about 1-20 mTorr, or between about 5-20 mTorr. The controller may also be designed or configured to provide a combination of conditions within the apparatus that produce an ion-ion plasma in the lower sub-chamber.
  • In certain embodiments, at least one grid of the grid assembly may have an average thickness of between about 1-50 mm, or between about 5-20 mm. In certain embodiments, the sum of thicknesses of the grids in the assembly is between about 2 and 50 mm. In some cases, the slots are radially directed or roughly radially directed. The slots in at least one grid of the grid assembly may have an aspect ratio between about 0.01-5. In some embodiments the aspect ratio of the slots is between about 0.3-5, between about 0.5-2, or between about 1-4. The slots are often arranged such that they extend roughly radially outwards. Azimuthally adjacent slots are sometimes separated by at least about 15°. In these or other cases, azimuthally adjacent slots may be separated by no more than about 60°.
  • The plasma generator in certain embodiments includes a coil disposed above a ceiling of the chamber. In some embodiments, the substrate holder is an electrostatic chuck. Various other elements may be included in the apparatus. For example, the apparatus may also include a process gas inlet. Furthermore, the apparatus may include a vacuum connection.
  • In a particular embodiment, the plasma grid assembly includes a first grid and a second grid. The first and second grid may have slots that are substantially identical, or they may have slot shapes and/or layouts that are different between the two grids. At least one of the first and second grids may be rotatable with respect to the other grid. This rotation may occur about an axis normal to an upper surface of the substrate holder. In certain implementations, the first and second grid may have slot patterns allowing plasma conditions in the lower sub-chamber to be radially tuned. In these or other implementations, at least one of the grids may be movable such that the distance between the first and second grids is variable. The aspect ratio of the assembly slots may be between about 0.1-5 in certain embodiments.
  • In another aspect of the embodiments herein, a method is provided for etching a feature on a substrate, including providing the substrate to a substrate holder in a chamber with a plasma generator and a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder, where the grid assembly has at least two grids, and where the upper sub-chamber has a height that is at least about ⅙ that of the lower sub-chamber; generating a plasma in the chamber under conditions that produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber; etching the feature in the substrate by interaction of the lower zone plasma with the substrate. In some such methods, the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma. In some embodiments, the electron density in the lower zone plasma is about 5×109 cm−3 or less, and is less than the electron density in the upper zone plasma.
  • In some cases, substantially no current is generated in the grids of the grid assembly when generating the plasma. The method may also include applying a bias to the grid, and/or applying a bias to the substrate holder. In certain embodiments, the method also includes providing an etchant gas to the chamber. The etching may be performed at a chamber pressure of less than about 2000 mTorr, and in some cases the etching is performed at a chamber pressure between about 1-200 mTorr, or between about 1-20 mTorr, or between about 5-20 mTorr. The lower zone plasma may be an ion-ion plasma, as described herein.
  • The method may also include rotating at least one grid of the grid assembly. In these or other implementations, the method may also include changing a distance between the grids along a central axis in the grid assembly. Typically, though not necessarily, rotational and/or translational adjustments such as these are performed prior to beginning to etch a particular layer of a partially fabricated semiconductor device or other structure. In certain implementations, however, these adjustments may be made during the etching process.
  • These and other features will be described below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional diagram illustrating a plasma processing system utilized for etching operations in accordance with certain embodiments disclosed herein.
  • FIG. 2A is a simplified top-down view of a grid structure in accordance with certain embodiments disclosed herein.
  • FIG. 2B is a picture of a grid structure in accordance with certain embodiments herein.
  • FIGS. 3A-3B illustrate a pair of plasma grids that may be used to radially tune plasma conditions in the lower sub-chamber.
  • FIGS. 3C-3D illustrate a pair of plasma grids having C-shaped slots according to an embodiment herein.
  • FIGS. 3E-3F illustrate close-up views of a grid assembly and the trajectory of ions through the assembly when the perforations in the top and bottom grids are aligned (FIG. 3E) and when they are not aligned (FIG. 3F).
  • FIG. 4 illustrates a simplified representation of a processing chamber having a fixed plasma grid positioned over a movable plasma grid in accordance with an embodiment herein.
  • FIG. 5 shows a simplified representation of a processing chamber having a movable plasma grid over a fixed plasma grid in accordance with an embodiment herein.
  • FIGS. 6A-6C illustrate certain problems that arise due to etching byproduct dissociation.
  • FIGS. 7A-7B show SEM images of FinFET structures that have been etched according to a high pressure conventional technique (7A) and according to an embodiment using a plasma grid (7B).
  • FIGS. 8A-8B show SEM images of features etched according to a low pressure conventional technique (8A) and according to a presently disclosed embodiment using a plasma grid (8B).
  • FIG. 9 shows various SEM images of features that have been etched according to various regimes without the use of a plasma grid.
  • DETAILED DESCRIPTION
  • In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to devices on a semiconductor wafer during any of various stages of integrated circuit fabrication thereon. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials.
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Disclosed is an apparatus used in etching semiconductor substrates and layers formed thereon during the manufacture of semiconductor devices. The apparatus is defined by a chamber in which etching is performed. In certain embodiments, the chamber includes a planar window, a generally planar excitation coil, and a pedestal or chuck for holding the semiconductor substrate during etching. Of course, this disclosure is not limited to any particular type of plasma source. In addition to planar excitation coils, dome and plate plasma sources may be employed. Sources include inductively coupled plasma sources, capacitively coupled plasma sources, and others known to those of skill in the art. The embodiments herein utilize a grid positioned within the chamber that separates the chamber into two sub-chambers. In various embodiments, a collection of two or more stacked grids, sometimes referred to as a “grid assembly” is used. During operation, each sub-chamber contains a plasma having distinct properties. Plasma is primarily or exclusively generated in the upper sub-chamber, and certain species are able to pass unaffected through the grid or grid assembly into the lower sub-chamber. The grid has slots, which penetrate the thickness of the grid. In certain implementations, these slots extend roughly radially outward. As used herein, “extending roughly radially outwards” means that the feature being discussed has at least some radially directed component. In other words, the entire feature need not be overall radially directed, so long as there is some part of the feature that extends in a generally center-to-edge direction. Further, the “center-to-edge direction” is defined to include a range of angles around the true center-to-edge direction (e.g., within about 20° of the true center-to-edge direction).
  • The grid or grid assembly may contain multiple radial slots that penetrate the thickness of the grid. The grid and slots are designed such that only a fraction of high energy electrons in the upper sub-chamber may pass through the grid. Collectively, the higher energy electrons generally become lower energy “colder” electrons upon passing through the grid and entering the lower sub-chamber. While high energy electrons may have sufficient energy to pass through the grid, many of them approach the grid at an angle at which they collide with the grid and lose energy. The high energy electrons that do make it through the grid do not have enough energy collectively to sustain the plasma underneath grid because they are now isolated from the excitation source. The mechanisms for the hot electrons to become cold in the lower chamber include collision with the grid, collisions with neutral species under the grid and shielding of the electrons under the grid from the excitation source above the grid. Thus, the grid may produce a plasma in the lower sub-chamber having a low electron density (ne) and low average effective electron temperature (Te). Above the grid or grid assembly, the plasma is typically a conventional electron-ion plasma, in which a very large fraction of the negatively charged species are electrons. Below the grid or grid assembly, the plasma contains a much higher percentage of negative ions and in fact may be an ion-ion plasma. Certain characteristics of an ion-ion plasma are described below. Generally, as compared to the electron-ion plasma, the ion-ion plasma contains a significantly higher proportion of negatively charged species that are ions (rather than electrons).
  • Position of the Grid within the Reactor
  • The grid or grid assembly is positioned inside the plasma chamber, thereby separating the chamber into an upper sub-chamber and a lower sub-chamber. An example of a chamber suitable for modification to include a grid as described herein is a Kiyo Reactor from Lam Research Corporation of Fremont, Calif. For context, the following description may be considered with reference to FIG. 1, which is further described below. In certain implementations, the grid is positioned between about 1-6 inches above the interior base of the reaction chamber, or between about 1-6 inches (e.g., between about 1.5-3 inches) above a substrate supporter such as a pedestal. In these or other implementations, the grid may be positioned between about 1-6 inches (e.g., between about 1.5-3 inches) below the interior ceiling of the reaction chamber. The ceiling is often outfitted with a dielectric window.
  • In certain embodiments, the heights of the upper and lower sub-chambers are substantially the same (e.g., within about 5%), while in other embodiments these heights may differ more considerably. The ratio of the height of the upper chamber to the height of the lower chamber (hu/hl), also referred to as the sub-chamber height ratio, may be between about 0.1-10, or between about 0.2-5. In some embodiments, the sub-chamber height ratio is greater than about ⅙.
  • The grid should not be positioned too close to the wafer, as this may cause printing of the grid to occur on the wafer's face. In other words, the pattern of slots in the grid may undesirably appear on the face of the wafer after processing, causing severe etch non-uniformity on the substrate surface. For many applications, a separation distance of at least about 1 inch from the top of the substrate to the grid is sufficient.
  • The Grid Design
  • Various designs may be used to implement the grid. In some embodiments, the grid is a fairly simple thin sheet of material having slots, generally circular holes, or other perforations allowing some electrons to pass from the upper sub-chamber to the lower sub-chamber. In other embodiments, the grid may consist of a more complex grid assembly having multiple components. For example, the grid assembly may have multiple grids, support elements and/or movement causing elements.
  • In a simple implementation, the grid is a relatively thin sheet having slots. Additionally, in some embodiments, the grid may include holes. Thus, the grid includes holes and slots in combination. Non-limiting examples of grid structures are shown in FIGS. 2A-2B and 3A-3D. The material contained in the grid may be an insulator, conductor, or some combination thereof. In certain implementations, the grid contains one or more materials including, but not limited to, metals, metallic alloys such as stainless steel, aluminum, titanium, ceramic, silicon, silicon carbide, silicon nitride, and a combination thereof. The material may or may not be anodized or otherwise passivated for, e.g., corrosion resistance. In one embodiment, the grid is made from a metallic material having a ceramic coating. Other coatings may also be used. The use of a coated grid is especially beneficial where the layers being etched are volatile. In certain implementations, a grid may be coated with a pure coating including, but not limited to, coatings of Y2O3, YF3, YAG, titanium nitride, or CeO2, for example. Further, the grid may be grounded, floating or biased. In some implementations, a grounded grid acts as an enhanced bias current return for the cathode.
  • The grid generally spans an entire horizontal cross-section of the chamber. Where the chamber is circular (as viewed from above), the grid will also be circular. This allows the grid to effectively divide the reaction chamber into two sub-chambers. In certain designs, the circular shape of the grid is defined by to the geometry of the substrate, which is typically a circular wafer. As is well known, wafers typically are provided in various sizes, such as 200 mm, 300 mm, 450 mm, etc. Other shapes are possible for square or other polygonal substrates or smaller substrates, depending on the etching operations performed within chamber. Thus, the cross-section of the grid may have a variety of shapes and sizes. A flat planar grid cross-section is appropriate for some embodiments. However, dished, domed, oscillating (e.g., sinusoidal, square wave, chevron shapes), slanted, etc. grid cross-sections are appropriate in other embodiments. The slots or holes through any of these cross-sectional profiles will have characteristics (including aspect ratios as described elsewhere herein).
  • The grid may on average be between about 1-50 mm thick, preferably between about 5-20 mm thick. If the grid is too thick, it may not function correctly (e.g., it may block too many species from getting through, have too much mass, take up too much space in the reaction chamber, etc.). If the grid is too thin, it may not be able to withstand the plasma processing, and may need to be replaced fairly often. Typically, the thickness of the grid is also limited by the desired aspect ratio of the slots in the grid, as the height of the slots is determined by the grid thickness, as described below.
  • In some embodiments, the grid functions as a separator between an upstream and a downstream plasma, where the downstream plasma exists in the lower sub-chamber and may be radical rich. In this manner, a plasma chamber outfitted with a grid may produce a result similar to that accomplished with existing remote plasma tools such as the GAMMA™ platform tools available from Novellus Systems, now Lam Research Corporation of Fremont, Calif. When operated for this purpose, the grid may be relatively thick, e.g., about 20-50 mm thick.
  • In certain embodiments, the grid includes slots that have a long, thin shape. The slots extend radially outwards from the center of the grid. The slots have a height, width and length (the width and length are explicitly labeled in FIG. 2A). The slot height is measured along an axis perpendicular to the face of the grid (i.e., the slot height is oriented vertically in most operating configurations), and this height is generally equal to the thickness of the grid. The width of the slots may be variable or constant over the radial extent of the slots. In certain cases, the slots may be pie-shaped (i.e., thinner towards the center and thicker towards the edge of the grid). In various embodiments, the slots extend length-wise outwards from the center of the grid (i.e., radially). In some embodiments, the slot widths are no greater than about 25 mm. The length of the slots may be variable or constant around the azimuthal extent of the grid. The angular separation of the radial slots may be variable or constant around the grid.
  • If no slots were present in the grid, a current would be induced in the grid during plasma generation. This current would flow substantially circularly around the grid or would form local eddy currents, and would result in increased power consumption. However, the presence of the slots prevents such parasitic current from forming, thereby saving power and resulting in a more efficient process. Openings having shapes such as substantially circular holes are less effective in preventing this current from forming. However, as mentioned, circular openings may be used in conjunction with slotted openings.
  • The aspect ratio of a slot is defined as the ratio of the slot's height to its width (h/w). Typically, the geometry of this aspect ratio will be viewable as a cross-section taken perpendicular to the lengthwise direction of the slot (often radial). Because the width of the slots may be variable, the aspect ratio may be similarly variable. In certain embodiments, the slots' aspect ratio (which may be variable or constant throughout the grid) is between about 0.01-5, or between about 0.3-5, or between about 1-4, or between about 0.5-2. In many embodiments, grids having these aspect ratios reduce the electron density and effective electron temperature in the lower sub-chamber, as compared to the upper sub-chamber. As mentioned, it is believed that the effective electron temperature is reduced as electrons pass through the slots at least in part because a number of hot electrons are colliding with the grid. Further, the effective electron temperature in the lower sub-chamber is reduced compared to the upper sub-chamber because the electrons in the lower sub-chamber are shielded by the grid and therefore are not subject to inductive heating from the plasma coils (or other plasma source).
  • When holes are employed together with slots, the holes may serve the same purposes as the slots. Therefore they will generally have aspect ratios as set forth above. In some embodiments, the holes have a diameter in the range of about 0.05 inches to about 0.2 inches. They penetrate the full thickness of the grid.
  • An additional benefit provided by the grid is that it may neutralize convective flow effects from the main injector. This allows for a more uniform gas flow onto the face of the wafer. The presence of a grid or grid assembly between the wafer and the gas injector(s) in the upper chamber can significantly reduce the convective impact of any gas delivered out of the gas injector(s) because the grid will disrupt the gas flow and result in a more diffusive flow regime over the wafer.
  • In some embodiments, the grid contains gas delivery holes. In such embodiments, the grid may serve the additional purpose of being a showerhead for the upper and/or lower sub-chambers. In these embodiments, one or more channels may be included in one or more grids. These channels may be fed with gas from an inlet (or multiple inlets), and deliver the gas to a plurality of outlet holes in the grid(s). The outlet holes may form gas distribution showerheads that deliver process gasses to either or both of the upper and lower sub-chambers.
  • In some implementations, the grid has a region such as a central region containing a feature for allowing a probing apparatus to be disposed through the grid. The probing apparatus can be provided to probe process parameters associated with the plasma processing system during operation. Probing processes can include optical emission endpoint detection, interferometeric endpoint detection, plasma density measurements, ion density measurements, and other metric probing operations. In certain embodiments, the central region of the grid is open. In other embodiments, the central region of the grid contains an optically clear material (e.g., quartz, sapphire, etc.) to allow light to be transmitted through the grid.
  • In certain embodiments, it may be preferable to have a slot in the grid about every 15 mm to 40 mm near the outer edge of the grid for a 300 mm wafer etcher. This corresponds to azimuthally adjacent slots being separated by about 18°, or about 48°, respectively. As such, in certain embodiments, azimuthally adjacent slots are separated by at least about 10°, or at least about 15°. In these or other embodiments, azimuthally adjacent slots are separated by no more than about 40°, or no more than about 50°, or no more than about 60°.
  • In some embodiments, the plasma grid may include cooling channels embedded in the grid, and these cooling channels may be filled with a flowing or non-flowing coolant material. In certain embodiments, the cooling material is a fluid such as helium or other inert gas or a liquid such as DI water, process cooling water, fluoroinert, or a refrigerant such as perfluorocarbons, hydrofluorocarbons, ammonia and CO2. In these or other embodiments, the plasma grid may include embedded heating elements and/or a temperature measurement device. The cooling channels and embedded heaters allow for precise temperature control, which permit close control over the particle and wall conditions. This control may be used to tune the conditions in the lower zone plasma, in certain cases. For example, where the plasma grid is maintained at a cooler temperature, etch byproducts from the wafer will preferentially deposit on the grid, thereby reducing the gas phase density of the etch byproducts in the lower sub-chamber. Alternatively, the grid or grid assembly may be maintained hot (e.g., above 80° C.) to reduce the deposition on the grid and ensure that the chamber can remain relatively clean and/or reduce the time required to clean the chamber during waferless auto clean (WAC).
  • Another feature which may be included in certain embodiments is that the grid may act as a showerhead for delivering process gases to either or both of the upper and lower sub-chambers. As such, the grid may contain a plurality of channels which connect a gas supply source with the upper and/or lower sub-chambers. The showerhead holes may be arranged to provide uniform gas delivery into the sub-chambers.
  • Further, in certain embodiments, more than one gas supply source is used. For example, different process gases may be delivered to the upper and lower sub-chambers (either through one or more showerhead-type grids or by other gas delivery means). In a particular implementation, an inert gas is delivered to the upper sub-chamber, and plasma etching chemistry is delivered to the lower sub-chamber. In some other embodiments, the gas delivered to the upper sub-chamber is H2, N2, O2, NF3, or C4F8 or another fluorocarbon, though the embodiments are not so limited. In these or other implementations, the gas delivered to the lower sub-chamber may be N2, CO2, or CF4 or another fluorocarbon, though again, the embodiments are not so limited.
  • It is sometimes useful to have a plasma processing reactor allowing a wide range of plasma conditions adjacent to the work substrate. Such conditions include the plasma density, the effective electron temperature in the plasma, and the ratio of electrons to ions in the plasma. For in situ processing, where multiple layers are being processed in a chamber, it may be necessary to change the processing conditions for each layer. A fixed position grid may limit the operating window of the reactor, e.g. high plasma density may not be achievable if the grid is optimized for producing an ion-ion plasma in the lower sub-chamber. Therefore, certain embodiments provide grids and grid assemblies in which grid line of sight open area is tunable by rotation and/or translation.
  • In certain embodiments, the plasma grid can be raised or lowered with respect to the plasma coils or other plasma source. This may be accomplished by mounting the grid on a movable stage, for example. In some implementations, the vertical movement allows an operator or controller to change the effective electron temperature, the electron or plasma density, the ratio of electrons to ions, the concentration of radicals, etc. in the upper and lower zone plasmas. Further, because the concentration of radicals is affected by the height of the plasma grid, the use of a movable plasma grid allows for a process where the concentration of radicals is tunable throughout a multi-stage process. As radical species are chemically reactive and negative ions have different etch properties than electrons, this parameter is especially useful to tune/control to achieve a desired reaction.
  • Furthermore, in some implementations multiple plasma grids may be used in a single grid assembly in the reaction chamber. Where multiple grids are used, the number of grids is typically between about 2 and 5. Often, where multiple plasma grids are used, at least one of the plasma grids is movable with respect to at least one other plasma grid. Generally, the movement is accomplished either by rotating or separating the grids (in some cases both types of movement are used). The use of a rotatable grid in a grid assembly allows the grid open area to be easily varied both between different processes/wafers, and within a single process/wafer over the course of processing the wafer. Importantly, the effective electron temperature and electron density in the lower sub-chamber will be a function of the grid open area.
  • Where multiple grids are used, it is helpful to define certain additional parameters. An assembly slot or other opening is an area of the assembly, as viewed from above, where an opening in one grid is aligned with an opening in the other grid(s), thus creating a clear line of sight through the plasma grid assembly, as shown in FIG. 3E. Where the slots/holes in the grids do not align, there is no clear line-of-sight through the grid assembly, as shown in FIG. 3F. With regard to FIGS. 3E-F, upper grid 302 is positioned above lower grid 304. The dark areas below each of the grids 302 and 304 are open areas through which species may travel. In a specific embodiment, the dark area below lower grid 304 is an upper portion of the lower sub-chamber. Multiple assembly opening are typically present in a single plasma grid assembly. The geometry of the assembly opening varies as the individual grids move with respect to one another. For example, the width of an assembly slot may vary as a first grid rotates with respect to a second grid. Similarly, the aspect ratio of the assembly slots, defined as total distance between the top of the top grid and bottom of the bottom grid divided by the line of sight open width, may vary as the grids rotate or otherwise move with respect to one another. In some embodiments, the aspect ratio of the assembly slots may range between about 0.1-5.
  • The grid assembly open area is defined as the total area of the slots on the grid assembly where the slots are aligned (as viewed from a plane parallel to the face of the grid). Where the slots are misaligned, as shown in FIG. 3F, certain species in the plasma (especially charged species such as ions and electrons) substantially do not pass through to the lower sub-chamber. This misaligned arrangement of slots effectively increases the aspect ratio of the slots in the assembly, or eliminates the assembly slots altogether where there is no slot overlap, reducing the fraction of hot electrons passing from the upper sub-chamber to the lower sub-chamber. Where the slots are aligned as in FIG. 3E, however, plasma species can pass through the slots as described above. In one example, two identical plasma grids are used, each having about 50% open (slotted) area. In this example, the grid assembly open area may vary between 0% (when the individual plasma grids are completely misaligned) and about 50% (when the individual plasma grids are exactly aligned). In another example, each plasma grid has an open area of about 75%. In this case, the grid assembly open area may vary between about 50-75%. By changing the grid assembly open area, the plasma conditions in the lower sub-chamber may be tuned. For example, when the grid assembly open area is larger, the effective electron temperature in the lower zone plasma is higher, the electron density in the lower zone plasma is higher, the ratio of electrons to ions in the lower zone plasma is higher, and the concentration of radicals in the lower zone plasma is lower, as compared to when the grid assembly open area is smaller.
  • The use of multiple grids is particularly beneficial because it provides a wide process window of plasma densities and other plasma conditions over the wafer in a single processing station. This benefit is especially helpful when processing complicated structures having multiple layers and/or multiple types of exposed materials. As mentioned, it is often necessary to change the processing conditions for each layer processed.
  • The slot pattern on each plasma grid may be the same or different from the other plasma grid slot pattern. Further, the slot patterns may be designed to provide open area over particular areas of the wafer. For example, the slots may be designed such that there is more open area near the center of the wafer compared to the edge of the wafer (or vice versa). Further, the slots may be designed such that the grid assembly open area is concentrated on different parts of the wafer at different times during the process. For example, the slots may be designed such that the grid assembly open area is concentrated near the center of the wafer towards the beginning of the process, and near the edge of the wafer towards the end of the process (or vice versa). This rotation allows the gas flow, plasma density, plasma type (e.g., ion-ion plasma), and effective electron temperature, to name a few parameters, to be tuned radially over the wafer over the course of the process. This tunability may be beneficial in producing uniform etch results over the entire face of the wafer, and may be particularly helpful in addressing center-to-edge non-uniformities that otherwise arise during processing. An example of a pair of electron grids that may be used in a plasma grid assembly to achieve these radial tuning effects is shown in FIGS. 3A-3B. In these figures, the slots (open area) are shown in gray and the grid material is shown in white.
  • The use of separable grids allows certain distances to be controlled and tuned. For example, distances that might be tuned include the distance between the wafer and the lower grid, the distance between the top of the upper sub-chamber and the upper grid, and/or the distance between the grids. These variable distances allow a wider range of electron temperature and plasma density tuning above the wafer compared to a single fixed grid.
  • Certain implementations utilize a plasma grid assembly having both movable and fixed plasma grids. The grids may be grounded or electrically floating, and may be supported by support legs or other feature connected to a movement causing element such as a rotational actuator or lifter. In some embodiments, the movement causing element is positioned below the wafer and wafer support pedestal, though other placements may be used. The support legs may be conductive or insulating, depending on whether the grid being supported is grounded or electrically floating.
  • It is generally beneficial for the fixed grid to be grounded. When the fixed grid is positioned above the movable grid, as shown in FIG. 4, the grounded connection of the fixed grid provides a good ground path for any RF current flowing to the grid from the upper chamber excitation source. This may be especially useful where the upper chamber is excited by an ICP source and is less than about 5 cm in height, or where the upper-zone plasma is generated using a VHF CCP source. When the fixed grid is positioned below the movable grid, as shown in FIG. 5, the grounded connection provides a large ground return surface for the bias current in the lower-zone plasma. This may be especially desirable where large bias voltages (e.g., greater than about 100 V) are required on the wafer during the etch process.
  • The optimal electrical connection of the movable grid may depend on the relative position of the fixed and movable grids. Where the fixed grid is positioned above the movable grid, it may be beneficial for the movable grid to be electrically floating. In contrast, where the fixed grid is positioned under the movable grid, the movable grid may be either grounded or floating. Where the movable grid is grounded, the support structure should be conductive (e.g., metallic). Where the movable grid is electrically floating, the support structure should be insulating.
  • When the fixed grid is positioned below the movable grid, the fixed grid may have arc shaped slots (or other slots allowing for arc-like movement across the slots) to allow the movable grid supports to extend through the fixed grid in order to connect the supports with the movement causing element. As noted above, this implementation is shown in FIG. 5. Alternatively, the movable grid may be supported by movable support structures extending inwards from the periphery of the reaction chamber, or it may be supported by a structure that connects with the top of the reaction chamber. Whatever implementation is used, the support structure should be designed such that it does not interfere with the formation of the upper and lower zone plasmas as desired. Further, it is desirable to keep the actuators for moving the grids well below the wafer plane such that there is minimal risk of particle transport from the actuators to the wafer.
  • The grid assembly can be biased using DC or RF sources. If the grid assembly has multiple conductive grids, it is desirable to bias them together to the same potential. Alternatively, the grid assembly may consist of only one conductive grid and one or more floating/insulating grids where only the conductive grid is biased.
  • Plasma Properties
  • The grid effectively divides the chamber plasma two zones: an upper zone proximate the coils for generating the plasma and a lower zone proximate the substrate holder. In various embodiments, the plasma in the upper zone contains relatively “hot”, high-energy electrons. Often, this plasma is characterized as an electron-ion plasma. In various embodiments, the plasma in the lower zone contains relatively “cold”, low-energy electrons. Often, this lower zone plasma is characterized as an ion-ion plasma.
  • Plasma may be generated primarily or exclusively in the upper sub-chamber. In one embodiment, an inductively coupled plasma is generated in the upper sub-chamber by running current through coils located above the upper sub-chamber. A single coil or multiple coils may be employed. In other embodiments, a capacitively coupled plasma is generated using, for example, a VHF CCP source. The plasma in the upper sub-chamber will have distinctly different characteristics from the plasma in the lower sub-chamber due to the presence of the grid.
  • In many embodiments, the upper zone plasma is a conventional electron-ion plasma. In this type of plasma, most of the positively charged species are positive ions and most of the negatively charged species are electrons. Although negative ions exist, they are present only in relatively low concentrations. In contrast, the plasma in the lower sub-chamber is an ion-rich plasma, often an ion-ion plasma. As compared to the electron-ion plasma, the ion-ion plasma has a greater proportion of negatively charged species that are negative ions, and a lower proportion of negatively charged species that are electrons. In certain implementations, the ratio of the concentration of positive ions to the concentration of electrons (sometimes referred to as the positive ion to electron ratio, ni/ne) in the ion-ion plasma is about 2 or greater, and in some cases is about 5 or greater, or even about 10 or greater. In certain cases, the positive ion to electron ratio is at least about 2 times greater (e.g., at least 5 times greater) in the lower plasma than in the upper plasma.
  • A related difference between the two plasmas is that the upper zone plasma has a significantly higher electron density. For example, the electron density in the lower zone plasma may be about 5×109 cm−3 or less (e.g., about 1×109 cm−3 or less). These ranges are particularly applicable to electron negative processing gases. The upper zone plasma may have an electron density that is at least about 10 times greater (e.g., at least about 100 times greater, or at least about 1000 times greater) than that of the lower zone plasma. In some cases, the lower sub-chamber has an ion-ion plasma where electron density is at least an order of magnitude smaller than the negative ion density and positive ion density. In a particular example, Ne˜108 cm−3, Ni+˜109 cm−3, Ni−˜109 cm−3.
  • An additional difference between the upper and lower zone plasmas, which is somewhat implicit based on the electron:ion ratios, is that the lower zone plasma will typically have a higher ratio of negative ions to positive ions. Because the upper zone electron-ion plasma typically contains primarily positive ions and electrons, with relatively few negative ions, the negative ion:positive ion ratio will be low. The negative ion:positive ion ratio in the lower zone plasma may be between about 0.5-1 (e.g., between about 0.8-0.95).
  • One non-limiting explanation for relatively low concentration of electrons in the lower zone plasma is that the electrons initially present in the lower zone (e.g., the electrons passing from the upper zone to the lower zone through the grid) are generally not heated by RF fields and quickly lose energy due to inelastic collisions with gas molecules, resulting in low effective electron temperature. These low energy electrons are more likely (as compared to the high energy electrons in the upper zone plasma) to interact with a neutral species to produce negative ions. Electrons must have a relatively low energy to attach to neutrals and form negative ions. This negative ion production will not occur with high energy electrons, which may “kick off” another electron when colliding with the neutral species instead of combining to form a negative ion.
  • As indicated, the effective electron temperature is greater in the upper zone plasma as compared to the lower zone plasma. Electrons may be cooled as they pass through the slots in the grid. Typically, the effective electron temperature in the lower zone plasma is about 1 eV or less. In certain cases, the effective electron temperature in the lower zone plasma may be between about 0.1-1 eV (e.g., between about 0.2-0.9 eV). The effective electron temperature may be at least about two times greater (e.g., at least about three times greater) in the upper zone plasma than in the lower zone plasma, as measured in electron volts. In a particular implementation, the upper zone plasma has an effective electron temperature of about 2.5 eV and the lower zone plasma has an effective electron temperature of about 0.8 eV. In various embodiments, this difference in the effective electron temperature arises wholly or partially from the presence of the grid.
  • Without being limited to any specific theory or mechanism, the role of the grid may be explained as follows. The grid may partially shield the lower sub-chamber such that the charged species therein are not directly exposed to power from the plasma coils. Additionally, the particular aspect ratios of the slots in the grid cause a fraction of the high energy electrons to collide with the grid when passing through the slots. This produces two qualitatively different plasmas in the two plasma zones.
  • Another distinguishing characteristic of the upper and lower zone plasmas is their plasma potentials. The plasma potential in the upper chamber is generally higher than in the lower chamber. For example, the plasma potential in the upper plasma may be between about 8-35 V (e.g., between about 10-20 V), while the plasma potential in the lower plasma may be between about 0.4-10 V (e.g., between about 0.5-3 V). This is because the electron energy has dropped and so the plasma does not need to be as positive to prevent electrons from leaving it.
  • Further, the two plasmas will typically have different energy distribution functions (e.g., ion energy distribution function and electron energy distribution function). Both the electron and ion energy distribution functions will be narrower in the lower plasma and broader in the upper plasma. By using the grid, it is possible to achieve a very narrow ion energy distribution function without using sophisticated control with a waveform generator. For example, the ion energy distribution function for the lower plasma might have a full width half maximum of only about 5 V. As a consequence, negative current can be drawn from negative ions, which arrive at the substrate surface to maintain electro-neutrality (instead of electrons serving this purpose). This provides a unique etching mechanism.
  • The radical concentration in the lower zone plasma may range between about 1% of total neutral density to about 70% of the total neutral density, or about 10% to about 70% of the total neutral density or about 10% to about 50% of the total neutral density.
  • The chamber pressure during the etch operation may be below about 2000 mTorr, such as between about 1-2000 mTorr (e.g., between about 2-200 mTorr). In one particular example, the chamber pressure is maintained at or below about 20 mTorr. These pressures are particularly useful when employed with a lower zone plasma having an effective electron temperature of about 0.5 eV or lower and/or an electron density of about 5×108 cm−3 or lower. These pressures are also particularly useful when employed with a lower zone ion-ion plasma.
  • Ion-ion plasmas are believed to provide certain benefits for semiconductor processing. For example, partially fabricated semiconductor devices etched in an ion-ion plasma show very good selectivity, profile angle, VD loading, and overall uniformity across the face of the substrate being etched. Previous techniques could not achieve all of these benefits (i.e., process designers had to choose between, for example, achieving good overall etch uniformity and the other benefits). Thus, the embodiments herein represent a significant advancement in etching methodology.
  • FIGS. 6A-6C illustrate the effect of the breakdown of etching byproducts on a feature being etched. To begin, FIG. 6A shows a substrate having three layers deposited thereon. The bottom layer represents a gate oxide, the middle layer represents polysilicon, and the top layer (shown as three separate blocks) represents a hard mask. It is believed that in a conventional etching process, the plasma present in the chamber acts, in part, to dissociate etching byproducts, as shown in FIG. 6B. These byproducts are often volatile components (e.g., SiBr4), which under the right conditions are swept away from the substrate. However, when a high electron density plasma, which is typical of an electron-ion plasma, contacts the wafer, high energy electrons in the plasma can react with the volatile byproducts to cause them to dissociate into physico-chemically “sticky” dissociation products (e.g., SiBr2). These dissociation products adhere to the substrate as shown in FIG. 6B, often to a sidewall of a feature being etched, and cause the etch process to occur in a non-vertical or otherwise undesirable manner, as shown in FIG. 6C. This dissociation product adherence/redeposition leads to local loading effects resulting in a non-vertical etch.
  • The use of a grid to reduce the effective electron temperature of the plasma proximate the substrate being etched reduces these undesirable effects. The production of an ion-ion plasma, with its correspondingly reduced electron density and effective electron temperature, therefore significantly reduces these undesirable effects. Because ions generally have significantly less energy than electrons, the ions in the present embodiments' ion-ion plasma do not cause this byproduct dissociation. Although the present embodiments may produce an electron-ion plasma, this high electron density/high effective electron temperature plasma may be confined to the upper sub-chamber. Therefore, etch byproducts tend to contact only the lower zone plasma, and do not come into contact with the high effective electron temperature, upper zone plasma. Further, although there will be some electrons present in the ion-ion plasma, these electrons generally have a low Te and therefore will not typically have enough energy to cause the byproduct dissociation. As such, the etch byproducts are not dissociated into “sticky” problem-causing compounds.
  • Wafer Biasing
  • In certain implementations, the wafer is biased during processing. This is accomplished by applying a bias to the electrostatic chuck used to hold/support the wafer. Because the wafer is exposed to a low Te, low electron density plasma (such as an ion-ion plasma) in the lower sub-chamber, bias may be applied to the chuck in a way that captures/encourages the unique benefits of the ion-ion plasma. Further, the bias may be applied in a manner that avoids the formation of an electron-ion plasma in the lower sub-chamber. For example, the bias may have a frequency and power appropriate to prevent conversion of an ion-ion plasma to an electron-ion plasma.
  • In certain embodiments, the RF bias may have a frequency below 30 MHz, preferably between about 100 kHz to about 13.56 MHz, to reduce the amount of electron heating generated by the application of bias power to the substrate. In some embodiments, the bias (regardless of frequency) is pulsed in the range of about 1 Hz to about 10 kHz with a duty cycle of between about 1% and 99%.
  • In conventional electron-ion plasmas, the plasma potential is fairly high and positive, as described above. This plasma potential effectively limits the ability of electrons to escape the plasma. However, the lower zone plasma typically has an unconventionally low electron density and temperature and therefore requires a much lower plasma potential to effectively confine its electrons. The low plasma potential opens the operating window, optionally allowing negative ions present in the ion-ion plasma to accelerate towards and strike the wafer during the bias waveforms' positive cycle. This etching regime was previously unobtainable in continuous wave plasmas.
  • The frequency of the bias applied to the electrostatic chuck may be designed to optimize the formation and attraction of ions (particularly but not exclusively negative ions) in an ion-ion plasma. In this regard, the frequency of the bias applied to the electrostatic chuck is between about 0.1-15 MHz (e.g., between about 400 kHz-13.56 MHz). In a particular example, the bias is about 8 MHz. This frequency may be particularly useful, as it corresponds to the ion transport frequency. Other frequencies may also be used, but may be less effective. For example, frequencies between about 100 kHz-1 MHz may work to some extent, but may be less effective than the higher frequencies cited above.
  • It should be noted that where a grid is used and an AC bias of appropriate frequency is applied to the electrostatic chuck/wafer, the plasma sheath over the wafer can operate to alternatively pull negative ions and positive ions out of the plasma and accelerate them towards the face of the wafer. In other words, the plasma sheath will attract negative ions in a positive cycle and then positive ions in a negative cycle, and these cycles repeat with the AC bias. As explained above, this negative ion attraction (to the wafer) was not possible before implementation of the present embodiments because the plasma potential was too high, thereby drowning out any attractive effect from the relevant half of the AC bias cycles.
  • As mentioned, the bias may be applied in pulses. However, pulsing is not needed for many cases. The present embodiments achieve a stable ion-ion plasma above the wafer during the entire etching process. As such, the bias on the chuck/wafer does not need to be pulsed to achieve the benefits described herein. However, in certain embodiments, the bias may nevertheless be applied in pulses, such as to reduce the etch rate or the amount of ion bombardment of the substrate to increase the selectivity of the etch to the under-layer. Bias pulsing in ion-ion plasmas can be particularly beneficial by enhancing selectivity when alternating between ions and radicals. In other words, pulsing may partition the flux of ions and radicals to the substrate surface (pulse on: radicals+ions−pulse off: radicals only).
  • Process/Applications
  • The apparatus and plasma conditions disclosed herein may be used to etch any of a variety materials such as silicon (including polycrystalline, amorphous, single crystal, and/or microcrystalline silicon), metals (including but not limited to TiN, W, TaN, etc), oxides and nitrides (including but not limited to SiO, SiOC, SiN, SiON, etc.), organics (including but not limited to photoresists, amorphous carbon, etc), and a variety of other materials including, but not limited to, W, Pt, Ir, PtMn, PdCo, Co, CoFeB, CoFe, NiFe, W, Ag, Cu, Mo, TaSn, Ge2Sb2Te2, InSbTe Ag—Ge—S, Cu—Te—S, IrMn, Ru. The concept can be extended to materials like NiOx, SrTiOx, perovskite (CaTiO3), PrCAMnO3, PZT (PbZr1-xTixO3), (SrBiTa)O3, and the like. The apparatus can be used with any gas combination that is available in a present day fabrication facility (including HBr, CO, NH3, CH3OH, and the like).
  • The apparatus and plasma conditions disclosed herein may be employed to etch features in devices or other structures at any technology node. In some embodiments, the etch is used during fabrication of in the 20-10 nm nodes or beyond. Etching can before both front end of line fabrication procedures and back end of line fabrication procedures. The etching may provide superior vertical profile, material selectivity, VD loading, and/or wafer center to edge uniformity of better than about 2%. A few examples of suitable etch applications include shallow trench isolation, gate etch, spacer etch, source/drain recess etch, oxide recess, and hard-mask open etch.
  • Apparatus
  • The methods described herein may be performed by any suitable apparatus. A suitable apparatus includes a chamber and electronic hardware for providing and maintaining etching conditions as described herein. Suitable apparatus will also include a system controller having instructions for controlling the hardware to achieve these conditions and for performing a sequence of process operations appropriate for applications such as etching a gate electrode of an FET. In some embodiments, the hardware may include one or more process stations included in a process tool.
  • Returning to FIG. 1, a cross-sectional view of an inductively coupled plasma etching apparatus 100 in accordance with certain embodiments is shown. As mentioned previously, the embodiments herein may be practiced with non-inductively coupled plasmas, as well. The inductively coupled plasma etching apparatus 100 includes an overall etching chamber structurally defined by chamber walls 101 and a window 111. The chamber walls 101 are typically fabricated from stainless steel or aluminum. The window 111 is typically fabricated from quartz or other dielectric material. An internal plasma grid 150 divides the overall etching chamber into an upper sub-chamber 102 and a lower sub-chamber 103. In certain other implementations, a more complex plasma grid assembly is used. For example, the plasma grid assembly may include multiple grids, as well as support structures and movement causing elements, as illustrated in FIGS. 4 and 5. Returning to the embodiment of FIG. 1, a chuck 117 is positioned within the lower sub-chamber 103 near the bottom inner surface. The chuck 117 is configured to receive and hold a semiconductor wafer (i.e., “wafer”) 119 upon which the etching process is performed. The chuck 117 can be an electrostatic chuck for supporting the wafer when present. In some embodiments, an edge ring (not shown) surrounds chuck 117, and has an upper surface that is approximately planar with a top surface of a wafer, when present over chuck 117. The chuck 117 also includes electrostatic electrodes to enable the chucking and dechucking of the wafer. A filter and a DC clamp power supply may be provided for this purpose. Other control systems for lifting the wafer off of the chuck 117 can also be provided. The chuck 117 can be electrically charged using an RF power supply 123. The RF power supply 123 is connected to matching circuitry 121 through a connection 127. The matching circuitry 121 is connected to the chuck 117 through a connection 125. In this manner, the RF power supply 123 is connected to the chuck 117.
  • A coil 133 is positioned above the window 111. The coil 133 is fabricated from an electrically conductive material and includes at least one complete turn. The exemplary coil 133 shown in FIG. 1 includes three turns. The cross-sections of coil 133 symbols having an “X” indicate that the coil 133 extends rotationally into the page. Conversely, the coil 133 symbols having a “•” indicate that the coil 133 extends rotationally out of the page. An RF power supply 141 is configured to supply RF power to the coil 133. In general, the RF power supply 141 is connected to matching circuitry 139 through a connection 145. The matching circuitry 139 is connected to the coil 133 through a connection 143. In this manner, the RF power supply 141 is connected to the coil 133. An optional Faraday shield 149 is positioned between the coil 133 and the window 111. The Faraday shield 149 is maintained in a spaced apart relationship relative to the coil 133. The Faraday shield 149 is disposed immediately above the window 111. The coil 133, the Faraday shield 149, and the window 111 are each configured to be substantially parallel to one another. The Faraday shield may prevent metal or other species from depositing on the dielectric window of the plasma chamber.
  • Process gases may be supplied through a main injection port 160 positioned in the upper chamber and/or through a side injection port 170, sometimes referred to as an STG. Gas exhaust ports are not shown. Also not shown are pumps connected to the chamber 101 to enable vacuum control and removal of gaseous byproducts from the chamber during operational plasma processing.
  • During operation of the apparatus, one or more reactant gases may be supplied through the injection ports 160 and/or 170. In certain embodiments, gas may be supplied only through the main injection port, or only through the side injection port. In some cases, the injection ports may be replaced by showerheads. The Faraday shield 149 and/or grid 150 may include internal channels and holes that allow delivery of process gas to the chamber. In other words, either or both of Faraday shield 149 and grid 150 may serve as a showerhead for delivery of process gas.
  • Radiofrequency power is applied from the RF power supply 141 to the coil 133 to cause an RF current to flow through the coil 133. The RF current flowing through the coil 133 generates an electromagnetic field about the coil 133. The electromagnetic field generates an inductive current within the upper sub-chamber 102. The inductive current acts on the gas present in the upper sub-chamber 102 to generate an electron-ion plasma in the upper sub-chamber 102. The internal plasma grid 150 limits the amount of hot electrons in the lower sub-chamber 103. In various embodiments, the apparatus is designed and operated such that the plasma present in the lower sub-chamber is an ion-ion plasma.
  • Both the upper electron-ion plasma and the lower ion-ion plasma will contain positive ions and negative ions, though the ion-ion plasma will have a greater ratio of negative ions:positive ions. The physical and chemical interactions of the various ions and radicals with the wafer 119 selectively etch features of the wafer. Volatile etching byproducts are removed from the lower sub-chamber through an exhaust port (not shown). Importantly, these volatile byproducts are not substantially exposed to hot electrons, and therefore they are not likely to be dissociated into non-volatile “sticky” dissociation products.
  • Typically, the chuck disclosed herein operates at elevated temperatures ranging between about 30° Celsius and about 250° Celsius, preferably between about 30-150° Celsius. The temperature will depend on the etching process operation and specific recipe. The chamber 101 will also operate at pressures in the range of between about 1 mTorr and about 95 mTorr, or between about 5-20 mTorr.
  • Although not shown, chamber 101 is typically coupled to facilities when installed in a clean room, or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to chamber 101, when installed in the target fabrication facility. Additionally, chamber 101 may be coupled to a transfer chamber that will enable robotics to transfer semiconductor wafers into and out of chamber 101 using typical automation.
  • FIGS. 2A-2B and 3A-3D show examples of internal plasma grids in accordance with the embodiments herein. In certain cases, each grid may have slots that extend radially outward or roughly radially outward. In these or other cases, the slots may have a more unusual non-linear shape, as shown in FIGS. 3C-3D. In the embodiment of FIG. 2B, there are three types of slots. Each of the three slot types has a different slot length. The slots shown in FIG. 2B have an aspect ratio that is suitable for creating an ion-ion plasma in the lower sub-chamber, as described above. The slots shown in FIGS. 2A and 3A-3D may not be drawn to scale.
  • System Controller
  • In some embodiments, a system controller (which may include one or more physical or logical controllers) controls some or all of the operations of an etching chamber. The system controller may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.
  • The system control software may include instructions for controlling the timing of application and/or magnitude of any one or more of the following chamber operational conditions: the mixture and/or composition of gases, chamber pressure, chamber temperature, wafer temperature, the bias applied to the wafer, the frequency and power applied to coils or other plasma generation components, wafer position, wafer movement speed, grid position, grid movement speed, and other parameters of a particular process performed by the tool. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language.
  • In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller. The instructions for setting process conditions for an etching phase may be included in a corresponding etching recipe phase, for example. In some embodiments, the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • Other computer software and/or programs may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a grid assembly positioning program, a process gas composition control program, a pressure control program, a heater control program, and an RF power supply control program.
  • In some cases, the controllers control gas concentration, wafer movement, grid movement, and/or the power supplied to the coils and/or electrostatic chuck. The controller may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas streams that provide the necessary reactant(s) at the proper concentration(s). The wafer movement may be controlled by, for example, directing a wafer positioning system to move as desired. The grid movement may be controlled by directing movement causing elements (e.g., a rotational actuator, lifter and/or other movement causing component) to position the grid assembly as desired. In one example the controller directs the rotational actuator to rotate one or more plasma grids to achieve certain plasma conditions (including but not limited to electron temperature, electron density, ion density, positive ion to electron ratio, etc.) in the lower zone plasma. In some implementations, the controller is configured to achieve different plasma conditions over different parts of the wafer (e.g., the plasma conditions may be radially tuned). The power supplied to the coils and/or chuck may be controlled to provide particular RF power levels to create the desired electron-ion plasma in the upper sub-chamber. Further, the controller may be configured to supply power to an electrostatic chuck under conditions such that an electron-ion plasma does not form in the lower sub-chamber. In other words, the controller is configured to maintain an ion-ion plasma (or at least a plasma with a suitably low effective electron temperature and density) in the lower sub-chamber. The controllers may control these or other aspects based on sensor output (e.g., when power, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process) or based on received instructions from a user.
  • The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.
  • EXPERIMENTAL
  • Experiments have confirmed that the presently disclosed methods and apparatus provide for improved etching of partially fabricated devices on semiconductor substrates. When using a plasma grid, the etched product shows good selectivity, profile angle, iso/dense loading, and overall etch uniformity.
  • FIGS. 7A-7B show scanning electron microscope (SEM) images of FinFET structures that have been etched according to a high pressure conventional technique (7A) and according to the present embodiments using a plasma grid (7B). As shown in FIG. 7A, the conventional technique results in significant non-uniformity between the center and edge of the wafer. The I/D loading was large, and there was poor selectivity between the materials. Conversely, as shown in FIG. 7B, the use of the plasma grid substantially increases the center to edge uniformity. Further, the I/D loading was much lower, and the selectivity was improved. This experiment was performed on a Si carrier wafer thinned to a thickness representative of the FinFET height and covered with 50% SiN coupons to simulate the etch of a full-patterned wafer. The FinFET structures was over-etched by 65% to minimize the taper in the profile.
  • FIGS. 8A-8B show SEM images of features etched according to a low pressure conventional technique (8A) and according to the present embodiments using a plasma grid (8B). The conventional technique showed relatively poor selectivity between the silicon and oxide, the etched features had a tapered profile, and the I/D loading was poor. As shown in FIG. 8B, however, the source grid provided improved selectivity (infinite selectivity), a more vertical profile angle, and virtually no VD loading. This experiment was performed on a chip cleaved from a patterned wafer and placed on the center of a carrier wafer. This experiment was performed on a Si carrier wafer thinned to a thickness representative of the FinFET height and covered with 50% SiN coupons to simulate the etch of a full-patterned wafer.
  • FIG. 9 shows various SEM images of features that have been etched according to various regimes without the use of a plasma grid. Two different pressures were used, as well as four different total flow rates. The effective electron temperature (Te) decreases with increasing pressure. Residence time decreases with increasing total flow rate. For each pressure, increasing the total flow rate improves the etch results. In particular, the high flow cases show better (more vertical) profile angles and improved selectivity (more mask remaining). However, these improvements are mitigated by poorer VD loading and center to edge uniformity. The results at high flow rates support the belief that certain byproducts and/or dissociation products, when not swept away in gaseous form, may be adhering to feature sidewalls and/or bottoms to produce poor etching results, as illustrated in FIGS. 6A-6C. When the total flow rate is higher, these byproducts are more effectively swept out of the reaction chamber and are less likely to cause etching defects.
  • Various experiments showed that the use of the plasma grid resulted in an etching process with very good selectivity, profile angle, VD loading, and center to edge uniformity. In certain cases, the selectivity (i.e., the etch rate of Si:etch rate of oxide) is greater than about 10, or greater than about 100. In fact, infinite selectivity may be achieved using the plasma grid in certain cases. In these cases, there is virtually no etching of the oxide material, and there may even be a small amount of deposition on the oxide surface. The profile angle achieved in many cases is substantially vertical (e.g., over about 89°). In certain implementations, the I/D loading was shown to be below about 2°. Further, the center to edge uniformity in various implementations was less than about 2 nm.

Claims (20)

1. An apparatus for etching a feature on a substrate, the apparatus comprising:
a chamber defining an interior where a plasma can be provided;
a substrate holder for holding a substrate in the chamber during etching;
a plasma generator for producing a plasma within the chamber; and
a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder; and
a controller configured to produce the plasma in the chamber under conditions that use the grid assembly to produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber, the lower zone plasma being an ion-ion plasma,
wherein the grid assembly comprises at least a first grid and a second grid, each grid comprising a plurality of slots that substantially prevent formation of induced current in the grid when the plasma is produced within the chamber, wherein at least one of the plurality of slots in at least one of the first and second grids in the grid assembly has a height to width aspect ratio between about 0.5-1.
2. The apparatus of claim 1, wherein the controller is configured to produce the upper zone plasma and the lower zone plasma such that
(i) the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma, and
(ii) the electron density in the lower zone plasma is about 5×109 cm−3 or less, and is less than the electron density in the upper zone plasma.
3. The apparatus of claim 1, wherein the grid assembly can be raised or lowered with respect to the plasma generator.
4. The apparatus of claim 1, wherein at least one grid of the grid assembly has an average thickness of between about 1 and 50 mm.
5. The apparatus of claim 1, wherein the slots are separated from azimuthally adjacent slots by no more than about 60 degrees.
6. The apparatus of claim 1, wherein the first and second grids have substantially identical slot patterns.
7. The apparatus of claim 1, wherein the first and second grids have slot patterns that are different from one another.
8. The apparatus of claim 1, wherein at least one of the first and second grids is rotatable about an axis normal to an upper surface of the substrate holder.
9. The apparatus of claim 8, wherein the first grid and second grid have slot patterns allowing plasma conditions in the lower sub-chamber to be radially tuned.
10. The apparatus of claim 1, wherein at least one of the first and second grid is movable such that the distance between the first grid and second grid is variable.
11. The apparatus of claim 1, wherein at least one grid of the grid assembly comprises one or more inlets for gas delivery.
12. The apparatus of claim 1,
wherein at least one of the grids comprises a central region, a middle region surrounding the central region, an outer region surrounding the middle region, and a peripheral region surrounding the outer region,
wherein the slots in the at least one of the grids comprise a first slot shape, a second slot shape, and a third slot shape,
wherein the first slot shape extends radially outward from the central region to the peripheral region of the grid,
wherein the second slot shape extends radially outward from the middle region to the peripheral region of the grid, and
wherein the third slot shape extends radially outward from the outer region to the peripheral region of the grid.
13. The apparatus of claim 1, wherein the aspect ratio of each slot is constant throughout the slot.
14. The apparatus of claim 1, wherein the controller is configured to produce the lower zone plasma such that a ratio of negative ions to positive ions in the lower zone plasma is between about 0.5-1.
15. The apparatus of claim 1, wherein the controller is configured to produce the lower zone plasma such that a ratio of positive ions to electrons in the lower zone plasma is about 2 or greater.
16. The apparatus of claim 15, wherein the controller is configured to produce the lower zone plasma and the upper zone plasma such that the ratio of positive ions to electrons in the lower zone plasma is at least about 2 times as great as a ratio of positive ions to electrons in the upper zone plasma.
17. The apparatus of claim 1, wherein the controller is configured to produce the lower zone plasma and the upper zone plasma such that (i) a plasma potential in the upper zone plasma is between about 8-35V, (ii) a plasma potential in the lower zone plasma is between about 0.4-10V, and (iii) the plasma potential in the upper zone plasma is greater than the plasma potential in the lower zone plasma.
18. The apparatus of claim 2, wherein the controller is configured to produce the upper zone plasma and the lower zone plasma such that (iii) the effective electron temperature in the upper zone plasma is at least about 2 times the effective electron temperature in the lower zone plasma, and (iv) the electron density in the upper zone plasma is at least about 10 times the electron density of the lower zone plasma.
19. The apparatus of claim 1, wherein the slots in the first and second grids extend roughly radially outwards.
20. The apparatus of claim 12, wherein the aspect ratio of each slot is constant throughout the slot.
US15/055,380 2013-04-05 2016-02-26 Internal plasma grid for semiconductor fabrication Abandoned US20160181130A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/055,380 US20160181130A1 (en) 2013-04-05 2016-02-26 Internal plasma grid for semiconductor fabrication

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361809246P 2013-04-05 2013-04-05
US13/916,318 US9245761B2 (en) 2013-04-05 2013-06-12 Internal plasma grid for semiconductor fabrication
US14/943,483 US11171021B2 (en) 2013-04-05 2015-11-17 Internal plasma grid for semiconductor fabrication
US15/055,380 US20160181130A1 (en) 2013-04-05 2016-02-26 Internal plasma grid for semiconductor fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/943,483 Continuation US11171021B2 (en) 2013-04-05 2015-11-17 Internal plasma grid for semiconductor fabrication

Publications (1)

Publication Number Publication Date
US20160181130A1 true US20160181130A1 (en) 2016-06-23

Family

ID=51654740

Family Applications (5)

Application Number Title Priority Date Filing Date
US13/916,318 Active 2033-06-15 US9245761B2 (en) 2013-04-05 2013-06-12 Internal plasma grid for semiconductor fabrication
US14/082,009 Abandoned US20140302681A1 (en) 2013-04-05 2013-11-15 Internal plasma grid for semiconductor fabrication
US14/943,483 Active 2034-10-04 US11171021B2 (en) 2013-04-05 2015-11-17 Internal plasma grid for semiconductor fabrication
US15/055,380 Abandoned US20160181130A1 (en) 2013-04-05 2016-02-26 Internal plasma grid for semiconductor fabrication
US15/055,439 Active 2034-06-22 US10224221B2 (en) 2013-04-05 2016-02-26 Internal plasma grid for semiconductor fabrication

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US13/916,318 Active 2033-06-15 US9245761B2 (en) 2013-04-05 2013-06-12 Internal plasma grid for semiconductor fabrication
US14/082,009 Abandoned US20140302681A1 (en) 2013-04-05 2013-11-15 Internal plasma grid for semiconductor fabrication
US14/943,483 Active 2034-10-04 US11171021B2 (en) 2013-04-05 2015-11-17 Internal plasma grid for semiconductor fabrication

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/055,439 Active 2034-06-22 US10224221B2 (en) 2013-04-05 2016-02-26 Internal plasma grid for semiconductor fabrication

Country Status (6)

Country Link
US (5) US9245761B2 (en)
JP (2) JP6506915B2 (en)
KR (2) KR102284325B1 (en)
CN (3) CN104103478B (en)
SG (3) SG10201401112YA (en)
TW (3) TWI665709B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9633846B2 (en) 2013-04-05 2017-04-25 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
TWI659853B (en) * 2014-04-25 2019-05-21 美商應用材料股份有限公司 Plasma erosion resistant thin film coating for high temperature application
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
SG11201704367QA (en) * 2015-01-02 2017-07-28 Applied Materials Inc Processing chamber
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (en) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 Plasma-based processing system and operation method thereof
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
CN106676532B (en) * 2015-11-10 2019-04-05 江苏鲁汶仪器有限公司 Metal etch device and method
JP7166921B2 (en) * 2016-01-15 2022-11-08 マトソン テクノロジー インコーポレイテッド PLASMA PROCESSING APPARATUS, SEPARATION GRID FOR PLASMA PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
JP2018078515A (en) * 2016-11-11 2018-05-17 東京エレクトロン株式会社 Filter device and plasma processing apparatus
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180081291A (en) 2017-01-06 2018-07-16 삼성전자주식회사 Method of processing a substrate using an ion beam and apparatus performing the same
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102251664B1 (en) * 2017-03-31 2021-05-14 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 Pedestal assembly for plasma processing equipment
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108878242B (en) * 2017-05-10 2021-01-29 北京北方华创微电子装备有限公司 Plasma device
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
ES2773989T3 (en) * 2017-05-19 2020-07-16 Total Sa Apparatus and method for texturing processing
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102133279B1 (en) * 2018-06-20 2020-07-13 주식회사 엘지화학 Manufacturing method of mold for diffraction grating light guide plate and manufacturing method of diffraction grating light guide plate
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (en) * 2018-08-31 2023-08-04 삼성전자 주식회사 Semiconductor manufacturing apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111146334A (en) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 Magnetic tunnel junction manufacturing method
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
EP3900008A4 (en) 2018-12-17 2023-01-04 Applied Materials, Inc. Ion beam source for optical device fabrication
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11039527B2 (en) * 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
KR20210148409A (en) * 2019-04-26 2021-12-07 램 리써치 코포레이션 High temperature heating of the substrate in the processing chamber
KR102505474B1 (en) 2019-08-16 2023-03-03 램 리써치 코포레이션 Spatially tunable deposition to compensate for differential bow within the wafer
KR102225657B1 (en) * 2019-11-14 2021-03-10 피에스케이 주식회사 Baffle unit, substrate processing apparatus including the same
CN111243991B (en) * 2020-01-15 2022-12-09 北京北方华创微电子装备有限公司 Lining and semiconductor processing equipment
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN117690774A (en) * 2024-02-04 2024-03-12 上海邦芯半导体科技有限公司 ICP device for reducing etching non-uniformity and adjusting method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162323A (en) * 1997-08-12 2000-12-19 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US20050008714A1 (en) * 2003-04-24 2005-01-13 Essam Enan Compositions and methods for controlling insects
US20060001903A1 (en) * 2004-07-01 2006-01-05 Canon Kabushiki Kaisha Image processing apparatus and image processing method
US20080003560A1 (en) * 2001-09-21 2008-01-03 Reprocell Inc. Tailor-made pluripotent stem cell and use of the same
US20080017880A1 (en) * 2006-07-24 2008-01-24 Hung-Yi Lin Si-substrate and structure of opto-electronic package having the same
US20100000096A1 (en) * 2006-05-02 2010-01-07 Rolf Muehlemann Stackable Pieces of Flatware
US20130005944A1 (en) * 2010-02-26 2013-01-03 Looby Richard J CXCR4 Receptor Compounds

Family Cites Families (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (en) 1985-09-10 1994-11-14 松下電器産業株式会社 Method of making substrate of flat information recording medium
JPH0738384B2 (en) 1986-03-18 1995-04-26 富士通株式会社 Plasma assing device
JPH01302645A (en) 1988-02-08 1989-12-06 Anelva Corp Discharging device
JP2552701B2 (en) 1988-02-29 1996-11-13 日本電信電話株式会社 Ion source
US5015331A (en) 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02131550U (en) 1989-03-31 1990-11-01
JP2643457B2 (en) 1989-06-28 1997-08-20 三菱電機株式会社 Plasma processing apparatus and method
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (en) 1990-09-28 1992-05-12 Hitachi Ltd Ion beam etching method and ion beam etching device
JP3149454B2 (en) 1991-05-17 2001-03-26 日本電気株式会社 Upper electrode of single wafer plasma etching system
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (en) 1992-09-08 1994-03-29 Tokyo Electron Ltd Etching equipment
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (en) 1994-02-22 1997-04-30 木下 治久 Plasma process equipment
JPH07335618A (en) 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> Plasma processing method and plasma processing device
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08107101A (en) * 1994-10-03 1996-04-23 Fujitsu Ltd Plasma processing device and plasma processing method
JP3353514B2 (en) * 1994-12-09 2002-12-03 ソニー株式会社 Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device
JP3360461B2 (en) 1995-01-31 2002-12-24 ソニー株式会社 Pretreatment method for metal film formation process
JPH08279495A (en) 1995-02-07 1996-10-22 Seiko Epson Corp Method and system for plasma processing
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
JP3190830B2 (en) 1996-07-22 2001-07-23 日本電気株式会社 Method for manufacturing semiconductor device
US20040071876A1 (en) * 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4043089B2 (en) 1997-02-24 2008-02-06 株式会社エフオーアイ Plasma processing equipment
JPH10242116A (en) 1997-02-25 1998-09-11 Nkk Corp Parallel flat plate type rie apparatus
JPH10270429A (en) 1997-03-27 1998-10-09 Mitsubishi Electric Corp Plasma treating device
JPH1154717A (en) 1997-08-06 1999-02-26 Sanyo Electric Co Ltd Manufacture of dielectric element
JP3364675B2 (en) * 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 Plasma processing equipment
US6238527B1 (en) * 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (en) 1998-02-02 1999-08-10 Matsushita Electron Corp Plasma etching method
JP2002503031A (en) 1998-02-09 2002-01-29 アプライド マテリアルズ インコーポレイテッド Plasma-assisted processing chamber for individually controlling seed density
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (en) 1998-09-22 2000-04-07 Canon Inc Plasma treating unit and treatment method using the same
JP2000208483A (en) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp Method and system for processing wafer
JP2000306884A (en) 1999-04-22 2000-11-02 Mitsubishi Electric Corp Apparatus and method for plasma treatment
JP3948857B2 (en) 1999-07-14 2007-07-25 株式会社荏原製作所 Beam source
JP3366301B2 (en) 1999-11-10 2003-01-14 日本電気株式会社 Plasma CVD equipment
US6646223B2 (en) * 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
JP3510174B2 (en) 2000-03-01 2004-03-22 住友重機械工業株式会社 Ion generator and film forming device
JP2001274143A (en) 2000-03-28 2001-10-05 Tdk Corp Dry etching method, micromachining method and mask for dry etching
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
DE10024883A1 (en) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasma etching system
JP2001332534A (en) 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd Plasma processing method and plasma processing apparatus
WO2001092611A1 (en) * 2000-05-29 2001-12-06 Tohoku Techno Arch Co., Ltd. Method of forming high-quality diamond and device therefor
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002069634A (en) * 2000-08-29 2002-03-08 Canon Inc Thin film forming method and equipment for the same
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP3924483B2 (en) 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
JP2002289585A (en) 2001-03-26 2002-10-04 Ebara Corp Neutral particle beam treatment device
KR20030046189A (en) * 2001-12-05 2003-06-12 변홍식 plasma generator
US7882800B2 (en) 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20050025791A1 (en) 2002-06-21 2005-02-03 Julius Remenar Pharmaceutical compositions with improved dissolution
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (en) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd Plasma processing apparatus
TWI252517B (en) * 2002-11-20 2006-04-01 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP2004281232A (en) * 2003-03-14 2004-10-07 Ebara Corp Beam source and beam treatment device
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (en) * 2003-05-21 2004-12-09 Nec Kansai Ltd Gas dispersing plate of dry etching apparatus
JP4111274B2 (en) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 Magnetic material dry etching method
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP2005116865A (en) 2003-10-09 2005-04-28 Canon Inc System and method for ion milling
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005276931A (en) 2004-03-23 2005-10-06 Toshiba Corp Semiconductor device and its manufacturing method
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100663351B1 (en) * 2004-11-12 2007-01-02 삼성전자주식회사 Plasma processing apparatus
JP4773079B2 (en) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ Control method of plasma processing apparatus
JP2006236772A (en) 2005-02-24 2006-09-07 Ebara Corp Neutral particle beam source and neutral particle beam processing apparatus
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
JPWO2006129643A1 (en) * 2005-05-31 2009-01-08 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2007035728A (en) 2005-07-22 2007-02-08 Renesas Technology Corp Semiconductor device and manufacturing method thereof
JP2007042951A (en) * 2005-08-04 2007-02-15 Tokyo Electron Ltd Plasma processing device
TWI391518B (en) 2005-09-09 2013-04-01 愛發科股份有限公司 Ion source and plasma processing device
KR100653073B1 (en) * 2005-09-28 2006-12-01 삼성전자주식회사 Apparatus for treating substrate and method of treating substrate
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
JP2007149788A (en) * 2005-11-24 2007-06-14 Aqua Science Kk Remote plasma device
US7335602B2 (en) 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP5463536B2 (en) 2006-07-20 2014-04-09 北陸成型工業株式会社 Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
KR100869359B1 (en) 2006-09-28 2008-11-19 주식회사 하이닉스반도체 Method for fabricating recess gate in semiconductor device
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7875555B2 (en) 2007-11-29 2011-01-25 Tokyo Electron Limited Method for plasma processing over wide pressure range
US20090162262A1 (en) 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8187948B2 (en) 2008-02-18 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gap-fill approach for STI formation
US8643280B2 (en) 2008-03-20 2014-02-04 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US7732759B2 (en) 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US8066170B2 (en) * 2008-06-10 2011-11-29 Kulicke And Soffa Industries, Inc. Gas delivery system for reducing oxidation in wire bonding operations
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
JP5100840B2 (en) 2008-09-01 2012-12-19 独立行政法人科学技術振興機構 Plasma etching method, plasma etching apparatus, and photonic crystal manufacturing method
JPWO2010064306A1 (en) 2008-12-03 2012-05-10 富士通株式会社 Manufacturing method of semiconductor device
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010192197A (en) 2009-02-17 2010-09-02 Tokyo Electron Ltd Substrate processing apparatus, and substrate processing method
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (en) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 Ion-beam generating device, substrate processing device, and manufacturing method of electronic device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2534674B1 (en) 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP5388915B2 (en) 2010-03-16 2014-01-15 株式会社東芝 Channel opening / closing device and paper sheet processing device
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101742815B1 (en) 2010-07-23 2017-06-01 삼성전자 주식회사 Coating composition for DUV filtering, method of forming a photoresist pattern using the same and method of fabricating a semiconductor device
JP5735232B2 (en) 2010-08-02 2015-06-17 株式会社イー・エム・ディー Plasma processing equipment
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP2012054304A (en) 2010-08-31 2012-03-15 Tokyo Electron Ltd Etching method and etching apparatus
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5864879B2 (en) 2011-03-31 2016-02-17 東京エレクトロン株式会社 Substrate processing apparatus and control method thereof
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173698A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
JP5893864B2 (en) 2011-08-02 2016-03-23 東京エレクトロン株式会社 Plasma etching method
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
TWI525698B (en) 2011-10-31 2016-03-11 Canon Anelva Corp Magnetic film ion beam etching method and ion beam etching device
WO2013070438A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US8461554B1 (en) 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (en) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching equipment
TWI467625B (en) 2012-08-30 2015-01-01 Univ Chang Gung The plasma processing device
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162323A (en) * 1997-08-12 2000-12-19 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US20080003560A1 (en) * 2001-09-21 2008-01-03 Reprocell Inc. Tailor-made pluripotent stem cell and use of the same
US20050008714A1 (en) * 2003-04-24 2005-01-13 Essam Enan Compositions and methods for controlling insects
US20060001903A1 (en) * 2004-07-01 2006-01-05 Canon Kabushiki Kaisha Image processing apparatus and image processing method
US20100000096A1 (en) * 2006-05-02 2010-01-07 Rolf Muehlemann Stackable Pieces of Flatware
US20080017880A1 (en) * 2006-07-24 2008-01-24 Hung-Yi Lin Si-substrate and structure of opto-electronic package having the same
US20130005944A1 (en) * 2010-02-26 2013-01-03 Looby Richard J CXCR4 Receptor Compounds

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9633846B2 (en) 2013-04-05 2017-04-25 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Also Published As

Publication number Publication date
TWI677025B (en) 2019-11-11
KR20140121367A (en) 2014-10-15
JP6461482B2 (en) 2019-01-30
TWI636481B (en) 2018-09-21
JP6506915B2 (en) 2019-04-24
US20160203990A1 (en) 2016-07-14
US10224221B2 (en) 2019-03-05
SG10201401112YA (en) 2014-11-27
KR102270841B1 (en) 2021-06-29
JP2014204127A (en) 2014-10-27
KR20140121368A (en) 2014-10-15
US9245761B2 (en) 2016-01-26
CN107578973A (en) 2018-01-12
CN104103477A (en) 2014-10-15
CN104103477B (en) 2017-08-11
US20160141188A1 (en) 2016-05-19
KR102284325B1 (en) 2021-08-02
TW201511067A (en) 2015-03-16
TW201511066A (en) 2015-03-16
US20140302680A1 (en) 2014-10-09
US11171021B2 (en) 2021-11-09
TWI665709B (en) 2019-07-11
SG10201401254VA (en) 2014-11-27
CN104103478A (en) 2014-10-15
TW201836012A (en) 2018-10-01
CN107578973B (en) 2020-03-13
CN104103478B (en) 2017-05-10
JP2014239210A (en) 2014-12-18
SG10201708121VA (en) 2017-11-29
US20140302681A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
US10224221B2 (en) Internal plasma grid for semiconductor fabrication
US9633846B2 (en) Internal plasma grid applications for semiconductor fabrication
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
US20180005852A1 (en) Ion to neutral control for wafer processing with dual plasma source reactor

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION