JP2014239210A - 半導体製造用の内部プラズマグリッド - Google Patents

半導体製造用の内部プラズマグリッド Download PDF

Info

Publication number
JP2014239210A
JP2014239210A JP2014076598A JP2014076598A JP2014239210A JP 2014239210 A JP2014239210 A JP 2014239210A JP 2014076598 A JP2014076598 A JP 2014076598A JP 2014076598 A JP2014076598 A JP 2014076598A JP 2014239210 A JP2014239210 A JP 2014239210A
Authority
JP
Japan
Prior art keywords
plasma
grid
chamber
sub
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014076598A
Other languages
English (en)
Other versions
JP2014239210A5 (ja
JP6506915B2 (ja
Inventor
ハーミート・シン
Harmeet Singh
トルステン・リル
Lill Thorsten
バヒド・バヘディ
Vahedi Vahid
アレックス・パターソン
Paterson Alex
モニカ・タイタス
Titus Monica
ゴウリ・カマーシー
Kamarthy Gowri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014239210A publication Critical patent/JP2014239210A/ja
Publication of JP2014239210A5 publication Critical patent/JP2014239210A5/ja
Application granted granted Critical
Publication of JP6506915B2 publication Critical patent/JP6506915B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

【課題】半導体基板をエッチングするための改善された方法および装置を提供する。
【解決手段】プラズマグリッドアセンブリ150が、反応チェンバ内に配置されて、該チェンバを上部サブチェンバ102と下部サブチェンバ103とに分割している。プラズマグリッドアセンブリ150は、1つ以上のプラズマグリッドを含むことができ、プラズマグリッドは、上部サブチェンバから下部サブチェンバに一部の種が通り抜けることを可能とする特別なアスペクト比のスロットを有する。複数のプラズマグリッドを用いる場合には、それらのグリッドのうち1つ以上を可動とすることができ、これにより、少なくとも下部サブチェンバ103におけるプラズマ条件を維持可能とすることができる。
【選択図】図1

Description

[関連出願の相互参照]
本出願は、“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称で2013年4月5日に出願された米国仮特許出願第61/809,246号の優先権の利益を主張して2013年6月12日に出願された“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称の米国特許出願第13/916,318号の優先権の利益を主張するものであり、これらの文献はそれぞれ、その全体がすべての目的のために参照により本明細書に組み込まれる。
半導体製造においてよく採用される工程の1つは、エッチング工程である。エッチング工程では、半製品の集積回路から1つ以上の材料が部分的または完全に除去される。特に、内蔵された形状が小さいか、高いアスペクト比が採用されるか、または精密なパターン転写が要求される場合には、プラズマエッチングがよく用いられる。
一般に、プラズマは、電子と、さらに正・負イオン、およびいくつかのラジカルを含んでいる。ラジカル、正イオン、および負イオンは、基板と相互作用することで、基板上のフィーチャ、表面、および材料をエッチングする。誘導結合プラズマ源を用いてエッチングを実施する場合には、チェンバコイルが、変圧器の一次コイルと同様の機能を果たし、プラズマが、変圧器の二次コイルと同様の機能を果たす。
プレーナ型から3Dトランジスタ構造(例えば、論理デバイス用のフィンFETゲート構造)に移行すると、プラズマエッチングプロセスは、良品を製造するためには、ますます精密かつ均一であることが要求される。プラズマエッチングプロセスは、数ある因子の中でも特に、良好な選択性、プロファイル角、疎/密ローディング、全面均一性を示すものでなければならない。
エッチングプロセスは、エッチングされる材料と残される材料との間の選択性が良好であると有用である。フィンFETゲート構造の文脈では、これは、窒化ケイ素マスクなど他の露出部品に対して、エッチングされるゲートの選択性が良好でなければならないことを意味する。プロファイル角は、直近にエッチングされた(略垂直な)側壁と水平面との間の角度として測定される。多くの応用において、理想的なプロファイル角は90度であり、垂直エッチングにより段差または開口が作製される。場合によって、ウェハ上の局所フィーチャ密度がエッチングプロセスに影響することがある。例えば、フィーチャが密なウェハ領域は、フィーチャがより疎なウェハ領域に比べて、(例えば、より高速の、より低速の、より等方的な、より異方的なエッチングになり得るなど)エッチングに若干違いが生じ得る。フィーチャ密度の違いに起因して生じる差異は、疎(Iso)/密(Dense)ローディング(I/Dローディング)と呼ばれる。製造の際に、このような差異を最小限に抑えることは有用である。これらの要件および他の可能性のあるデバイス固有の要件を満たすことに加えて、多くの場合、エッチングプロセスが基板の全面にわたって一貫して実施されることが要求される(例えば、エッチング条件ならびに結果は、半導体ウェハの中心からエッジまで均一でなければならない)。
フィンFETゲートのような先進構造をエッチングする際に、上記のような複数の目的を達成することは難しいことが分かっている。
本明細書で開示するのは、半導体デバイスの製造において半導体基板およびその上に形成された層のエッチングに用いられる装置である。本明細書で記載する実施形態の一態様において、基板上のフィーチャをエッチングするための装置を提供する。装置は、プラズマを施すことが可能な内部を画成するチェンバと、エッチング中にチェンバ内で基板を保持するための基板ホルダと、チェンバ内でプラズマを生成するためのプラズマ発生器と、プラズマチェンバの内部をプラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割するグリッドアセンブリと、を備えることができ、上部サブチェンバは、下部サブチェンバの高さの少なくとも約1/6の高さを有し、グリッドアセンブリは、チェンバ内でプラズマが生成されるときにグリッドに誘導電流が発生することを実質的に防止する複数のスロットを有する2つ以上のグリッドを含む。
装置は、さらに、上部サブチェンバ内で上部ゾーン・プラズマを生成し、下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、チェンバ内でプラズマを発生させるように設計または構成されたコントローラを備えることができる。一部の実施形態では、下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、上部ゾーン・プラズマの実効電子温度よりも低い。一部の実施形態では、下部ゾーン・プラズマの電子密度は、約5×10cm−3以下であって、上部ゾーン・プラズマの電子密度よりも低い。コントローラは、さらに、グリッドアセンブリの1つ以上のグリッドおよび/または基板ホルダにバイアスを印加するように設計また構成することができる。コントローラは、さらに、チェンバにエッチャントガスを供給するように設計また構成することができる。一部の例では、コントローラは、プラズマにより基板をエッチングする間、チェンバ内の圧力を約2000ミリトール(mTorr)未満とするように設計または構成される。ところが、一部の例では、コントローラは、エッチング中のチェンバ内を、約200ミリトール未満の圧力など、より低い圧力とするように設計または構成される。他の例では、コントローラは、反応チェンバ内の圧力を約1〜20ミリトールの間、または約5〜20ミリトールの間に維持するように設計または構成することができる。コントローラは、さらに、下部サブチェンバ内でイオン‐イオンプラズマを発生させる条件の組み合わせを装置内で与えるように設計または構成することができる。
一部の実施形態では、グリッドアセンブリの少なくとも1つのグリッドは、約1〜50mmの間、または約5〜20mmの間の平均厚さを有することができる。一部の実施形態では、アセンブリのグリッドの厚さの和は、約2〜50mmの間である。一部の例では、スロットは、径方向に向いているか、または略径方向に向いている。グリッドアセンブリの少なくとも1つのグリッドにおけるスロットは、約0.01〜5の間のアスペクト比を有することができる。一部の実施形態では、スロットのアスペクト比は、約0.3〜5の間、約0.5〜2の間、または約1〜4の間である。スロットは、多くの場合、略径方向外向きに延びるように配置されている。場合によって、方位隣接スロットは、少なくとも約15°で隔てられている。これらまたは他の例において、方位隣接スロットは、約60°以下によって隔てることができる。
一部の実施形態におけるプラズマ発生器は、チェンバの天井の上方に配置されたコイルを有する。一部の実施形態では、基板ホルダは、静電チャックである。装置には、他の様々な要素を含むことができる。例えば、装置は、さらに、処理ガス注入口を備えることができる。さらに、装置は、真空接続部を備えることができる。
具体的な実施形態では、プラズマグリッドアセンブリは、第1のグリッドと第2のグリッドとを含む。第1と第2のグリッドは、略同一のスロットを有することができ、または2つのグリッド間でスロットは異なる形状および/もしくはレイアウトとすることができる。第1と第2のグリッドのうち少なくとも一方を、他方のグリッドに対して回転可能とすることができる。その回転は、基板ホルダの上面に垂直な軸に関して生じ得る。いくつかの実現形態では、第1と第2のグリッドは、下部サブチェンバにおけるプラズマ条件を径方向に調整することを可能とするスロットパターンを有することができる。これらまたは他の実現形態において、第1と第2のグリッド間の距離が可変となるように、グリッドのうち少なくとも一方を可動とすることができる。アセンブリ・スロットのアスペクト比は、一部の実施形態において、約0.1〜5の間とすることができる。
本明細書で記載する実施形態の他の態様において、基板上のフィーチャをエッチングする方法を提供し、該方法は、プラズマ発生器とグリッドアセンブリとを備えるチェンバであって、グリッドアセンブリは、プラズマチェンバの内部をプラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割し、少なくとも2つのグリッドを有するものであって、上部サブチェンバは、下部サブチェンバの高さの少なくとも約1/6の高さを有する、チェンバ内の、基板ホルダに基板を供給することと;上部サブチェンバ内で上部ゾーン・プラズマを生成し、下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、チェンバ内でプラズマを発生させることと;下部ゾーン・プラズマと基板との相互作用によって、基板のフィーチャをエッチングすることと、を含む。このような方法のいくつかでは、下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、上部ゾーン・プラズマの実効電子温度よりも低い。一部の実施形態では、下部ゾーン・プラズマの電子密度は、約5×10cm−3以下であって、上部ゾーン・プラズマの電子密度よりも低い。
一部の例では、プラズマを生成する際に、グリッドアセンブリのグリッドに電流は実質的に生じない。方法は、さらに、グリッドにバイアスを印加すること、および/または基板ホルダにバイアスを印加すること、を含むことができる。一部の実施形態では、方法は、さらに、チェンバにエッチャントガスを供給することを含む。エッチングは、約2000ミリトール未満のチェンバ圧力で実施することができ、一部の例では、エッチングは、約1〜200ミリトールの間、または約1〜20ミリトールの間、または約5〜20ミリトールの間のチェンバ圧力で実施される。下部ゾーン・プラズマは、本明細書で記載するような、イオン‐イオンプラズマとすることができる。
該方法は、さらに、グリッドアセンブリの少なくとも1つのグリッドを回転させることを含むことができる。これらまたは他の実現形態において、方法は、さらに、グリッドアセンブリの中心軸に沿ってグリッド間の距離を変更することを含むことができる。典型的には、このような回転調整および/または並進調整は、半製品の半導体デバイスまたは他の構造の特定の層のエッチングを開始する前に実施されるが、必ずしもそうである必要はない。一方、いくつかの実現形態では、これらの調整をエッチングプロセス中に実施することができる。
これらおよび他の特徴について、関連する図面を参照して以下で説明する。
本明細書で開示するいくつかの実施形態により、エッチング工程で使用されるプラズマ処理システムを示す断面模式図である。
本明細書で開示するいくつかの実施形態によるグリッド構造の簡略上視図である。
本明細書で記載するいくつかの実施形態によるグリッド構造の写真として示す図である。
下部サブチェンバにおけるプラズマ条件を径方向に調整するために用いることができる一対のプラズマグリッドを示している。 下部サブチェンバにおけるプラズマ条件を径方向に調整するために用いることができる一対のプラズマグリッドを示している。
本明細書で記載する一実施形態によるC形スロットを有する一対のプラズマグリッドを示している。 本明細書で記載する一実施形態によるC形スロットを有する一対のプラズマグリッドを示している。
両プレートのアパーチャの位置が揃ったとき(図3E)とアパーチャの位置がずれたとき(図3F)の、二重プレート型グリッドアセンブリの断面図を示している。 両プレートのアパーチャの位置が揃ったとき(図3E)とアパーチャの位置がずれたとき(図3F)の、二重プレート型グリッドアセンブリの断面図を示している。
本明細書で記載する一実施形態により、可動プラズマグリッドの上方に配置される固定プラズマグリッドを有する処理チェンバの簡略図を示している。
本明細書で記載する一実施形態により、固定プラズマグリッドの上方に可動プラズマグリッドを有する処理チェンバの簡略図を示している。
エッチング副生成物の解離によって生じるいくつかの問題を示す説明図である。 エッチング副生成物の解離によって生じるいくつかの問題を示す説明図である。 エッチング副生成物の解離によって生じるいくつかの問題を示す説明図である。
従来の高圧技術(7A)と、プラズマグリッドを用いた一実施形態(7B)により、エッチングされたフィンFET構造のSEM像を示す図である。 従来の高圧技術(7A)と、プラズマグリッドを用いた一実施形態(7B)により、エッチングされたフィンFET構造のSEM像を示す図である。
従来の低圧技術(8A)と、プラズマグリッドを用いた本開示の一実施形態(8B)により、エッチングされたフィーチャのSEM像を示す図である。 従来の低圧技術(8A)と、プラズマグリッドを用いた本開示の一実施形態(8B)により、エッチングされたフィーチャのSEM像を示す図である。
プラズマグリッドを用いることなく、いくつかのレジームに従ってエッチングされたフィーチャの様々なSEM像を示す図である。
本出願において、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「半製品の集積回路」という用語は、区別なく用いられる。「半製品の集積回路」という用語が、集積回路がその上に作製される多くの段階のいずれかにおける半導体ウェハ上のデバイスを指し得ることは、当業者であれば理解できるであろう。以下の詳細な説明では、本発明がウェハ上で実施されることを想定している。ただし、本発明はこれに限定されない。ワークピースは、様々な形状、サイズ、材質のものであり得る。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示する実施形態は、それら特定の詳細の一部または全部がなくても実施することができる。また、開示する実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示する実施形態は、具体的な実施形態に関連させて説明されるが、当然のことながら、開示する実施形態を限定するものではない。
開示するのは、半導体デバイスの製造において半導体基板およびその上に形成された層のエッチングに用いられる装置である。装置は、エッチングが実施されるチェンバによって画成される。一部の実施形態では、チェンバは、平面窓と、略平面状の励起コイルと、エッチング中に半導体基板を保持するためのペデスタルまたはチャックと、を備える。当然のことながら、本開示は、特定のタイプのプラズマ源に限定されない。平面状の励起コイルの他に、ドーム型および平板型のプラズマ源を採用することができる。プラズマ源には、誘導結合プラズマ(ICP)源、容量結合プラズマ(CCP)源、ならびにその他、当業者に周知のものが含まれる。本明細書で記載する実施形態では、チェンバ内に配置されて、チェンバを2つのサブチェンバに分割するグリッドを利用する。いくつかの実施形態において、「グリッドアセンブリ」と呼ばれることもある2つ以上のグリッドからなる積層群が用いられる。作動中には、サブチェンバはそれぞれ、異なる特性のプラズマを収容している。プラズマは、主として、または専ら、上部サブチェンバにおいて生成され、一部の種は、影響なくグリッドもしくはグリッドアセンブリを通り抜けて、下部サブチェンバに入ることができる。グリッドは、グリッドの厚さを貫通したスロットを有する。いくつかの実現形態では、これらのスロットは、略径方向外向きに延びている。本明細書で用いられる場合の「略径方向外向きに延びる」とは、その説明される特徴部が、少なくともある程度、径方向に向いた成分を有することを意味する。つまり、その特徴部の一部が、略中心からエッジへの方向に延びていればよく、特徴部全体が、すべて径方向に向いている必要はない。また、「中心からエッジへの方向」は、中心からエッジへの真の方向の周囲のある角度範囲(例えば、中心からエッジへの真の方向の約20度の範囲内)を含むものと定義される。
グリッドもしくはグリッドアセンブリは、グリッドの厚さを貫通した複数の径方向スロットを含むことができる。グリッドおよびスロットは、上部サブチェンバ内のほんの一部の高エネルギー電子のみがグリッドを通過し得るように設計されている。一般に、より高エネルギーの電子は、集合的に、グリッドを通過して下部サブチェンバに入るときに、より低エネルギーの「より低温の」電子になる。高エネルギー電子は、グリッドを通過するのに十分なエネルギーを有し得るものの、それらの多くは、グリッドと衝突する角度でグリッドに接近して、エネルギーを損失する。実際にグリッドを通り抜ける高エネルギー電子は、励起源から既に切り離されているため、集合的に、グリッドの下でプラズマを維持するのに十分なエネルギーを持たない。高温電子が下部チェンバで低温となるメカニズムには、グリッドとの衝突と、グリッドの下での中性種との衝突と、グリッドの下の電子がグリッドの上方の励起源から遮蔽されることと、が含まれる。このようにして、グリッドにより、下部サブチェンバにおいて、低電子密度(n)および低平均実効電子温度(T)を有するプラズマを生成することができる。グリッドもしくはグリッドアセンブリの上方では、一般に、プラズマは、通常の電子‐イオンプラズマであり、その中の負に帯電した種のうち極めて多くの部分が電子である。グリッドもしくはグリッドアセンブリの下方では、プラズマは、はるかに高い割合で負イオンを含み、実際には、イオン‐イオンプラズマであり得る。イオン‐イオンプラズマのいくつかの特性については、後述する。大まかには、イオン‐イオンプラズマは、電子‐イオンプラズマと比較して、負に帯電した種として(電子よりも)イオンを、はるかに高い割合で含むものである。
[反応器内のグリッドの位置]
グリッドもしくはグリッドアセンブリは、プラズマチェンバ内に配置されて、これにより、チェンバを上部サブチェンバと下部サブチェンバとに分割している。本明細書で記載するようなグリッドを備えるように改良するのに適したチェンバの一例は、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corporation)による反応器Kiyoである。文脈として、以下の説明では図1を参照することを想定することができ、これについてはさらに後述する。いくつかの実現形態において、グリッドは、反応チェンバの内部底面の上方、約1〜6インチの間に、またはペデスタルなどの基板支持部の上方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置される。これらまたは他の実現形態において、グリッドは、反応チェンバの内部天井の下方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置することができる。多くの場合、天井には、誘電体窓が装備されている。
一部の実施形態では、上部サブチェンバと下部サブチェンバの高さは、略同じ(例えば、約5%以内)であり、一方、他の実施形態では、それらの高さは、より顕著に異なり得る。サブチェンバ高さ比とも呼ばれる、下部チェンバの高さに対する上部チェンバの高さの比率(h/h)は、約0.1〜10の間、または約0.2〜5の間とすることができる。いくつかの実施形態において、サブチェンバ高さ比は、約1/6よりも大きい。
グリッドは、ウェハに近すぎると、ウェハ面にグリッドの跡が生じる原因となり得るので、そのように配置されてはならない。すなわち、処理後のウェハ面に、望ましくないグリッドのスロットパターンが生じて、基板表面上で深刻なエッチング不均一性を引き起こすことがある。多くの応用において、基板の頂面からグリッドまでの離間距離が少なくとも約1インチであると十分である。
[グリッド設計]
グリッドを実現するために、様々な設計を採用することができる。いくつかの実施形態では、グリッドは、比較的単純な薄板材であって、一部の電子が上部サブチェンバから下部サブチェンバへ通過することを可能にするスロット、略円形の孔、または他の穿孔部を有する。他の実施形態では、グリッドは、より複雑な、複数の構成要素を有するグリッドアセンブリで構成することができる。例えば、グリッドアセンブリは、複数のグリッド、支持要素、および/または駆動要素を有することができる。
単純な実現形態では、グリッドは、スロットを有する比較的薄い板である。加えて、一部の実施形態では、グリッドは、孔を有することができる。この場合、グリッドは、孔とスロットとを併せて有する。グリッド構造の非限定的な例を、図2A‐2Bおよび3A〜3Dに示している。グリッドに含まれる材料は、絶縁体、導体、またはそれらの組み合わせとすることができる。いくつかの実現形態において、グリッドは1つ以上の材料を含み、それらの材料には、限定するものではないが、金属と、ステンレス鋼、アルミニウム、チタンなどの金属合金と、セラミック、シリコン、炭化ケイ素、窒化ケイ素、およびそれらの組み合わせが含まれる。材料は、例えば耐食性のための陽極酸化または他の不動態化が施されていても、または施されていないものであってもよい。一実施形態において、グリッドは、セラミックコーティングを有する金属材料で構成される。他のコーティングを用いることもできる。コーティングされたグリッドを用いることは、特に被エッチング層が揮発性である場合に有効である。いくつかの実現形態において、グリッドは、純粋なコーティングで被覆することができ、それには、例えば、Y、YF、YAG、窒化チタン、またはCeOのコーティングが含まれるが、ただし、これらに限定されない。また、グリッドは、接地するか、フローティングとするか、またはバイアスすることができる。いくつかの実現形態において、接地されたグリッドは、陰極の拡張バイアス電流帰路として機能する。
グリッドは、一般に、チェンバの水平断面全体に及ぶものである。チェンバが(上から見て)円形である場合、グリッドも円形となる。これによって、グリッドは、反応チェンバを2つのサブチェンバに効果的に分割することが可能となる。いくつかの設計において、グリッドの円形形状は、典型的には円形ウェハである基板のジオメトリによって規定される。周知のように、ウェハは、一般に、200mm、300mm、450mmなど、様々なサイズで提供される。正方形もしくは他の多角形の基板、またはより小さな基板の場合には、チェンバ内で実施されるエッチング工程に応じて、他の形状が可能である。この場合、グリッドの断面は、様々な形状およびサイズとすることができる。平坦な平面状のグリッド断面は、一部の実施形態には適している。しかしながら、他の実施形態では、皿状、ドーム状、周期的形状(例えば、正弦波形状、矩形波形状、山形状)、傾斜状などのグリッド断面が適切である。これらの断面形状のいずれかを貫通したスロットまたは孔は、(本明細書の別項に記載するようなアスペクト比を含む)特性を有することになる。
グリッドは、平均して、約1〜50mmの間の厚さ、好ましくは約5〜20mmの間の厚さとすることができる。グリッドは、厚すぎると、適正に機能しない場合がある(例えば、通過を阻まれる種が多すぎること、質量が大きすぎること、反応チェンバで占めるスペースが大きすぎること、などがある)。グリッドは、薄すぎると、プラズマ処理に耐えることができない場合があり、かなり頻繁な交換が必要となり得る。一般に、スロットの高さはグリッドの厚さによって決まるので、グリッドの厚さは、後述するように、グリッドにおけるスロットの所望のアスペクト比によっても制限される。
一部の実施形態において、グリッドは、上流プラズマと下流プラズマとの間の分離器として機能し、この場合、下流プラズマは、下部サブチェンバ内にあって、ラジカルリッチとなり得る。このように、グリッドを装備したプラズマチェンバによって、現在はカリフォルニア州フリーモントのラムリサーチ社であるノベラスシステムズ社(Novellus Systems)から入手可能なGAMMA(登録商標)プラットフォームツールなどの既存のリモートプラズマ・ツールで達成されるのと同様の結果を得ることができる。この目的で機能させる場合には、グリッドは、比較的厚く、例えば約20〜50mm厚とすることができる。
いくつかの実施形態において、グリッドは、長くて薄い形状のスロットを有する。スロットは、グリッドの中心から径方向外向きに延びている。スロットは、高さ、幅、および長さを有する(幅と長さを、図2Aにおいてラベルで明示している)。スロット高さは、グリッド面に垂直な軸に沿って測定され(すなわち、スロット高さは、ほとんどの作動構成において垂直な向きとなる)、この高さは、グリッドの厚さに略等しい。スロットの幅は、スロットの径方向範囲にわたって可変または一定とすることができる。いくつかの例において、スロットは、扇形と(すなわち、グリッドの中心に向かってより薄く、エッジに向かってより厚く)することができる。いくつかの実施形態において、スロットは、その長さ方向がグリッドの中心から外向きに(すなわち、径方向に)延びている。いくつかの実施形態において、スロット幅は、約25mm以下である。スロットの長さは、グリッドの方位角方向範囲の周りで可変または一定することができる。径方向スロットの分離角は、グリッドの周りで可変または一定することができる。
もしグリッドにスロットがない場合には、プラズマ生成中に、グリッドに電流が誘導されることになる。この電流は、グリッドの周りに略環状に流れるか、または局所渦電流を形成し、そして、電力消費が増加することになる。ところが、スロットがあることによって、そのような寄生電流の発生が防止され、これにより電力が節約されて、その結果、より効率的なプロセスとなる。略円形の孔などのような形状の開口部は、このような電流の発生を防ぐ効果が比較的低い。そこで、上述のように、円形開口部は、スロット状開口部と併せて用いることができる。
スロットのアスペクト比は、スロットの幅に対するその高さの比(h/w)と定義される。通常、このアスペクト比のジオメトリは、スロットの長さ方向(多くの場合、径方向)に垂直な断面として見ることができる。スロットの幅は可変とすることができるので、アスペクト比は、同様に可変とすることができる。いくつかの実施形態において、(グリッド全体にわたって可変または一定とすることができる)スロットのアスペクト比は、約0.01〜5の間、または約0.3〜5の間、または約1〜4の間、または約0.5〜2の間である。多くの実施形態では、このようなアスペクト比を有するグリッドによって、上部サブチェンバと比較して、下部サブチェンバにおける電子密度および実効電子温度を低下させる。上述のように、電子がスロットを通過する際に、多くの高温電子がグリッドに衝突することを少なくとも一因として、実効電子温度が低下すると考えられる。また、下部サブチェンバの電子はグリッドによって遮蔽されることで、プラズマコイル(または他のプラズマ源)からの誘導加熱を受けないため、下部サブチェンバにおける実効電子温度は、上部サブチェンバと比較して低下する。
孔をスロットと共に用いる場合には、孔は、スロットと同じ目的を果たすことができる。従って、それらは、通常、上記のようなアスペクト比を有する。いくつかの実施形態において、孔は、約0.05インチ〜約0.2インチの範囲の直径を有する。それらは、グリッドの厚さ全体を貫通している。
グリッドにより得られる更なる効果は、メイン注入器からの対流効果を緩和することができることである。これによって、ウェハ面へのガス流を、より均一とすることが可能である。ウェハと上部チェンバ内のガス注入器(複数の場合もある)との間にグリッドもしくはグリッドアセンブリがあることによって、グリッドがガス流を妨げることで、ウェハ上で、より拡散性の高いフローレジームが得られるので、ガス注入器から送出されるガスの対流の影響を著しく軽減することが可能である。
一部の実施形態では、グリッドは、ガス吐出孔を含んでいる。このような実施形態では、グリッドは、上部サブチェンバおよび/または下部サブチェンバのためのシャワーヘッドであるという付加的な目的を果たすことができる。このような実施形態では、1つ以上のグリッドに、1つ以上のチャネルを含むことができる。これらのチャネルに、注入口(または複数の注入口)からガスを供給して、そのガスをグリッド(複数の場合もある)の複数の出口孔に送ることができる。出口孔は、上部サブチェンバおよび下部サブチェンバのいずれかまたは両方に処理ガスを送出するガス分配シャワーヘッドを形成することができる。
いくつかの実現形態において、グリッドは、グリッドを貫通してプロービング装置を配置することを可能にする特徴部を含む中心領域などの領域を有する。プロービング装置は、作動中のプラズマ処理システムに関連するプロセスパラメータをプローブするために設けることができる。プロービングプロセスには、発光終点検出、干渉法による終点検出、プラズマ密度測定、イオン密度測定、および他の計量プロービング操作を含むことができる。一部の実施形態では、グリッドの中心領域は、開口している。他の実施形態では、グリッドの中心領域は、光がグリッドを透過することを可能とするため、光学的に透明な材料(例えば、石英、サファイアなど)を含んでいる。
いくつかの実施形態では、300mmウェハ用エッチャの場合に、グリッドの外縁付近で約15mm〜40mm毎に、グリッドにスロットを有することが好ましい場合がある。これは、方位隣接スロットが、それぞれ約18度または約48度で隔てられていることに相当する。このように、いくつかの実施形態において、方位隣接スロットは、少なくとも約10度または少なくとも約15度で隔てられている。これらまたは他の実施形態において、方位隣接スロットは、約40度以下、または約50度以下、または約60度以下で隔てられている。
一部の実施形態では、プラズマグリッドは、グリッドに埋め込まれた冷却チャネルを有することができ、これらの冷却チャネルは、流動性または非流動性の冷却材で満たすことができる。いくつかの実施形態において、冷却材は、ヘリウムもしくは他の不活性ガス、またはDI水、プロセス冷却水、フロリナートなどの液体といった流体、あるいはパーフルオロカーボン、ハイドロフルオロカーボン、アンモニア、CO2などの冷媒である。これらまたは他の実施形態において、プラズマグリッドは、埋め込まれた発熱体および/または温度測定装置を有することができる。冷却チャネルと埋め込みヒータとによって正確な温度制御を可能とし、これにより、粒子および壁の条件に対する精密制御が可能となる。このような制御は、一部の例において、下部ゾーン・プラズマにおける条件を調整するために用いることができる。例えば、プラズマグリッドがより低い温度に維持される場合には、ウェハからのエッチング副生成物をグリッドに選択的に沈着させて、これにより、下部サブチェンバにおけるエッチング副生成物の気相密度を抑える。あるいは、グリッドもしくはグリッドアセンブリを高温(例えば、80℃超)に維持することができ、これにより、グリッドへの沈着を抑えて、チェンバを比較的清浄に保つことができるようにし、さらに/またはウェハレス自動クリーニング(WAC:Waferless Auto Clean)でチェンバをクリーニングするのに要する時間を削減できるようにする。
いくつかの実施形態に含まれ得る他の特徴は、グリッドが、上部サブチェンバおよび下部サブチェンバのいずれかまたは両方に対して、処理ガスを送出するためのシャワーヘッドとして機能し得るということである。この場合、グリッドは、ガス供給源を上部サブチェンバおよび/または下部サブチェンバに接続する複数のチャネルを含むことができる。シャワーヘッド孔は、サブチェンバ内へのガス送出が均一となるように配置することができる。
また、一部の実施形態では、複数のガス供給源が用いられる。例えば、(1つまたは複数のシャワーヘッド型グリッドによるか、または他のガス送出手段によるか、いずれかにより)上部サブチェンバと下部サブチェンバに、異なる処理ガスを送出することができる。具体的な実現形態では、不活性ガスが上部サブチェンバに送出され、プラズマエッチング化学物質が下部サブチェンバに送出される。他のいくつかの実施形態では、上部サブチェンバに送出されるガスは、H、N、O、NF、またはCもしくは他のフルオロカーボンであるが、ただし、実施形態はこのように限定されない。これらまたは他の実現形態において、下部サブチェンバに送出されるガスは、N、CO、またはCFもしくは他のフルオロカーボンとすることができるが、ただし、先と同じく実施形態はこのように限定されない。
場合によっては、ワーク基板に隣接するプラズマ条件を幅広く可能とするプラズマ処理反応器を用いることが、有用である。そのような条件には、プラズマ密度、プラズマの実効電子温度、およびプラズマ中のイオンに対する電子の比率が含まれる。ありのままの(in‐situ)処理では、チェンバ内で複数の層が処理されている場合に、それぞれの層の処理条件に変更することが必要となり得る。位置固定されたグリッドによって、反応器の動作ウィンドウが制限される場合があり、例えば、下部サブチェンバでイオン‐イオンプラズマを発生させるようにグリッドが最適化されると、高プラズマ密度が実現可能とならないことがある。そこで、一部の実施形態では、回転および/または並進により照準開口領域のグリッド線を調整可能なグリッドおよびグリッドアセンブリを設ける。
一部の実施形態では、プラズマグリッドを、プラズマコイルまたは他のプラズマ源に対して上昇または下降させることが可能である。これは、例えば、可動ステージ上にグリッドを取り付けることによって実現することができる。いくつかの実現形態において、この上下移動により、オペレータまたはコントローラは、上部ゾーン・プラズマおよび下部ゾーン・プラズマにおける実効電子温度、電子密度またはプラズマ密度、イオンに対する電子の比率、ラジカル濃度などを変更することが可能となる。また、ラジカル濃度は、プラズマグリッドの高さの影響を受けるので、可動プラズマグリッドを用いることにより、多段階プロセス全体にわたってラジカル濃度を調整できるプロセスが可能となる。ラジカル種は化学反応性が高く、負イオンは電子と異なるエッチング特性を有するので、このパラメータは、所望の反応を得るように調整/制御するのに、特に有用である。
また、いくつかの実現形態において、反応チェンバ内で、複数のプラズマグリッドを単一のグリッドアセンブリで用いることができる。複数のグリッドを用いる場合のグリッド数は、典型的には約2〜5の間である。複数のプラズマグリッドを用いる場合には、プラズマグリッドのうち少なくとも1つを、他のプラズマグリッドの少なくとも1つに対して可動とすることが多い。一般に、そのような動きは、グリッドを回転させるか、または引き離すか、いずれかによって達成される(場合によっては、両タイプの動きが用いられる)。グリッドアセンブリにおいて回転可能グリッドを用いることで、異なるプロセス/ウェハ間と、単一のプロセス/ウェハでそのウェハを処理する過程内と、その両方で、グリッド開口面積を変更することが容易に可能となる。重要なことは、下部サブチェンバにおける実効電子温度および電子密度は、グリッド開口面積に依存するということである。
複数のグリッドを用いる場合には、いくつかの追加パラメータを規定すると有用である。アセンブリ・スロットまたは他の開口部は、上から見て、1つのグリッドの開口部が他のグリッド(複数の場合もある)の開口部と位置が揃うと、これによって、図3Eに示すように、プラズマグリッドアセンブリを通り抜ける明確な照準線が形成されるような、アセンブリの領域である。グリッドのスロット/孔の位置が揃っていない場合には、図3Fに示すように、グリッドアセンブリを通り抜ける明確な照準線がない。図3E、図3Fでは、上側グリッド302が、下側グリッド304の上方に配置されている。ハッチングにより示されたグリッド302および304のそれぞれの下側の黒く塗りつぶされた領域は、そこを通って種が移動することができる開放領域である。具体的な実施形態では、下側グリッド304の下の塗りつぶし領域は、下部サブチェンバの上側部分である。典型的には、単一のプラズマグリッドアセンブリに、複数のアセンブリ開口部がある。個々のグリッドが相互に動くと、アセンブリ開口部のジオメトリは変化する。例えば、第1のグリッドが第2のグリッドに対して回転すると、アセンブリ・スロットの幅が変化し得る。同様に、グリッドが回転または他の動きを相互にすると、最上グリッドの上面と最下グリッドの底面との間の総距離を照準開口幅で除算したものとして定義されるアセンブリ・スロットのアスペクト比が、変化し得る。いくつかの実施形態において、アセンブリ・スロットのアスペクト比は、約0.1〜5の範囲内とすることができる。
グリッドアセンブリ開口面積は、(グリッド面に平行な平面から見て)スロットの位置が揃っている場合のグリッドアセンブリのスロットの総面積と定義される。図3Fに示すようにスロットの位置がずれている場合には、プラズマ中の一部の種(特に、イオンおよび電子などの荷電種)が、下部サブチェンバに移動することは実質的にない。このようにスロットの位置をずらした配置によって、アセンブリにおけるスロットのアスペクト比を効果的に増加させるか、またはスロットの重なりがない場合にはアセンブリ・スロットが完全になくなることで、上部サブチェンバから下部サブチェンバに移動する高温電子の割合を減少させる。一方、図3Eにあるようにスロットの位置が揃っている場合には、上述のようにプラズマ種がスロットを通り抜けることができる。一例では、2つの同一のプラズマグリッドが用いられ、それぞれが約50%の開口(スロット)面積を有する。本例では、グリッドアセンブリ開口面積は、0%(個々のプラズマグリッドを完全にずらした場合)と約50%(個々のプラズマグリッドの位置を正確に揃えた場合)との間で変化し得る。別の例では、それぞれのプラズマグリッドは、約75%の開口面積を有する。この場合、グリッドアセンブリ開口面積は、約50〜75%の間で変化し得る。グリッドアセンブリ開口面積を変化させることにより、下部サブチェンバにおけるプラズマ条件を調整することができる。例えば、グリッドアセンブリ開口面積がより大きいと、グリッドアセンブリ開口面積がより小さい場合と比較して、下部ゾーン・プラズマの実効電子温度が高く、下部ゾーン・プラズマの電子密度が高く、下部ゾーン・プラズマ中のイオンに対する電子の比率が高く、下部ゾーン・プラズマ中のラジカル濃度が低い。
複数のグリッドを用いることは、それによって、単一の処理ステーションでウェハ上のプラズマ密度および他のプラズマ条件の広いプロセスウィンドウが得られることから、特に効果的である。このような効果は、多層および/または多種の露出材料を有する複雑な構造を処理する場合に、特に有用である。上述のように、処理される各層で処理条件を変更することが、しばしば必要となる。
各プラズマグリッドのスロットパターンは、他のプラズマグリッドのスロットパターンと同じであっても、または異なっていてもよい。また、スロットパターンは、ウェハの特定の領域の上方で開口領域を提供するように設計されていてもよい。例えば、スロットは、ウェハのエッジと比べてウェハの中心付近に、より多くの開口領域があるように(またはその逆に)設計することができる。さらに、スロットは、グリッドアセンブリ開口領域が、プロセスにおける異なる時点でウェハの異なる部分に集中するように設計することができる。例えば、スロットは、グリッドアセンブリ開口領域が、プロセスの開始に向けてウェハの中心付近に集中し、プロセスの終わりに向けてウェハのエッジ付近に集中するように(またはその逆に)設計することができる。このような回転によって、いくつかのパラメータの例として、ガス流、プラズマ密度、プラズマの種類(例えば、イオン‐イオンプラズマ)、および実効電子温度などを、プロセスの過程でウェハの径方向にわたって調整することが可能となる。このような調整機能は、ウェハ全面にわたって均一なエッチング結果を得るのに有用となり得るものであり、また、そうでなければ処理の際に生じるような中心からエッジへの不均一性に対処する上で、特に有用となり得るものである。このような径方向の調整効果を得るために、プラズマグリッドアセンブリで用いることができる一対の電子グリッドの一例を、図3A、図3Bに示している。これらの図では、スロット(開口領域)を灰色で示し、グリッドの実体部分を白色で示している。
引き離し可能なグリッドを用いることで、いくつかの距離を制御および調整することが可能となる。例えば、調整され得る距離には、ウェハと下側グリッドとの間の距離、上部サブチェンバの上端と上側グリッドとの間の距離、および/またはグリッド間の距離が含まれる。これらの可変距離によって、単一の固定グリッドと比較して、ウェハ上方で、より幅広い電子温度およびプラズマ密度の調整が可能となる。
一部の実現形態では、可動と固定の両方のプラズマグリッドを有するプラズマグリッドアセンブリを利用する。グリッドは、接地するか、または電気的にフローティングとすることができ、また、回転アクチュエータまたはリフタなどの駆動要素に接続された支持脚または他の機能によって支持することができる。いくつかの実施形態において、駆動要素は、ウェハおよびウェハ支持台の下方に配置されるが、ただし、他の配置を採用することもできる。支持脚は、支持されるグリッドが接地されるか、または電気的にフローティングとされるかに応じて、導電性または絶縁性とすることができる。
一般に、固定グリッドを接地することが有効である。図4に示すように、固定グリッドが可動グリッドの上方に配置される場合には、固定グリッドの接地接続は、上部チェンバの励起源からグリッドに流れるRF電流のための良好な接地経路を提供する。これは、上部チェンバが、ICP源で励起され、かつ約5cm未満の高さである場合か、またはVHF CCP源を用いて上部ゾーン・プラズマを発生させる場合に、特に有用となり得る。図5に示すように、固定グリッドが可動グリッドの下方に配置される場合には、接地接続は、下部ゾーン・プラズマのバイアス電流用の大きな接地帰路面を提供する。これは、エッチングプロセスでウェハ上に大きなバイアス電圧(例えば、約100V超)を必要とする場合に、特に望ましいことがある。
可動グリッドの最適な電気的接続は、固定グリッドと可動グリッドの相対位置に依存し得る。固定グリッドが可動グリッドの上方に配置される場合には、可動グリッドを電気的にフローティングとすると有効であり得る。一方、固定グリッドが可動グリッドの下方に配置される場合には、可動グリッドを接地するか、またはフローティングとするか、いずれかとすることができる。可動グリッドが接地される場合には、支持構造は、導電性(例えば、金属)でなければならない。可動グリッドが電気的にフローティングである場合には、支持構造は、絶縁性でなければならない。
固定グリッドが可動グリッドの下方に配置される場合には、固定グリッドは、可動グリッドサポートが該サポートを駆動要素と接続するために固定グリッドを貫通して延びることを可能とするための、弧状スロット(または、そのスロットに沿って弧状の動きを可能とする他のスロット)を有することができる。上述のように、この実現形態を図5に示している。あるいは、可動グリッドは、反応チェンバの周囲から内側に延出する可動支持構造によって支持することができ、または反応チェンバの上端に接続する構造によって支持することができる。どのような実現形態を用いる場合でも、支持構造は、所望の上部ゾーン・プラズマおよび下部ゾーン・プラズマの形成を妨げることがないように設計されなければならない。また、グリッドを動かすためのアクチュエータは、アクチュエータからウェハへの粒子付着のリスクを最小限とするように、ウェハ平面から十分下方に保持されることが望ましい。
グリッドアセンブリは、DC源またはRF源を用いてバイアスすることができる。グリッドアセンブリが複数の導電性グリッドを有する場合は、それらをまとめて同電位にバイアスすることが望ましい。あるいは、グリッドアセンブリを、1つのみの導電性グリッドと1つ以上のフローティング/絶縁性グリッドで構成することができ、その場合、導電性グリッドのみがバイアスされる。
[プラズマ特性]
グリッドは、チェンバのプラズマを、2つのゾーン、すなわちプラズマ生成用のコイルに近接した上部ゾーンと、基板ホルダに近接した下部ゾーンとに、効果的に分割する。いくつかの実施形態において、上部ゾーンのプラズマは、比較的「高温」の高エネルギー電子を含んでいる。このプラズマは、しばしば電子・イオンプラズマと特徴付けられる。いくつかの実施形態において、下部ゾーンのプラズマは、比較的「低温」の低エネルギー電子を含んでいる。この下部ゾーン・プラズマは、しばしばイオン・イオンプラズマと特徴付けられる。
プラズマは、主として、または専ら、上部サブチェンバにおいて生成することができる。一実施形態では、上部サブチェンバの上方に位置するコイルに電流を流すことによって、上部サブチェンバにおいて誘導結合プラズマが生成される。シングルコイルまたは多重コイルを採用することができる。他の実施形態では、例えば、VHF CCP源を用いて、容量結合プラズマが生成される。グリッドがあることによって、上部サブチェンバのプラズマは、下位サブチェンバのプラズマとは明確に異なる特性を有する。
多くの実施形態において、上部ゾーン・プラズマは、通常の電子・イオンプラズマである。この種のプラズマでは、正に帯電した種のほとんどは正イオンであり、負に帯電した種のほとんどは電子である。負イオンは存在するものの、それらは比較的低濃度にすぎない。これに対し、下部サブチェンバのプラズマは、イオンリッチ・プラズマであり、多くの場合、イオン・イオンプラズマである。イオン・イオンプラズマは、電子・イオンプラズマと比較して、負イオンである負に帯電した種の割合がより大きく、電子である負に帯電した種の割合がより低い。いくつかの実現形態において、イオン・イオンプラズマ中の電子濃度に対する正イオン濃度の比率(電子に対する正イオンの比n/nとも呼ばれる)は、約2以上であり、いくつかの例では、約5以上であるか、さらには約10以上である。一部の例では、電子に対する正イオンの比は、下部プラズマでは、上部プラズマよりも少なくとも約2倍大きい(例えば、少なくとも5倍大きい)。
2つのプラズマ間の関連差異は、上部ゾーン・プラズマのほうが、著しく高い電子密度を有することである。例えば、下部ゾーン・プラズマの電子密度は、約5×10cm−3以下(例えば、約1×10cm−3以下)とすることができる。このような範囲は、特に電子負性処理ガスに適用可能である。上部ゾーン・プラズマは、下部ゾーン・プラズマのそれよりも少なくとも約10倍大きい(例えば、少なくとも約100倍大きい、または少なくとも約1000倍大きい)電子密度を有することができる。いくつかの例において、下部サブチェンバは、イオン・イオンプラズマを有し、その場合の電子密度は、負イオン密度および正イオン密度よりも、少なくとも一桁小さい。具体的な一例では、Neは〜10cm−3、Ni+は〜10cm−3、Ni−は〜10cm−3である。
上部ゾーン・プラズマと下部ゾーン・プラズマとの間の追加的な差異は、電子:イオン比に間接的に多少基づくものであるが、通常、下部ゾーン・プラズマのほうが、正イオンに対する負イオンの比率がより高いということである。上部ゾーンの電子・イオンプラズマは、通常、主として正イオンと電子を含み、負イオンは比較的少ないので、負イオン:正イオン比は低くなる。下部ゾーン・プラズマにおける負イオン:正イオン比は、約0.5〜1の間(例えば、約0.8〜0.95の間)とすることができる。
下部ゾーン・プラズマ中の比較的低い電子濃度についての、限定的ではない1つの説明は、最初に下部ゾーンにある電子(例えば、上部ゾーンから下部ゾーンにグリッドを通り抜けた電子)は、一般に、RF電場により加熱されず、ガス分子との非弾性衝突によって急速にエネルギーを損失し、その結果、低実効電子温度となるということである。これらの低エネルギー電子は、中性種と相互作用して負イオンを生成する可能性が、(上部ゾーン・プラズマ中の高エネルギー電子と比較して)より高い。電子は、中性粒子に付着して負イオンを生成するためには、比較的低エネルギーでなければならない。このような負イオンの生成は、高エネルギー電子では発生せず、それらは、中性種と衝突したときに、結合して負イオンを生成するのではなく、他の電子を「蹴り出す」ことがある。
指摘したように、実効電子温度は、下部ゾーン・プラズマに比べて、上部ゾーン・プラズマで高い。電子は、グリッドのスロットを通過する際に冷却され得る。典型的には、下部ゾーン・プラズマの実効電子温度は、約1eV以下である。いくつかの例において、下部ゾーン・プラズマの実効電子温度は、約0.1〜1eVの間(例えば、約0.2〜0.9eVの間)とすることができる。実効電子温度は、電子ボルトで測定した場合、下部ゾーン・プラズマにおけるよりも、上部ゾーン・プラズマにおいて、少なくとも約2倍高く(例えば、少なくとも約3倍高く)なり得る。具体的な実現形態では、上部ゾーン・プラズマは、約2.5eVの実効電子温度を有し、下部ゾーン・プラズマは、約0.8eVの実効電子温度を有する。いくつかの実施形態において、このような実効電子温度の違いは、全面的または部分的に、グリッドがあることに起因して生じる。
グリッドの役割は、特定の理論またはメカニズムに制限されることなく、以下のように説明することができる。グリッドは、下部サブチェンバを部分的に遮蔽して、その中の荷電種がプラズマコイルからの電力を直接受けることがないようにすることができる。さらに、グリッドのスロットの特定のアスペクト比によって、高エネルギー電子の一部がスロットを通過するときにグリッドと衝突するようにする。これによって、2つのプラズマゾーンで、2つの質的に異なるプラズマを発生させる。
上部ゾーン・プラズマと下部ゾーン・プラズマのもう1つの区別される特徴は、それらのプラズマ電位である。一般に、下部チェンバにおけるよりも、上部チェンバにおけるプラズマ電位は高い。例えば、上部プラズマにおけるプラズマ電位は、約8〜35Vの間(例えば、約10〜20Vの間)とすることができ、下部プラズマにおけるプラズマ電位は、約0.4〜10Vの間(例えば、約0.5〜3Vの間)とすることができる。これは、電子エネルギーが低下しているため、プラズマは電子を失うことを防ぐことに積極的である必要がないからである。
また、2つのプラズマは、通常、異なるエネルギー分布関数(例えば、イオンエネルギー分布関数および電子エネルギー分布関数)を有する。電子エネルギー分布関数とイオンエネルギー分布関数は、どちらも、下部プラズマでは幅がより狭く、上部プラズマでは幅がより広い。グリッドを用いることにより、波形発生器による高度な制御を用いることなく、極めて狭いイオンエネルギー分布関数を得ることができる。例えば、下部プラズマのイオンエネルギー分布関数は、わずか約5Vの半値全幅を有し得る。その結果、負イオンから負電流を引き出すことが可能であり、これが基板表面に達して(電子がこの目的を果たす代わりに)電気的中性を維持する。こうして、独特なエッチング機構が得られる。
下部ゾーン・プラズマ中のラジカル濃度は、約1%の全中性密度〜約70%の全中性密度の範囲、または約10%〜約70%の全中性密度の範囲、または約10%〜約50%の全中性密度の範囲とすることができる。
エッチング工程中のチェンバ圧力は、約1〜2000ミリトールの間(例えば、約2〜200ミリトールの間)など、約2000ミリトール未満とすることができる。具体的な一例では、チェンバ圧力は約20ミリトール以下に維持される。このような圧力は、約0.5eV以下の実効電子温度および/または約5×10cm−3以下の電子密度を有する下部ゾーン・プラズマで用いる場合に、特に有用である。また、これらの圧力は、下部ゾーンのイオン・イオンプラズマで用いる場合に特に有用である。
イオン・イオンプラズマは、半導体処理において、いくつかの効果が得られると考えられる。例えば、イオン・イオンプラズマでエッチングされた半製品の半導体デバイスは、被エッチング基板の面にわたり、極めて良好な選択性、プロファイル角、I/Dローディング、および全面均一性を示す。従来の技術では、これらの効果をすべて得ることはできなかった(すなわち、プロセス設計者は、例えば、良好な全面エッチング均一性を達成することと、他の効果を得ることとの間で、選択しなければならなかった)。従って、本明細書に記載の実施形態は、エッチング方法における大きな進歩を示すものである。
図6Aないし図6Cは、エッチング副生成物の分解が、エッチングされているフィーチャに及ぼす影響を示している。最初に、図6Aは、3層がその上に堆積された基板を示している。最下層はゲート酸化物を表し、中間層はポリシリコンを表し、(3つの個々のブロックとして示す)最上層はハードマスクを表す。従来のエッチングプロセスでは、チェンバ内にあるプラズマが、図6Bに示すように、エッチング副生成物を一部解離するように作用すると考えられる。これらの副生成物は、多くの場合、揮発性成分(例えば、SiBr)であり、条件が整えば、基板から掃去される。ところが、電子・イオンプラズマに特徴的である高電子密度のプラズマが、ウェハに接触すると、プラズマ中の高エネルギー電子が、揮発性副生成物と反応することで、それらを物理化学的に「粘着性」の解離生成物(例えば、SiBr)に解離させる可能性がある。これらの解離生成物は、図6Bに示すように、基板に付着し、多くの場合、エッチングされているフィーチャの側壁に付着して、図6Cに示すように、エッチングプロセスを、非垂直または他の望ましくない形で発生させる。このような解離生成物の付着/再付着は、結果的に非垂直エッチングとなるローカルローディング効果につながる。
これらの望ましくない効果は、被エッチング基板に近接したプラズマの実効電子温度を抑えるためのグリッドを用いることによって、軽減される。イオン・イオンプラズマの生成は、それに応じて電子密度および実効電子温度が低下することで、これらの望ましくない効果を著しく軽減させる。一般に、イオンは電子よりもかなり低いエネルギーを有するので、本発明の実施形態のイオン・イオンプラズマ中のイオンは、このような副生成物の解離を引き起こすことはない。本発明の実施形態では、電子・イオンプラズマを生成し得るものの、この高電子密度/高実効電子温度プラズマを上部サブチェンバに留めることができる。このため、エッチング副生成物は、下部ゾーン・プラズマのみに接触する傾向にあり、高実効電子温度の上部ゾーン・プラズマとは接触しない。また、イオン・イオンプラズマ中には多少の電子は存在するものの、それらの電子は一般に、低Tを有し、従って、通常、副生成物の解離を引き起こすのに十分なエネルギーを持たない。このように、エッチング副生成物は、「粘着性」の問題を引き起こす化合物に解離されることはない。
[ウェハバイアス]
いくつかの実現形態において、ウェハは処理中にバイアスされる。これは、ウェハを保持/支持するのに用いられる静電チャックにバイアスを印加することにより実現される。ウェハは、下部サブチェンバにおいて(イオン・イオンプラズマなどの)低Tの低電子密度プラズマに暴露されるので、そのイオン・イオンプラズマに特有の効果が享受/促進されるように、チャックにバイアスを印加することができる。さらに、下部サブチェンバにおいて電子・イオンプラズマの形成が回避されるように、バイアスを印加することができる。例えば、バイアスは、イオン・イオンプラズマから電子・イオンプラズマへの変換を防ぐのに適した周波数および電力とすることができる。
いくつかの実施形態において、基板へのバイアス電力の印加により発生する電子加熱量を抑えるように、RFバイアスは、30MHz未満の周波数、好ましくは約100kHz〜約13.56MHzの間の周波数とすることができる。一部の実施形態では、(周波数にかかわらず)バイアスは、約1%〜99%の間のデューティサイクルで、約1Hz〜約10kHzの範囲でパルス化される。
上述のように、通常の電子・イオンプラズマでは、プラズマ電位は、かなり高い正電位である。このようなプラズマ電位によって、プラズマから逃げ出す電子の能力は効果的に制限される。一方、下部ゾーン・プラズマは、一般に、通常とは異なる低い電子密度および温度を有し、よって、その電子を効果的に留めるのに必要なプラズマ電位ははるかに低い。この低プラズマ電位によって、動作ウィンドウの制限が緩和され、任意選択的に、バイアス波形の正サイクル期間に、イオン・イオンプラズマ中に負イオンを存在させることで、ウェハに向けた衝突を加速させることが可能となる。このようなエッチングレジームは、従来の連続波プラズマでは得ることができなかったものである。
静電チャックに印加されるバイアスの周波数は、イオン・イオンプラズマ中のイオン(限定されないが、特に負イオン)の形成および引力を最適化するように設計することができる。この観点から、静電チャックに印加されるバイアスの周波数は、約0.1〜15MHzの間(例えば、約400kHz〜13.56MHzの間)である。具体的な一例では、バイアスは、約8MHzである。このような周波数は、イオン移動周波数に相当するので、特に有用となり得る。他の周波数を用いてもよいが、効果が低くなる場合がある。例えば、約100kHz〜1MHzの間の周波数は、ある程度は機能し得るが、より高い上記周波数よりも効果は低くなる場合がある。
注目すべきことは、グリッドを使用し、かつ静電チャック/ウェハに適切な周波数のACバイアスを印加する場合には、プラズマから負イオンと正イオンとを排他的に引き出してウェハ面に向けて加速させるように、ウェハ上方のプラズマシースを機能させることができるということである。すなわち、プラズマシースによって、正サイクルで負イオンを、そして負サイクルで正イオンを引き付けて、これらのサイクルをACバイアスによって繰り返す。上述のように、このような(ウェハへの)負イオンの引き付けは、本発明の実施形態の実現より以前には、プラズマ電位が高すぎるために、これによってACバイアス・サイクルの当該半分の引き付け効果を打ち消すことで、不可能であったものである。
前述のように、バイアスは、パルスで印加することができる。しかしながら、パルス化の必要がない多くのケースある。本発明の実施形態では、エッチングの全過程において、ウェハ上方に安定したイオン・イオンプラズマが得られる。従って、本明細書に記載の効果を得るためには、チャック/ウェハへのバイアスをパルス化する必要はない。ところが、いくつかの実施形態では、それでもバイアスがパルスで印加される場合があり、それはエッチング速度または基板のイオン衝撃量を抑えるためであって、これにより下層に対するエッチング選択性を向上させる。イオン・イオンプラズマにおけるバイアスのパルス化は、イオンとラジカルを交互に用いて選択性を向上させることにより、特に有用とすることができる。すなわち、パルス化によって、基板表面へのイオンとラジカルの流れを分けることができる(パルスオン:ラジカル+イオン・パルスオフ:ラジカルのみ)。
[プロセス/応用]
本明細書で開示する装置およびプラズマ条件は、シリコン(多結晶、アモルファス、単結晶、および/または微結晶シリコンを含む)、金属(TiN、W、TaNなどを含むが、これらに限定されない)、酸化物および窒化物(SiO、SiOC、SiN、SiONなどを含むが、これらに限定されない)、有機物(フォトレジスト、アモルファスカーボンなどを含むが、これらに限定されない)など、様々な材料のいずれかをエッチングするために用いることができ、さらに他の様々な材料として、限定するものではないが、W、Pt、Ir、PtMn、PdCo、Co、CoFeB、CoFe、NiFe、W、Ag、Cu、Mo、TaSn、Ge2Sb2Te2、InSbTe Ag--Ge--S、Cu--Te--S、IrMn、Ruが含まれる。本コンセプトは、NiOx、SrTiOx、ペロブスカイト(CaTiO3)、PrCaMnO3、PZT(PbZr1−xTixO3)、(SrBiTa)O3などの材料に拡張することができる。本装置は、現今の製造設備で使用可能な任意のガスの組み合わせ(HBr、CO、NH3、CH3OHなどを含む)で用いることが可能である。
本明細書で開示する装置およびプラズマ条件は、任意のテクノロジノードのデバイスまたは他の構造においてフィーチャをエッチングするために採用することができる。一部の実施形態では、エッチングは、20−10nmノードまたはそれ以降のものの製造において用いられる。エッチングは、製造手順のフロントエンドと製造手順のバックエンドの両方の前に実施することができる。エッチングによって、優れた垂直プロファイル、材料選択性、I/Dローディング、および/または約2%よりも良好なウェハの中心からエッジへの均一性を提供することができる。好適なエッチング用途のいくつかの例には、シャロートレンチ・アイソレーション、ゲートエッチング、スペーサエッチング、ソース/ドレイン・リセスエッチング、酸化物リセス、およびハードマスク開口エッチングが含まれる。
[装置]
本明細書で記載する方法は、任意の適切な装置によって実施することができる。適切な装置は、チェンバと、本明細書で記載するようなエッチング条件を提供および維持するための電子ハードウェアと、を備えるものである。適切な装置は、さらに、そのような条件を達成するようにハードウェアを制御するため、さらにはFETのゲート電極をエッチングするなどの応用に適した一連のプロセス工程を実行するための、命令を有するシステムコントローラを備える。いくつかの実施形態において、ハードウェアには、プロセスツールに含まれる1つ以上の処理ステーションを含むことができる。
図1に戻ると、これは、いくつかの実施形態による誘導結合型プラズマエッチング装置100の断面図を示している。前述のように、本明細書に記載の実施形態は、同じく誘導結合型以外のプラズマを用いて実施することもできる。誘導結合型プラズマエッチング装置100は、チェンバ壁101と窓111によって構造的に画成される全体エッチングチェンバを備える。チェンバ壁101は、典型的には、ステンレス鋼またはアルミニウムで製造される。窓111は、典型的には、石英または他の誘電材料で製造される。内部プラズマグリッド150によって、全体エッチングチェンバを、上部サブチェンバ102と下部サブチェンバ103とに分割している。他のいくつかの実現形態では、より複雑なプラズマグリッドアセンブリが用いられる。例えば、プラズマグリッドアセンブリは、図4および5に示すように、複数のグリッドと、さらに支持構造および駆動要素を含むことができる。図1の実施形態に戻って、下部サブチェンバ103内の内部底面の近くに、チャック117が配置されている。チャック117は、エッチングプロセスがその上で実施される半導体ウェハ(すなわち「ウェハ」)119を受けて、保持するように構成されている。チャック117は、ウェハがある場合にこれを支持するための静電チャックとすることができる。いくつかの実施形態において、エッジリング(図示せず)がチャック117を取り囲んでおり、それは、チャック117上にウェハがある場合にそのウェハ表面と略同一平面にある上面を有する。チャック117は、さらに、ウェハのチャッキングおよびデチャッキングを可能にするために、静電電極を有する。この目的のために、フィルタおよびDCクランプ電源を設けることができる。また、チャック117からウェハを持ち上げるための他の制御システムを設けることもできる。チャック117は、RF電源123を用いて帯電させることが可能である。RF電源123は、接続127を介して整合回路121に接続されている。整合回路121は、接続125を介してチャック117に接続されている。このようにして、RF電源123は、チャック117に接続されている。
窓111の上方に、コイル133が配置されている。コイル133は、導電性材料で製造されており、少なくとも1ターンの完全なターンを含んでいる。図1に示す例示的なコイル133は、3ターンを含んでいる。コイル133の断面の記号「X」は、回転して紙面に入るようにコイル133が延びていることを示している。逆に、コイル133の記号「●」は、回転して紙面から出るようにコイル133が延びていることを示している。RF電源141は、コイル133にRF電力を供給するように構成されている。通常、RF電源141は、接続145を介して整合回路139に接続されている。整合回路139は、接続143を介してコイル133に接続されている。このようにして、RF電源141は、コイル133に接続されている。オプションのファラデーシールド149が、コイル133と窓111との間に配置される。ファラデーシールド149は、コイル133に対して離間した関係に維持される。ファラデーシールド149は、窓111の直ぐ上に配置される。コイル133、ファラデーシールド149、および窓111は、それぞれ、相互に略平行となるように構成されている。金属またはその他の種がプラズマチェンバの誘電体窓に付着することを、ファラデーシールドによって防ぐことができる。
上部チェンバに配置されたメイン注入口160を介して、さらに/またはSTGとも呼ばれるサイド注入口170を介して、処理ガスを供給することができる。ガス排出口は図示していない。また、操作的プラズマ処理中の、真空制御、およびチェンバからのガス状副生成物の除去を可能にするために、チェンバ101に接続されたポンプも、図示していない。
装置の作動中には、注入口160および/または170を介して、1種以上の反応ガスを供給することができる。いくつかの実施形態において、ガスは、メイン注入口のみを介して、またはサイド注入口のみを介して供給することができる。いくつかの例では、注入口は、シャワーヘッドで置き換えることができる。ファラデーシールド149および/またはグリッド150は、チェンバへの処理ガスの送出を可能にする内部チャネルおよび孔を有することができる。すなわち、ファラデーシールド149およびグリッド150のいずれかまたは両方は、処理ガスを送出するためのシャワーヘッドとして機能することができる。
高周波電力がRF電源141からコイル133に印加され、これにより、RF電流がコイル133に流れる。コイル133に流れるRF電流によって、コイル133の周りに電磁場が発生する。この電磁場によって、上部サブチェンバ102内に誘導電流が発生する。この誘導電流が、上部サブチェンバ102内にあるガスに作用することで、上部サブチェンバ102内に電子・イオンプラズマが発生する。内部プラズマグリッド150によって、下部サブチェンバ103内の高温電子の量が制限される。いくつかの実施形態において、装置は、下部サブチェンバ内にあるプラズマがイオン・イオンプラズマとなるように、設計され、操作される。
上部の電子・イオンプラズマと、下部のイオン・イオンプラズマは、どちらも、正イオンと負イオンを含むが、イオン・イオンプラズマのほうが、負イオン:正イオン比が大きい。種々のイオンおよびラジカルとウェハ119との物理的および化学的相互作用によって、ウェハのフィーチャが選択的にエッチングされる。揮発性のエッチング副生成物は、排出口(図示せず)を介して下部サブチェンバから除去される。重要なことは、このような揮発性副生成物が高温電子に曝されることは実質的にないので、それらが不揮発性の「粘着性」解離生成物に解離される恐れはない。
典型的には、本明細書で開示するチャックは、約30℃〜約250℃の範囲の、好ましくは約30〜150℃の範囲の高温で作動する。この温度は、エッチングプロセス処理および具体的なレシピに依存する。また、チェンバ101は、約1ミリトール〜約95ミリトールの範囲、または約5〜20ミリトールの範囲の圧力で作動する。
図示はしていないが、チェンバ101は、通常、クリーンルームまたは製造施設に設置されると、様々な設備に連結される。それらの設備には、処理ガス、真空、温度制御、環境粒子制御を提供する配管設備が含まれる。チェンバ101がターゲット製造施設に設置されると、このような設備が連結される。さらに、チェンバ101を移送室に連結することができ、これによって、ロボット技術により、通常の自動操作を用いて、半導体ウェハをチェンバ101に出し入れする移送が可能となる。
図2A、図2Bおよび図3A〜図3Dは、本明細書で記載する実施形態による内部プラズマグリッドの例を示している。いくつかの例では、各グリッドは、径方向外向きまたは略径方向外向きに延びるスロットを有することができる。これらまたは他の例において、スロットは、図3C、図3Dに示すように、より特異な非直線形状とすることができる。図2Bの実施形態では、3種類のスロットがある。3種類のスロットはそれぞれ、異なるスロット長を有する。図2Bに示すスロットは、上述のように、下部サブチェンバにおいてイオン・イオンプラズマを生成するのに適したアスペクト比を有する。図2Aおよび3A〜3Dに示すスロットは、縮尺通りに描かれていない場合がある。
[システムコントローラ]
いくつかの実施形態において、システムコントローラ(1つ以上の物理コントローラまたは論理コントローラを含むことができる)により、エッチングチェンバの動作の一部またはすべてを制御する。システムコントローラは、1つ以上のメモリデバイスと、1つ以上のプロセッサとを備えることができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、および他の同様の構成要素を含むことができる。適切な制御動作を実現するための命令が、プロセッサ上で実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されていてもよいし、あるいはネットワークを介して提供されるものであってもよい。いくつかの実施形態において、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下のチェンバ動作条件のうち1つ以上の適用のタイミングおよび/または大きさを制御するための命令を含むことができる:ガスの混合および/または組成、チェンバ圧力、チェンバ温度、ウェハ温度、ウェハに印加するバイアス、コイルまたは他のプラズマ発生要素に印加する周波数および電力、ウェハ位置、ウェハ移動速度、グリッド位置、グリッド移動速度、ならびにツールによって実施される具体的なプロセスのその他パラメータ。システム制御ソフトウェアは、任意の適切な方法で構成することができる。例えば、種々のプロセスツール・プロセスを実施するために必要なプロセスツール構成要素の動作を制御するための、各種プロセスツール構成要素サブルーチンまたは制御オブジェクトを作成することができる。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングすることができる。
いくつかの実施形態において、システム制御ソフトウェアは、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含む。例えば、半導体製造プロセスの各段階は、システムコントローラで実行するための1つ以上の命令を含むことができる。例えば、エッチング段階のプロセス条件を設定するための命令は、対応するエッチングレシピ段階に含むことができる。一部の実施形態では、それらのレシピ段階は、あるプロセス段階のすべての命令がそのプロセス段階と同時に実行されるように、順に配列することができる。
いくつかの実施形態において、他のコンピュータソフトウェアおよび/またはプログラムを採用することができる。本目的のためのプログラムまたはプログラム部分の例には、基板位置決めプログラム、グリッドアセンブリ位置決めプログラム、処理ガス組成制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびRF電源制御プログラムが含まれる。
一部の例において、コントローラは、ガス濃度、ウェハの移動、グリッドの移動、さらに/またはコイルおよび/もしくは静電チャックに供給される電力、を制御する。コントローラは、例えば、必要な反応物質(複数の場合もある)を適切な濃度で供給する1種以上の流入ガス流を発生させるように、関連する弁を開閉することにより、ガス濃度を制御することができる。ウェハの移動は、例えば、所望の移動をウェハ位置決めシステムに指示することにより、制御することができる。グリッドの移動は、グリッドアセンブリの所望の位置決めを駆動要素(例えば、回転アクチュエータ、リフタ、および/または他の駆動要素)に指示することにより、制御することができる。一例では、コントローラは、下部ゾーン・プラズマにおいて、いくつかのプラズマ条件(電子温度、電子密度、イオン密度、電子に対する正イオンの比率などが含まれるが、これらに限定されない)を達成するために、1つまたは複数のプラズマグリッドの回転を、回転アクチュエータに指示する。いくつかの実現形態において、コントローラは、ウェハの異なる部分で異なるプラズマ条件を実現するように構成される(例えば、径方向にわたって、プラズマ条件を調整することができる)。コイルおよび/またはチャックに供給される電力は、上部サブチェンバ内で所望の電子・イオンプラズマを発生させるための特定のRF電力レベルを与えるように制御することができる。さらに、下部サブチェンバ内で電子・イオンプラズマが形成されないような条件で、静電チャックに電力が供給されるように、コントローラを構成することができる。すなわち、コントローラは、下部サブチェンバ内でイオン・イオンプラズマ(または、少なくとも、適切な低実効電子温度および密度を有するプラズマ)を維持するように構成される。コントローラは、これらまたはその他の側面を、センサ出力(例えば、電力、電位、圧力などが所定の閾値に達したとき)、操作のタイミング(例えば、プロセスの所定の時点で弁を開放する)に基づいて、またはユーザから受ける指示に基づいて、制御することができる。
上記の種々のハードウェアおよび方法の実施形態は、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスとともに用いることができる。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。
リソグラフィによる膜のパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールによって実施可能となる。
(1)例えばシリコン窒化膜がその上に形成された基板であるワークピースの上に、スピン式またはスプレー式のツールを用いて、フォトレジストを塗布する;
(2)ホットプレートまたは炉または他の適切な硬化ツールを用いて、フォトレジストを硬化させる;
(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;
(4)ウェットベンチまたはスプレー式現像装置などのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;
(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;
(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。
一部の実施形態では、フォトレジストを塗布する前に、アッシャブル・ハードマスク層(アモルファスカーボン層など)および他の適切なハードマスク(反射防止層など)を成膜することができる。
本明細書で記載した構成および/または手法は、当然のことながら、本質的に例示的なものであり、これらの具体的な実施形態または例は、限定的な意味で解釈されるべきではなく、数多くの変形が可能である。本明細書に記載の具体的なルーチンまたは方法は、多数の処理戦略のうちの1つまたは複数を提示し得るものである。従って、例示した種々の処理動作は、例示した順序で、他の順序で、並列に、実行することができ、あるいは場合によって、省略することもできる。同様に、上記のプロセスの順序を変更することができる。
本開示の対象には、本明細書で開示した様々なプロセス、システムおよび構成の新規かつ非自明なすべての組み合わせおよび部分的組み合わせ、さらには、その他の特徴、機能、処理動作、および/または特性、ならびにそれらのあらゆる均等物が含まれる。
[実験]
本開示の方法および装置によって、半導体基板上の半製品のデバイスのエッチングが改善されることを、実験により確認した。プラズマグリッドを使用した場合には、エッチングされた製品は、良好な選択性、プロファイル角、疎/密ローディング、および全面エッチング均一性を示している。
図7A、図7Bは、従来の高圧技術(図7A)と、プラズマグリッドを用いた本発明の実施形態(図7B)により、エッチングされたフィンFET構造の走査型電子顕微鏡(SEM:Scanning Electron Microscope)像を示している。図7Aに示すように、従来技術によると、ウェハの中心とエッジとの間に深刻な不均一性が認められる結果となる。I/Dローディングが大きく、材料間の選択性が低かった。一方、図7Bに示すように、プラズマグリッドを使用することで、中心からエッジへの均一性は、実質的に向上する。また、I/Dローディングは、はるかに低く、選択性が向上した。本実験は、フィンFETの高さを表す厚さまで薄厚化し、フルパターンウェハのエッチングをシミュレートするために50%SiNクーポンで覆ったSiキャリアウェハ上で、実施した。フィンFET構造は、プロファイルのテーパを最小限に抑えるように、65%でオーバエッチングされた。
図8A、図8Bは、従来の低圧技術(図8A)と、プラズマグリッドを用いた本発明の実施形態(図8B)により、エッチングされたフィーチャのSEM像を示している。従来技術は、シリコンと酸化物との間の比較的低い選択性を示し、エッチングされたフィーチャはテーパ状のプロファイルを有し、また、I/Dローディングは良好ではなかった。一方、図8Bに示すように、ソースグリッドによって、向上した選択性(無限大の選択性)、より垂直なプロファイル角が得られ、また、I/Dローディングは実質的になくなった。本実験は、パターンウェハから切り離されてキャリアウェハの中心に配置されたチップ上で、実施した。本実験は、フィンFETの高さを表す厚さまで薄厚化し、フルパターンウェハのエッチングをシミュレートするために50%SiNクーポンで覆ったSiキャリアウェハ上で、実施した。
図9は、プラズマグリッドを用いることなく、いくつかのレジームに従ってエッチングされたフィーチャの様々なSEM像を示している。2通りの異なる圧力と、4通りの異なる総流量とを用いた。実効電子温度(Te)は、圧力の増加に伴って低下する。滞留時間は、総流量の増加に伴って減少する。それぞれの圧力で、総流量を増加させると、エッチング結果が向上する。特に、高流量のケースは、より良好な(より垂直な)プロファイル角、および向上した選択性(より多いマスク残り)を示している。しかしながら、これらの改善は、より良好ではないI/Dローディングおよび中心からエッジへの均一性により、軽減される。この高流量での結果は、ガスの形態で掃去されない場合のいくつかの副生成物および/または解離生成物が、図6A〜6Cに示すようにフィーチャの側壁および/または底部に付着し得ることで不良なエッチング結果となるという考えを裏付けるものである。総流量がより高いと、これらの副生成物が、より効果的に反応チェンバから掃去されて、エッチング不良が生じる可能性がより低くなる。
様々な実験によって、プラズマグリッドを使用した結果、極めて良好な選択性、プロファイル角、I/Dローディング、中心からエッジへの均一性を伴うエッチングプロセスが得られることが示された。いくつかの例において、選択性(すなわち、Siのエッチング速度:酸化物のエッチング速度)は、約10より大きいか、または約100よりも大きい。実際に、いくつかの例では、プラズマグリッドを用いることで、無限大の選択性が得られる場合がある。このような場合、酸化物材料がエッチングされることは実質的になく、むしろ、酸化物表面に少量の堆積が生じることがある。多くのケースにおいて得られるプロファイル角は、略垂直(例えば、約89度超)である。いくつかの実現形態において、I/Dローディングは、約2度未満であることが示された。また、いくつかの実現形態における中心からエッジへの均一性は、約2nm未満であった。

Claims (33)

  1. 基板上のフィーチャをエッチングするための装置であって、
    プラズマを施すことが可能な内部を画成するチェンバと、
    エッチング中に前記チェンバ内で基板を保持するための基板ホルダと、
    前記チェンバ内でプラズマを生成するためのプラズマ発生器と、
    前記プラズマチェンバの内部を、前記プラズマ発生器に近接した上部サブチェンバと、前記基板ホルダに近接した下部サブチェンバと、に分割するグリッドアセンブリと、
    を備え、
    前記上部サブチェンバは、前記下部サブチェンバの高さの少なくとも約1/6の高さを有し、
    前記グリッドアセンブリは、2つ以上のグリッドを含み、それらは、前記チェンバ内でプラズマが生成されるときに該グリッドに誘導電流が発生することを実質的に防止する複数のスロットを有する
    装置。
  2. 前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させるように設計または構成されたコントローラをさらに備え、
    前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
    前記下部ゾーン・プラズマの電子密度は、約5×10cm−3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
    請求項1に記載の装置。
  3. 前記コントローラは、さらに、前記下部サブチェンバ内でイオン・イオンプラズマを発生させるように設計または構成される請求項2に記載の装置。
  4. 前記グリッドアセンブリの少なくとも1つのグリッドは、約1〜50mmの間の平均厚さを有する請求項1に記載の装置。
  5. 前記グリッドアセンブリの前記グリッドの少なくとも1つにおける前記スロットは、幅に対する高さのアスペクト比が、約0.01〜5の間である請求項1に記載の装置。
  6. 前記スロットは、方位隣接スロットから約60度以下によって隔てられている請求項1に記載の装置。
  7. 前記グリッドアセンブリは、第1のグリッドと第2のグリッドとを含む請求項1に記載の装置。
  8. 前記第1と第2のグリッドは、略同一のスロットパターンを有する請求項7に記載の装置。
  9. 前記第1と第2のグリッドは、相互に異なるスロットパターンを有する請求項7に記載の装置。
  10. 前記第1と第2のグリッドのうち少なくとも一方は、前記基板ホルダの上面に垂直な軸に関して回転可能である請求項7に記載の装置。
  11. 前記第1のグリッドおよび前記第2のグリッドは、前記下部サブチェンバにおけるプラズマ条件を径方向に調整することを可能にするスロットパターンを有する請求項10に記載の装置。
  12. 前記第1のグリッドと前記第2のグリッドとの間の距離を可変とするように、前記第1と第2のグリッドのうち少なくとも一方は可動である請求項7に記載の装置。
  13. 前記グリッドアセンブリの少なくとも1つのグリッドは、ガスを供給するための1つまたは複数の入口を有する請求項1に記載の装置。
  14. 基板上のフィーチャをエッチングする方法であって、
    プラズマ発生器とグリッドアセンブリとを備えるチェンバであって、前記グリッドアセンブリは、該プラズマチェンバの内部を前記プラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割し、少なくとも2つのグリッドを有するものであって、前記上部サブチェンバは、前記下部サブチェンバの高さの少なくとも約1/6の高さを有する、チェンバ内の、前記基板ホルダに基板を供給し、
    前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させ、
    前記下部ゾーン・プラズマと前記基板との相互作用によって、前記基板のフィーチャをエッチングし、
    前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
    前記下部ゾーン・プラズマの電子密度は、約5×10cm−3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
    方法。
  15. 前記グリッドアセンブリにバイアスを印加することをさらに含む請求項14に記載の方法。
  16. 前記基板ホルダにバイアスを印加することをさらに含む請求項14に記載の方法。
  17. 前記下部ゾーン・プラズマは、イオン・イオンプラズマである請求項14に記載の方法。
  18. 前記グリッドアセンブリの少なくとも1つのグリッドを、前記基板ホルダの上面に垂直な軸に関して回転させることをさらに含む請求項14に記載の方法。
  19. 前記グリッドアセンブリにおける前記グリッド間の距離を変更することをさらに含む請求項14に記載の方法。
  20. 前記上部サブチェンバと前記下部サブチェンバには、異なる処理ガスが供給される請求項14に記載の方法。
  21. 前記コントローラは、さらに、前記グリッドアセンブリにバイアスを印加するように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
  22. 前記コントローラは、さらに、前記基板ホルダにバイアスを印加するように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
  23. 前記コントローラは、さらに、前記チェンバにエッチャントガスを供給するように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
  24. 前記コントローラは、さらに、前記プラズマにより前記基板をエッチングする間、前記チェンバ内の圧力を約2000ミリトール未満とするように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
  25. 前記グリッドアセンブリの各グリッドの厚さの和は、約2〜50mmの間である請求項4に記載の装置。
  26. 前記グリッドアセンブリの前記グリッドのうち少なくとも1つにおける前記複数のスロットは、径方向に向いているか、または略径方向に向いている請求項1から請求項13のいずれか一項に記載の装置。
  27. 前記スロットは、方位隣接スロットから少なくとも約15度で隔てられている請求項6に記載の装置。
  28. 前記基板ホルダは、静電チャックである請求項1から請求項13のいずれか一項に記載の装置。
  29. 処理ガス注入口をさらに備える請求項1から請求項13のいずれか一項に記載の装置。
  30. 前記プラズマを発生させる際に、前記グリッドアセンブリに電流は実質的に生じない請求項14から請求項20のいずれか一項に記載の方法。
  31. 前記チェンバにエッチャントガスを供給することをさらに含む請求項14から請求項20のいずれか一項に記載の方法。
  32. 前記エッチングは、約2000ミリトール未満のチェンバ圧力で実施される請求項14から請求項20のいずれか一項に記載の方法。
  33. 前記グリッドアセンブリの少なくとも1つのグリッドを、前記グリッドアセンブリの少なくとも1つの他のグリッドに対して動かすことをさらに含み、前記少なくとも1つのグリッドを動かすことによって、前記グリッドアセンブリを通過して前記下部サブチェンバに入るイオンおよび中性種の相対量を変更する請求項14から請求項20のいずれか一項に記載の方法。
JP2014076598A 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド Active JP6506915B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361809246P 2013-04-05 2013-04-05
US61/809,246 2013-04-05
US13/916,318 2013-06-12
US13/916,318 US9245761B2 (en) 2013-04-05 2013-06-12 Internal plasma grid for semiconductor fabrication

Publications (3)

Publication Number Publication Date
JP2014239210A true JP2014239210A (ja) 2014-12-18
JP2014239210A5 JP2014239210A5 (ja) 2017-06-08
JP6506915B2 JP6506915B2 (ja) 2019-04-24

Family

ID=51654740

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014076868A Active JP6461482B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド
JP2014076598A Active JP6506915B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014076868A Active JP6461482B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド

Country Status (6)

Country Link
US (5) US9245761B2 (ja)
JP (2) JP6461482B2 (ja)
KR (2) KR102284325B1 (ja)
CN (3) CN104103477B (ja)
SG (3) SG10201401112YA (ja)
TW (3) TWI636481B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157685A (ja) * 2015-02-13 2016-09-01 エスピーティーエス テクノロジーズ リミティド プラズマ生成装置
KR20170130467A (ko) * 2015-03-17 2017-11-28 어플라이드 머티어리얼스, 인코포레이티드 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기
KR20180085053A (ko) * 2016-01-15 2018-07-25 맷슨 테크놀로지, 인크. 플라즈마 챔버용 가변 패턴 분리 그리드
JP2020521322A (ja) * 2017-05-19 2020-07-16 トタル ソシエテ アノニムTotal Sa パターン構造加工のための装置と方法
JP2020532087A (ja) * 2017-10-09 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマソースの改善
JP2021509227A (ja) * 2018-06-20 2021-03-18 エルジー・ケム・リミテッド 回折格子導光板用モールドの製造方法および回折格子導光板の製造方法

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI798594B (zh) * 2014-04-25 2023-04-11 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
WO2016109063A1 (en) * 2015-01-02 2016-07-07 Applied Materials, Inc. Processing chamber
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
CN106676532B (zh) * 2015-11-10 2019-04-05 江苏鲁汶仪器有限公司 金属刻蚀装置及方法
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
JP2018078515A (ja) * 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110462781B (zh) * 2017-03-31 2022-03-11 玛特森技术公司 用于等离子体处理设备的基座组件
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108878242B (zh) * 2017-05-10 2021-01-29 北京北方华创微电子装备有限公司 一种等离子体装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111146334A (zh) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 一种磁隧道结制作方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020131848A1 (en) 2018-12-17 2020-06-25 Applied Materials, Inc. Methods of optical device fabrication using an electron beam apparatus
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11039527B2 (en) * 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
CN111243991B (zh) * 2020-01-15 2022-12-09 北京北方华创微电子装备有限公司 内衬及半导体加工设备
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN117690774B (zh) * 2024-02-04 2024-04-16 上海邦芯半导体科技有限公司 一种降低刻蚀不均匀度的icp装置及调节方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167596A (ja) * 1994-12-09 1996-06-25 Sony Corp プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置
JPH11111697A (ja) * 1997-09-30 1999-04-23 Tokyo Electron Yamanashi Ltd プラズマ処理装置
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2001196352A (ja) * 1999-12-28 2001-07-19 Texas Instr Inc <Ti> ホトレジスト灰化処理装置での灰レート均一性を改良する方法
WO2001092611A1 (fr) * 2000-05-29 2001-12-06 Tohoku Techno Arch Co., Ltd. Procede d'elaboration de diamant de haute qualite et dispositif correspondant
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
WO2006129643A1 (ja) * 2005-05-31 2006-12-07 Tokyo Electron Limited プラズマ処理装置およびプラズマ処理方法
JP2007149788A (ja) * 2005-11-24 2007-06-14 Aqua Science Kk リモートプラズマ装置

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH0738384B2 (ja) 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
JP2552701B2 (ja) 1988-02-29 1996-11-13 日本電信電話株式会社 イオン源
JPH01302645A (ja) 1988-02-08 1989-12-06 Anelva Corp 放電装置
US5015331A (en) 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02131550U (ja) 1989-03-31 1990-11-01
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JPH07335618A (ja) 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> プラズマプロセスの方法及びプラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) * 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4043089B2 (ja) 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
JPH10242116A (ja) 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH10270429A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
JPH1154717A (ja) 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
US6238527B1 (en) * 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
WO1999040609A1 (en) 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP3948857B2 (ja) 1999-07-14 2007-07-25 株式会社荏原製作所 ビーム源
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP3510174B2 (ja) 2000-03-01 2004-03-22 住友重機械工業株式会社 イオン発生装置及び成膜装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2001332534A (ja) 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP2004248505A (ja) * 2001-09-21 2004-09-09 Norio Nakatsuji 移植抗原の一部または全てを欠除したes細胞由来の未分化な体細胞融合細胞およびその製造
KR20030046189A (ko) * 2001-12-05 2003-06-12 변홍식 플라즈마 발생장치
TWI272877B (en) 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP2006500377A (ja) 2002-06-21 2006-01-05 トランスフォーム・ファーマシューティカルズ・インコーポレイテッド 改善された溶解性を有する医薬組成物
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR100883697B1 (ko) * 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2007502860A (ja) * 2003-04-24 2007-02-15 ヴァンダービルト ユニバースィティ 昆虫を防除するための組成物及び方法
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (ja) 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP2005116865A (ja) 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005276931A (ja) 2004-03-23 2005-10-06 Toshiba Corp 半導体装置およびその製造方法
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP2006020032A (ja) * 2004-07-01 2006-01-19 Canon Inc 画像処理装置及び画像処理方法
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
JP2006236772A (ja) 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
JP2007035728A (ja) 2005-07-22 2007-02-08 Renesas Technology Corp 半導体装置及びその製造方法
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
US8356575B2 (en) 2005-09-09 2013-01-22 Ulvac, Inc. Ion source and plasma processing apparatus
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7335602B2 (en) 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
CH696456A5 (de) * 2006-05-02 2007-06-29 Fostag Holding Ag Stapelbares Besteckteil.
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP5463536B2 (ja) 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
TWI320237B (en) * 2006-07-24 2010-02-01 Si-substrate and structure of opto-electronic package having the same
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
KR100869359B1 (ko) 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7875555B2 (en) 2007-11-29 2011-01-25 Tokyo Electron Limited Method for plasma processing over wide pressure range
US20090162262A1 (en) 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8187948B2 (en) 2008-02-18 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gap-fill approach for STI formation
CN101978461B (zh) 2008-03-20 2013-09-11 波鸿-鲁尔大学 在射频等离子体中控制离子能量的方法
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US7732759B2 (en) 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
KR101434001B1 (ko) * 2008-06-10 2014-08-25 쿨리케 앤드 소파 인더스트리즈, 인코포레이티드 와이어 본딩 작업에서 산화 감소를 위한 가스 전달 시스템
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8986558B2 (en) 2008-09-01 2015-03-24 Japan Science And Technology Agency Plasma etching method, plasma etching device, and method for producing photonic crystal
WO2010064306A1 (ja) 2008-12-03 2010-06-10 富士通株式会社 半導体装置の製造方法
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010192197A (ja) 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2534674B1 (en) 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
WO2011106703A2 (en) * 2010-02-26 2011-09-01 Anchor Therapeutics, Inc. Cxcr4 receptor compounds
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP2012054304A (ja) 2010-08-31 2012-03-15 Tokyo Electron Ltd エッチング方法及びエッチング装置
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
WO2012173698A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5689980B2 (ja) 2011-10-31 2015-03-25 キヤノンアネルバ株式会社 磁性膜のイオンビームエッチング方法及びイオンビームエッチング装置
WO2013070438A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US8461554B1 (en) 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
TWI467625B (zh) 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167596A (ja) * 1994-12-09 1996-06-25 Sony Corp プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置
JPH11111697A (ja) * 1997-09-30 1999-04-23 Tokyo Electron Yamanashi Ltd プラズマ処理装置
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2001196352A (ja) * 1999-12-28 2001-07-19 Texas Instr Inc <Ti> ホトレジスト灰化処理装置での灰レート均一性を改良する方法
WO2001092611A1 (fr) * 2000-05-29 2001-12-06 Tohoku Techno Arch Co., Ltd. Procede d'elaboration de diamant de haute qualite et dispositif correspondant
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
WO2006129643A1 (ja) * 2005-05-31 2006-12-07 Tokyo Electron Limited プラズマ処理装置およびプラズマ処理方法
JP2007149788A (ja) * 2005-11-24 2007-06-14 Aqua Science Kk リモートプラズマ装置

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157685A (ja) * 2015-02-13 2016-09-01 エスピーティーエス テクノロジーズ リミティド プラズマ生成装置
US11101113B2 (en) 2015-03-17 2021-08-24 Applied Materials, Inc. Ion-ion plasma atomic layer etch process
KR20170130467A (ko) * 2015-03-17 2017-11-28 어플라이드 머티어리얼스, 인코포레이티드 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기
JP2018510470A (ja) * 2015-03-17 2018-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated イオン−イオンプラズマ原子層エッチングプロセス及びリアクタ
KR102478896B1 (ko) * 2015-03-17 2022-12-19 어플라이드 머티어리얼스, 인코포레이티드 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기
JP7166921B2 (ja) 2016-01-15 2022-11-08 マトソン テクノロジー インコーポレイテッド プラズマ処理装置、プラズマ処理装置のための分離格子および基板処理方法
KR102227879B1 (ko) * 2016-01-15 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 가변 패턴 분리 그리드
JP2022020069A (ja) * 2016-01-15 2022-01-31 マトソン テクノロジー インコーポレイテッド プラズマチャンバのための可変パターン分離格子
JP2019507465A (ja) * 2016-01-15 2019-03-14 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマチャンバのための可変パターン分離格子
KR20180085053A (ko) * 2016-01-15 2018-07-25 맷슨 테크놀로지, 인크. 플라즈마 챔버용 가변 패턴 분리 그리드
JP7250889B2 (ja) 2016-01-15 2023-04-03 マトソン テクノロジー インコーポレイテッド プラズマチャンバのための可変パターン分離格子
JP2020521322A (ja) * 2017-05-19 2020-07-16 トタル ソシエテ アノニムTotal Sa パターン構造加工のための装置と方法
JP7335815B2 (ja) 2017-05-19 2023-08-30 トタルエネルジ エスウ パターン構造加工のための装置と方法
JP2020532087A (ja) * 2017-10-09 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマソースの改善
JP7148610B2 (ja) 2017-10-09 2022-10-05 アプライド マテリアルズ インコーポレイテッド 基板処理装置
JP2021509227A (ja) * 2018-06-20 2021-03-18 エルジー・ケム・リミテッド 回折格子導光板用モールドの製造方法および回折格子導光板の製造方法

Also Published As

Publication number Publication date
SG10201401112YA (en) 2014-11-27
CN104103477A (zh) 2014-10-15
SG10201708121VA (en) 2017-11-29
US20160203990A1 (en) 2016-07-14
CN104103478A (zh) 2014-10-15
US20160141188A1 (en) 2016-05-19
KR20140121367A (ko) 2014-10-15
KR20140121368A (ko) 2014-10-15
JP6506915B2 (ja) 2019-04-24
TWI665709B (zh) 2019-07-11
CN107578973B (zh) 2020-03-13
JP6461482B2 (ja) 2019-01-30
TW201511067A (zh) 2015-03-16
CN104103477B (zh) 2017-08-11
TWI677025B (zh) 2019-11-11
US10224221B2 (en) 2019-03-05
US20140302681A1 (en) 2014-10-09
US20160181130A1 (en) 2016-06-23
US11171021B2 (en) 2021-11-09
JP2014204127A (ja) 2014-10-27
CN104103478B (zh) 2017-05-10
TW201511066A (zh) 2015-03-16
TW201836012A (zh) 2018-10-01
TWI636481B (zh) 2018-09-21
SG10201401254VA (en) 2014-11-27
US9245761B2 (en) 2016-01-26
CN107578973A (zh) 2018-01-12
US20140302680A1 (en) 2014-10-09
KR102284325B1 (ko) 2021-08-02
KR102270841B1 (ko) 2021-06-29

Similar Documents

Publication Publication Date Title
JP6506915B2 (ja) 半導体製造用の内部プラズマグリッド
JP6509495B2 (ja) 半導体製造用の内部プラズマグリッドの適用
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
TWI647731B (zh) 蝕刻基板的設備及方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170417

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190401

R150 Certificate of patent or registration of utility model

Ref document number: 6506915

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250