JP2014239210A - 半導体製造用の内部プラズマグリッド - Google Patents
半導体製造用の内部プラズマグリッド Download PDFInfo
- Publication number
- JP2014239210A JP2014239210A JP2014076598A JP2014076598A JP2014239210A JP 2014239210 A JP2014239210 A JP 2014239210A JP 2014076598 A JP2014076598 A JP 2014076598A JP 2014076598 A JP2014076598 A JP 2014076598A JP 2014239210 A JP2014239210 A JP 2014239210A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- grid
- chamber
- sub
- ion
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title abstract description 21
- 238000004519 manufacturing process Methods 0.000 title description 18
- 238000000034 method Methods 0.000 claims abstract description 91
- 238000005530 etching Methods 0.000 claims abstract description 73
- 239000000758 substrate Substances 0.000 claims abstract description 60
- 150000002500 ions Chemical class 0.000 claims description 77
- 230000008569 process Effects 0.000 claims description 59
- 239000007789 gas Substances 0.000 claims description 44
- 238000012545 processing Methods 0.000 claims description 22
- 230000007935 neutral effect Effects 0.000 claims description 9
- -1 ion ion Chemical class 0.000 claims description 4
- 230000003993 interaction Effects 0.000 claims description 3
- 238000006243 chemical reaction Methods 0.000 abstract description 12
- 210000002381 plasma Anatomy 0.000 description 264
- 235000012431 wafers Nutrition 0.000 description 76
- 239000006227 byproduct Substances 0.000 description 18
- 239000000463 material Substances 0.000 description 16
- 230000000694 effects Effects 0.000 description 15
- 238000011068 loading method Methods 0.000 description 14
- 150000003254 radicals Chemical class 0.000 description 14
- 238000010494 dissociation reaction Methods 0.000 description 10
- 230000005593 dissociations Effects 0.000 description 10
- 230000006870 function Effects 0.000 description 10
- 238000005315 distribution function Methods 0.000 description 7
- 239000000047 product Substances 0.000 description 7
- 238000001878 scanning electron micrograph Methods 0.000 description 7
- 230000008859 change Effects 0.000 description 6
- 238000002474 experimental method Methods 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 6
- 230000005284 excitation Effects 0.000 description 5
- 239000002784 hot electron Substances 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910003691 SiBr Inorganic materials 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910003481 amorphous carbon Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000001739 density measurement Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 229910003321 CoFe Inorganic materials 0.000 description 1
- 229910019236 CoFeB Inorganic materials 0.000 description 1
- 229910001030 Iron–nickel alloy Inorganic materials 0.000 description 1
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 1
- 229910005855 NiOx Inorganic materials 0.000 description 1
- 229910021118 PdCo Inorganic materials 0.000 description 1
- 229910019041 PtMn Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000002048 anodisation reaction Methods 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000005524 ceramic coating Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000012447 hatching Effects 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical group [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Natural products C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 1
- 229910021424 microcrystalline silicon Inorganic materials 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 230000001151 other effect Effects 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 239000003507 refrigerant Substances 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 239000012780 transparent material Substances 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Abstract
【解決手段】プラズマグリッドアセンブリ150が、反応チェンバ内に配置されて、該チェンバを上部サブチェンバ102と下部サブチェンバ103とに分割している。プラズマグリッドアセンブリ150は、1つ以上のプラズマグリッドを含むことができ、プラズマグリッドは、上部サブチェンバから下部サブチェンバに一部の種が通り抜けることを可能とする特別なアスペクト比のスロットを有する。複数のプラズマグリッドを用いる場合には、それらのグリッドのうち1つ以上を可動とすることができ、これにより、少なくとも下部サブチェンバ103におけるプラズマ条件を維持可能とすることができる。
【選択図】図1
Description
本出願は、“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称で2013年4月5日に出願された米国仮特許出願第61/809,246号の優先権の利益を主張して2013年6月12日に出願された“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称の米国特許出願第13/916,318号の優先権の利益を主張するものであり、これらの文献はそれぞれ、その全体がすべての目的のために参照により本明細書に組み込まれる。
グリッドもしくはグリッドアセンブリは、プラズマチェンバ内に配置されて、これにより、チェンバを上部サブチェンバと下部サブチェンバとに分割している。本明細書で記載するようなグリッドを備えるように改良するのに適したチェンバの一例は、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corporation)による反応器Kiyoである。文脈として、以下の説明では図1を参照することを想定することができ、これについてはさらに後述する。いくつかの実現形態において、グリッドは、反応チェンバの内部底面の上方、約1〜6インチの間に、またはペデスタルなどの基板支持部の上方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置される。これらまたは他の実現形態において、グリッドは、反応チェンバの内部天井の下方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置することができる。多くの場合、天井には、誘電体窓が装備されている。
グリッドを実現するために、様々な設計を採用することができる。いくつかの実施形態では、グリッドは、比較的単純な薄板材であって、一部の電子が上部サブチェンバから下部サブチェンバへ通過することを可能にするスロット、略円形の孔、または他の穿孔部を有する。他の実施形態では、グリッドは、より複雑な、複数の構成要素を有するグリッドアセンブリで構成することができる。例えば、グリッドアセンブリは、複数のグリッド、支持要素、および/または駆動要素を有することができる。
グリッドは、チェンバのプラズマを、2つのゾーン、すなわちプラズマ生成用のコイルに近接した上部ゾーンと、基板ホルダに近接した下部ゾーンとに、効果的に分割する。いくつかの実施形態において、上部ゾーンのプラズマは、比較的「高温」の高エネルギー電子を含んでいる。このプラズマは、しばしば電子・イオンプラズマと特徴付けられる。いくつかの実施形態において、下部ゾーンのプラズマは、比較的「低温」の低エネルギー電子を含んでいる。この下部ゾーン・プラズマは、しばしばイオン・イオンプラズマと特徴付けられる。
いくつかの実現形態において、ウェハは処理中にバイアスされる。これは、ウェハを保持/支持するのに用いられる静電チャックにバイアスを印加することにより実現される。ウェハは、下部サブチェンバにおいて(イオン・イオンプラズマなどの)低Teの低電子密度プラズマに暴露されるので、そのイオン・イオンプラズマに特有の効果が享受/促進されるように、チャックにバイアスを印加することができる。さらに、下部サブチェンバにおいて電子・イオンプラズマの形成が回避されるように、バイアスを印加することができる。例えば、バイアスは、イオン・イオンプラズマから電子・イオンプラズマへの変換を防ぐのに適した周波数および電力とすることができる。
本明細書で開示する装置およびプラズマ条件は、シリコン(多結晶、アモルファス、単結晶、および/または微結晶シリコンを含む)、金属(TiN、W、TaNなどを含むが、これらに限定されない)、酸化物および窒化物(SiO、SiOC、SiN、SiONなどを含むが、これらに限定されない)、有機物(フォトレジスト、アモルファスカーボンなどを含むが、これらに限定されない)など、様々な材料のいずれかをエッチングするために用いることができ、さらに他の様々な材料として、限定するものではないが、W、Pt、Ir、PtMn、PdCo、Co、CoFeB、CoFe、NiFe、W、Ag、Cu、Mo、TaSn、Ge2Sb2Te2、InSbTe Ag--Ge--S、Cu--Te--S、IrMn、Ruが含まれる。本コンセプトは、NiOx、SrTiOx、ペロブスカイト(CaTiO3)、PrCaMnO3、PZT(PbZr1−xTixO3)、(SrBiTa)O3などの材料に拡張することができる。本装置は、現今の製造設備で使用可能な任意のガスの組み合わせ(HBr、CO、NH3、CH3OHなどを含む)で用いることが可能である。
本明細書で記載する方法は、任意の適切な装置によって実施することができる。適切な装置は、チェンバと、本明細書で記載するようなエッチング条件を提供および維持するための電子ハードウェアと、を備えるものである。適切な装置は、さらに、そのような条件を達成するようにハードウェアを制御するため、さらにはFETのゲート電極をエッチングするなどの応用に適した一連のプロセス工程を実行するための、命令を有するシステムコントローラを備える。いくつかの実施形態において、ハードウェアには、プロセスツールに含まれる1つ以上の処理ステーションを含むことができる。
いくつかの実施形態において、システムコントローラ(1つ以上の物理コントローラまたは論理コントローラを含むことができる)により、エッチングチェンバの動作の一部またはすべてを制御する。システムコントローラは、1つ以上のメモリデバイスと、1つ以上のプロセッサとを備えることができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、および他の同様の構成要素を含むことができる。適切な制御動作を実現するための命令が、プロセッサ上で実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されていてもよいし、あるいはネットワークを介して提供されるものであってもよい。いくつかの実施形態において、システムコントローラは、システム制御ソフトウェアを実行する。
(1)例えばシリコン窒化膜がその上に形成された基板であるワークピースの上に、スピン式またはスプレー式のツールを用いて、フォトレジストを塗布する;
(2)ホットプレートまたは炉または他の適切な硬化ツールを用いて、フォトレジストを硬化させる;
(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;
(4)ウェットベンチまたはスプレー式現像装置などのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;
(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;
(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。
一部の実施形態では、フォトレジストを塗布する前に、アッシャブル・ハードマスク層(アモルファスカーボン層など)および他の適切なハードマスク(反射防止層など)を成膜することができる。
本開示の方法および装置によって、半導体基板上の半製品のデバイスのエッチングが改善されることを、実験により確認した。プラズマグリッドを使用した場合には、エッチングされた製品は、良好な選択性、プロファイル角、疎/密ローディング、および全面エッチング均一性を示している。
Claims (33)
- 基板上のフィーチャをエッチングするための装置であって、
プラズマを施すことが可能な内部を画成するチェンバと、
エッチング中に前記チェンバ内で基板を保持するための基板ホルダと、
前記チェンバ内でプラズマを生成するためのプラズマ発生器と、
前記プラズマチェンバの内部を、前記プラズマ発生器に近接した上部サブチェンバと、前記基板ホルダに近接した下部サブチェンバと、に分割するグリッドアセンブリと、
を備え、
前記上部サブチェンバは、前記下部サブチェンバの高さの少なくとも約1/6の高さを有し、
前記グリッドアセンブリは、2つ以上のグリッドを含み、それらは、前記チェンバ内でプラズマが生成されるときに該グリッドに誘導電流が発生することを実質的に防止する複数のスロットを有する
装置。 - 前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させるように設計または構成されたコントローラをさらに備え、
前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
前記下部ゾーン・プラズマの電子密度は、約5×109cm−3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
請求項1に記載の装置。 - 前記コントローラは、さらに、前記下部サブチェンバ内でイオン・イオンプラズマを発生させるように設計または構成される請求項2に記載の装置。
- 前記グリッドアセンブリの少なくとも1つのグリッドは、約1〜50mmの間の平均厚さを有する請求項1に記載の装置。
- 前記グリッドアセンブリの前記グリッドの少なくとも1つにおける前記スロットは、幅に対する高さのアスペクト比が、約0.01〜5の間である請求項1に記載の装置。
- 前記スロットは、方位隣接スロットから約60度以下によって隔てられている請求項1に記載の装置。
- 前記グリッドアセンブリは、第1のグリッドと第2のグリッドとを含む請求項1に記載の装置。
- 前記第1と第2のグリッドは、略同一のスロットパターンを有する請求項7に記載の装置。
- 前記第1と第2のグリッドは、相互に異なるスロットパターンを有する請求項7に記載の装置。
- 前記第1と第2のグリッドのうち少なくとも一方は、前記基板ホルダの上面に垂直な軸に関して回転可能である請求項7に記載の装置。
- 前記第1のグリッドおよび前記第2のグリッドは、前記下部サブチェンバにおけるプラズマ条件を径方向に調整することを可能にするスロットパターンを有する請求項10に記載の装置。
- 前記第1のグリッドと前記第2のグリッドとの間の距離を可変とするように、前記第1と第2のグリッドのうち少なくとも一方は可動である請求項7に記載の装置。
- 前記グリッドアセンブリの少なくとも1つのグリッドは、ガスを供給するための1つまたは複数の入口を有する請求項1に記載の装置。
- 基板上のフィーチャをエッチングする方法であって、
プラズマ発生器とグリッドアセンブリとを備えるチェンバであって、前記グリッドアセンブリは、該プラズマチェンバの内部を前記プラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割し、少なくとも2つのグリッドを有するものであって、前記上部サブチェンバは、前記下部サブチェンバの高さの少なくとも約1/6の高さを有する、チェンバ内の、前記基板ホルダに基板を供給し、
前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させ、
前記下部ゾーン・プラズマと前記基板との相互作用によって、前記基板のフィーチャをエッチングし、
前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
前記下部ゾーン・プラズマの電子密度は、約5×109cm−3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
方法。 - 前記グリッドアセンブリにバイアスを印加することをさらに含む請求項14に記載の方法。
- 前記基板ホルダにバイアスを印加することをさらに含む請求項14に記載の方法。
- 前記下部ゾーン・プラズマは、イオン・イオンプラズマである請求項14に記載の方法。
- 前記グリッドアセンブリの少なくとも1つのグリッドを、前記基板ホルダの上面に垂直な軸に関して回転させることをさらに含む請求項14に記載の方法。
- 前記グリッドアセンブリにおける前記グリッド間の距離を変更することをさらに含む請求項14に記載の方法。
- 前記上部サブチェンバと前記下部サブチェンバには、異なる処理ガスが供給される請求項14に記載の方法。
- 前記コントローラは、さらに、前記グリッドアセンブリにバイアスを印加するように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
- 前記コントローラは、さらに、前記基板ホルダにバイアスを印加するように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
- 前記コントローラは、さらに、前記チェンバにエッチャントガスを供給するように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
- 前記コントローラは、さらに、前記プラズマにより前記基板をエッチングする間、前記チェンバ内の圧力を約2000ミリトール未満とするように設計または構成される請求項1から請求項13のいずれか一項に記載の装置。
- 前記グリッドアセンブリの各グリッドの厚さの和は、約2〜50mmの間である請求項4に記載の装置。
- 前記グリッドアセンブリの前記グリッドのうち少なくとも1つにおける前記複数のスロットは、径方向に向いているか、または略径方向に向いている請求項1から請求項13のいずれか一項に記載の装置。
- 前記スロットは、方位隣接スロットから少なくとも約15度で隔てられている請求項6に記載の装置。
- 前記基板ホルダは、静電チャックである請求項1から請求項13のいずれか一項に記載の装置。
- 処理ガス注入口をさらに備える請求項1から請求項13のいずれか一項に記載の装置。
- 前記プラズマを発生させる際に、前記グリッドアセンブリに電流は実質的に生じない請求項14から請求項20のいずれか一項に記載の方法。
- 前記チェンバにエッチャントガスを供給することをさらに含む請求項14から請求項20のいずれか一項に記載の方法。
- 前記エッチングは、約2000ミリトール未満のチェンバ圧力で実施される請求項14から請求項20のいずれか一項に記載の方法。
- 前記グリッドアセンブリの少なくとも1つのグリッドを、前記グリッドアセンブリの少なくとも1つの他のグリッドに対して動かすことをさらに含み、前記少なくとも1つのグリッドを動かすことによって、前記グリッドアセンブリを通過して前記下部サブチェンバに入るイオンおよび中性種の相対量を変更する請求項14から請求項20のいずれか一項に記載の方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361809246P | 2013-04-05 | 2013-04-05 | |
US61/809,246 | 2013-04-05 | ||
US13/916,318 | 2013-06-12 | ||
US13/916,318 US9245761B2 (en) | 2013-04-05 | 2013-06-12 | Internal plasma grid for semiconductor fabrication |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2014239210A true JP2014239210A (ja) | 2014-12-18 |
JP2014239210A5 JP2014239210A5 (ja) | 2017-06-08 |
JP6506915B2 JP6506915B2 (ja) | 2019-04-24 |
Family
ID=51654740
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014076868A Active JP6461482B2 (ja) | 2013-04-05 | 2014-04-03 | 半導体製造用の内部プラズマグリッド |
JP2014076598A Active JP6506915B2 (ja) | 2013-04-05 | 2014-04-03 | 半導体製造用の内部プラズマグリッド |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014076868A Active JP6461482B2 (ja) | 2013-04-05 | 2014-04-03 | 半導体製造用の内部プラズマグリッド |
Country Status (6)
Country | Link |
---|---|
US (5) | US9245761B2 (ja) |
JP (2) | JP6461482B2 (ja) |
KR (2) | KR102284325B1 (ja) |
CN (3) | CN104103477B (ja) |
SG (3) | SG10201401112YA (ja) |
TW (3) | TWI636481B (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2016157685A (ja) * | 2015-02-13 | 2016-09-01 | エスピーティーエス テクノロジーズ リミティド | プラズマ生成装置 |
KR20170130467A (ko) * | 2015-03-17 | 2017-11-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기 |
KR20180085053A (ko) * | 2016-01-15 | 2018-07-25 | 맷슨 테크놀로지, 인크. | 플라즈마 챔버용 가변 패턴 분리 그리드 |
JP2020521322A (ja) * | 2017-05-19 | 2020-07-16 | トタル ソシエテ アノニムTotal Sa | パターン構造加工のための装置と方法 |
JP2020532087A (ja) * | 2017-10-09 | 2020-11-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 誘導結合プラズマソースの改善 |
JP2021509227A (ja) * | 2018-06-20 | 2021-03-18 | エルジー・ケム・リミテッド | 回折格子導光板用モールドの製造方法および回折格子導光板の製造方法 |
Families Citing this family (159)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
TWI798594B (zh) * | 2014-04-25 | 2023-04-11 | 美商應用材料股份有限公司 | 用於高溫應用的耐電漿腐蝕薄膜塗層 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US11049725B1 (en) | 2014-05-29 | 2021-06-29 | Corporation For National Research Initiatives | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US10249511B2 (en) * | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
WO2016109063A1 (en) * | 2015-01-02 | 2016-07-07 | Applied Materials, Inc. | Processing chamber |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9275834B1 (en) * | 2015-02-20 | 2016-03-01 | Applied Materials, Inc. | Selective titanium nitride etch |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10049862B2 (en) * | 2015-04-17 | 2018-08-14 | Lam Research Corporation | Chamber with vertical support stem for symmetric conductance and RF delivery |
US9922840B2 (en) * | 2015-07-07 | 2018-03-20 | Applied Materials, Inc. | Adjustable remote dissociation |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10014198B2 (en) * | 2015-08-21 | 2018-07-03 | Lam Research Corporation | Wear detection of consumable part in semiconductor manufacturing equipment |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
TW201711077A (zh) * | 2015-09-04 | 2017-03-16 | 漢辰科技股份有限公司 | 電漿基礎處理系統及其運作方法 |
US9824896B2 (en) * | 2015-11-04 | 2017-11-21 | Lam Research Corporation | Methods and systems for advanced ion control for etching processes |
CN106676532B (zh) * | 2015-11-10 | 2019-04-05 | 江苏鲁汶仪器有限公司 | 金属刻蚀装置及方法 |
US10504746B2 (en) | 2016-04-12 | 2019-12-10 | Applied Materials, Inc. | HKMG integration |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10535505B2 (en) * | 2016-11-11 | 2020-01-14 | Lam Research Corporation | Plasma light up suppression |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
JP2018078515A (ja) * | 2016-11-11 | 2018-05-17 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
KR20180081291A (ko) | 2017-01-06 | 2018-07-16 | 삼성전자주식회사 | 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
CN110462781B (zh) * | 2017-03-31 | 2022-03-11 | 玛特森技术公司 | 用于等离子体处理设备的基座组件 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
CN108878242B (zh) * | 2017-05-10 | 2021-01-29 | 北京北方华创微电子装备有限公司 | 一种等离子体装置 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10851457B2 (en) | 2017-08-31 | 2020-12-01 | Lam Research Corporation | PECVD deposition system for deposition on selective side of the substrate |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
CN112005347A (zh) | 2018-04-10 | 2020-11-27 | 朗姆研究公司 | 抗蚀剂和蚀刻建模 |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR102563925B1 (ko) * | 2018-08-31 | 2023-08-04 | 삼성전자 주식회사 | 반도체 제조 장치 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111146334A (zh) * | 2018-11-02 | 2020-05-12 | 江苏鲁汶仪器有限公司 | 一种磁隧道结制作方法 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
WO2020131848A1 (en) | 2018-12-17 | 2020-06-25 | Applied Materials, Inc. | Methods of optical device fabrication using an electron beam apparatus |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11039527B2 (en) * | 2019-01-28 | 2021-06-15 | Mattson Technology, Inc. | Air leak detection in plasma processing apparatus with separation grid |
US20220199379A1 (en) * | 2019-04-26 | 2022-06-23 | Lam Research Corporation | High temperature heating of a substrate in a processing chamber |
KR20230156441A (ko) | 2019-08-16 | 2023-11-14 | 램 리써치 코포레이션 | 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 |
KR102225657B1 (ko) * | 2019-11-14 | 2021-03-10 | 피에스케이 주식회사 | 배플 유닛, 이를 포함하는 기판 처리 장치 |
CN111243991B (zh) * | 2020-01-15 | 2022-12-09 | 北京北方华创微电子装备有限公司 | 内衬及半导体加工设备 |
US11353364B2 (en) | 2020-03-02 | 2022-06-07 | Lam Research Corporation | Thermal imaging for within wafer variability feedforward or feedback information |
US20210305024A1 (en) * | 2020-03-24 | 2021-09-30 | Texas Instruments Incorporated | Plasma cleaning for packaging electronic devices |
CN117690774B (zh) * | 2024-02-04 | 2024-04-16 | 上海邦芯半导体科技有限公司 | 一种降低刻蚀不均匀度的icp装置及调节方法 |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08167596A (ja) * | 1994-12-09 | 1996-06-25 | Sony Corp | プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法 |
JPH1167737A (ja) * | 1997-08-12 | 1999-03-09 | Tokyo Electron Yamanashi Kk | プラズマ処理装置 |
JPH11111697A (ja) * | 1997-09-30 | 1999-04-23 | Tokyo Electron Yamanashi Ltd | プラズマ処理装置 |
JP2000208483A (ja) * | 1999-01-08 | 2000-07-28 | Mitsubishi Electric Corp | ウェハ処理装置及びウェハ処理方法 |
JP2001196352A (ja) * | 1999-12-28 | 2001-07-19 | Texas Instr Inc <Ti> | ホトレジスト灰化処理装置での灰レート均一性を改良する方法 |
WO2001092611A1 (fr) * | 2000-05-29 | 2001-12-06 | Tohoku Techno Arch Co., Ltd. | Procede d'elaboration de diamant de haute qualite et dispositif correspondant |
JP2004281232A (ja) * | 2003-03-14 | 2004-10-07 | Ebara Corp | ビーム源及びビーム処理装置 |
WO2006129643A1 (ja) * | 2005-05-31 | 2006-12-07 | Tokyo Electron Limited | プラズマ処理装置およびプラズマ処理方法 |
JP2007149788A (ja) * | 2005-11-24 | 2007-06-14 | Aqua Science Kk | リモートプラズマ装置 |
Family Cites Families (184)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3849276A (en) | 1971-03-19 | 1974-11-19 | Ibm | Process for forming reactive layers whose thickness is independent of time |
US4600464A (en) | 1985-05-01 | 1986-07-15 | International Business Machines Corporation | Plasma etching reactor with reduced plasma potential |
JPH0690811B2 (ja) | 1985-09-10 | 1994-11-14 | 松下電器産業株式会社 | 平板状情報記録担体の基板作成方法 |
JPH0738384B2 (ja) | 1986-03-18 | 1995-04-26 | 富士通株式会社 | プラズマアツシング装置 |
JP2552701B2 (ja) | 1988-02-29 | 1996-11-13 | 日本電信電話株式会社 | イオン源 |
JPH01302645A (ja) | 1988-02-08 | 1989-12-06 | Anelva Corp | 放電装置 |
US5015331A (en) | 1988-08-30 | 1991-05-14 | Matrix Integrated Systems | Method of plasma etching with parallel plate reactor having a grid |
JPH02131550U (ja) | 1989-03-31 | 1990-11-01 | ||
JP2643457B2 (ja) | 1989-06-28 | 1997-08-20 | 三菱電機株式会社 | プラズマ処理装置及びその方法 |
US5009725A (en) | 1990-03-30 | 1991-04-23 | Air Products And Chemicals, Inc. | Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same |
JPH04137727A (ja) | 1990-09-28 | 1992-05-12 | Hitachi Ltd | イオンビームエッチング方法及びイオンビームエッチング装置 |
JP3149454B2 (ja) | 1991-05-17 | 2001-03-26 | 日本電気株式会社 | 枚葉式プラズマエッチング装置の上部電極 |
US5248371A (en) * | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
JPH0689880A (ja) | 1992-09-08 | 1994-03-29 | Tokyo Electron Ltd | エッチング装置 |
US5374456A (en) | 1992-12-23 | 1994-12-20 | Hughes Aircraft Company | Surface potential control in plasma processing of materials |
US5431774A (en) | 1993-11-30 | 1995-07-11 | Texas Instruments Incorporated | Copper etching |
JP2604684B2 (ja) | 1994-02-22 | 1997-04-30 | 木下 治久 | プラズマプロセス装置 |
JPH07335618A (ja) | 1994-06-08 | 1995-12-22 | Nippon Telegr & Teleph Corp <Ntt> | プラズマプロセスの方法及びプラズマプロセス装置 |
US5474648A (en) | 1994-07-29 | 1995-12-12 | Lsi Logic Corporation | Uniform and repeatable plasma processing |
US5746875A (en) | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
JPH08107101A (ja) * | 1994-10-03 | 1996-04-23 | Fujitsu Ltd | プラズマ処理装置及びプラズマ処理方法 |
JP3360461B2 (ja) | 1995-01-31 | 2002-12-24 | ソニー株式会社 | メタル成膜工程の前処理方法 |
JPH08279495A (ja) | 1995-02-07 | 1996-10-22 | Seiko Epson Corp | プラズマ処理装置及びその方法 |
US5710486A (en) | 1995-05-08 | 1998-01-20 | Applied Materials, Inc. | Inductively and multi-capacitively coupled plasma reactor |
US5705443A (en) | 1995-05-30 | 1998-01-06 | Advanced Technology Materials, Inc. | Etching method for refractory materials |
EP0756309A1 (en) | 1995-07-26 | 1997-01-29 | Applied Materials, Inc. | Plasma systems for processing substrates |
US6794301B2 (en) | 1995-10-13 | 2004-09-21 | Mattson Technology, Inc. | Pulsed plasma processing of semiconductor substrates |
US5683548A (en) | 1996-02-22 | 1997-11-04 | Motorola, Inc. | Inductively coupled plasma reactor and process |
US5849135A (en) | 1996-03-12 | 1998-12-15 | The Regents Of The University Of California | Particulate contamination removal from wafers using plasmas and mechanical agitation |
JP3190830B2 (ja) | 1996-07-22 | 2001-07-23 | 日本電気株式会社 | 半導体装置の製造方法 |
US20040071876A1 (en) * | 1996-07-25 | 2004-04-15 | Rakhimov Alexandr Tursunovich | Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor |
US6214162B1 (en) | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
US6007673A (en) | 1996-10-02 | 1999-12-28 | Matsushita Electronics Corporation | Apparatus and method of producing an electronic device |
US6267074B1 (en) | 1997-02-24 | 2001-07-31 | Foi Corporation | Plasma treatment systems |
JP4043089B2 (ja) | 1997-02-24 | 2008-02-06 | 株式会社エフオーアイ | プラズマ処理装置 |
JPH10242116A (ja) | 1997-02-25 | 1998-09-11 | Nkk Corp | 平行平板型rie装置 |
JPH10270429A (ja) | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | プラズマ処理装置 |
JPH1154717A (ja) | 1997-08-06 | 1999-02-26 | Sanyo Electric Co Ltd | 誘電体素子の製造方法 |
US6238527B1 (en) * | 1997-10-08 | 2001-05-29 | Canon Kabushiki Kaisha | Thin film forming apparatus and method of forming thin film of compound by using the same |
JPH11219938A (ja) | 1998-02-02 | 1999-08-10 | Matsushita Electron Corp | プラズマエッチング方法 |
WO1999040609A1 (en) | 1998-02-09 | 1999-08-12 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
US6352049B1 (en) | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
JP2000100790A (ja) | 1998-09-22 | 2000-04-07 | Canon Inc | プラズマ処理装置及びそれを用いた処理方法 |
JP2000306884A (ja) | 1999-04-22 | 2000-11-02 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマ処理方法 |
JP3948857B2 (ja) | 1999-07-14 | 2007-07-25 | 株式会社荏原製作所 | ビーム源 |
JP3366301B2 (ja) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
JP3510174B2 (ja) | 2000-03-01 | 2004-03-22 | 住友重機械工業株式会社 | イオン発生装置及び成膜装置 |
JP2001274143A (ja) | 2000-03-28 | 2001-10-05 | Tdk Corp | ドライエッチング方法、微細加工方法及びドライエッチング用マスク |
US6576202B1 (en) * | 2000-04-21 | 2003-06-10 | Kin-Chung Ray Chiu | Highly efficient compact capacitance coupled plasma reactor/generator and method |
DE10024883A1 (de) | 2000-05-19 | 2001-11-29 | Bosch Gmbh Robert | Plasmaätzanlage |
JP2001332534A (ja) | 2000-05-25 | 2001-11-30 | Matsushita Electric Ind Co Ltd | プラズマ処理方法及びプラズマ処理装置 |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
WO2002014810A2 (en) | 2000-08-10 | 2002-02-21 | Tokyo Electron Limited | Method and apparatus for tuning a plasma reactor chamber |
US7430984B2 (en) | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
JP2002069634A (ja) * | 2000-08-29 | 2002-03-08 | Canon Inc | 薄膜作製方法および薄膜作製装置 |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6461972B1 (en) | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
JP3924483B2 (ja) | 2001-03-19 | 2007-06-06 | アイピーエス リミテッド | 化学気相蒸着装置 |
JP2002289585A (ja) | 2001-03-26 | 2002-10-04 | Ebara Corp | 中性粒子ビーム処理装置 |
JP2004248505A (ja) * | 2001-09-21 | 2004-09-09 | Norio Nakatsuji | 移植抗原の一部または全てを欠除したes細胞由来の未分化な体細胞融合細胞およびその製造 |
KR20030046189A (ko) * | 2001-12-05 | 2003-06-12 | 변홍식 | 플라즈마 발생장치 |
TWI272877B (en) | 2001-12-13 | 2007-02-01 | Tokyo Electron Ltd | Ring mechanism, and plasma processing device using the ring mechanism |
WO2003054912A1 (en) | 2001-12-20 | 2003-07-03 | Tokyo Electron Limited | Method and apparatus comprising a magnetic filter for plasma processing a workpiece |
US20030124842A1 (en) | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6962644B2 (en) | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
JP2006500377A (ja) | 2002-06-21 | 2006-01-05 | トランスフォーム・ファーマシューティカルズ・インコーポレイテッド | 改善された溶解性を有する医薬組成物 |
US20040025791A1 (en) | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
JP2004153240A (ja) | 2002-10-09 | 2004-05-27 | Advanced Lcd Technologies Development Center Co Ltd | プラズマ処理装置 |
KR100883697B1 (ko) * | 2002-11-20 | 2009-02-13 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US7500445B2 (en) | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US7009281B2 (en) | 2003-03-14 | 2006-03-07 | Lam Corporation | Small volume process chamber with hot inner surfaces |
JP2007502860A (ja) * | 2003-04-24 | 2007-02-15 | ヴァンダービルト ユニバースィティ | 昆虫を防除するための組成物及び方法 |
US7976673B2 (en) | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
JP2004349375A (ja) | 2003-05-21 | 2004-12-09 | Nec Kansai Ltd | ドライエッチング装置のガス分散板 |
JP4111274B2 (ja) | 2003-07-24 | 2008-07-02 | キヤノンアネルバ株式会社 | 磁性材料のドライエッチング方法 |
US7144521B2 (en) | 2003-08-22 | 2006-12-05 | Lam Research Corporation | High aspect ratio etch using modulation of RF powers of various frequencies |
USH2212H1 (en) | 2003-09-26 | 2008-04-01 | The United States Of America As Represented By The Secretary Of The Navy | Method and apparatus for producing an ion-ion plasma continuous in time |
JP2005116865A (ja) | 2003-10-09 | 2005-04-28 | Canon Inc | イオンミリング装置およびイオンミリング方法 |
US7838430B2 (en) | 2003-10-28 | 2010-11-23 | Applied Materials, Inc. | Plasma control using dual cathode frequency mixing |
US7461614B2 (en) * | 2003-11-12 | 2008-12-09 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
JP2005276931A (ja) | 2004-03-23 | 2005-10-06 | Toshiba Corp | 半導体装置およびその製造方法 |
US20050211547A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US20050211171A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US20050211546A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US7740737B2 (en) | 2004-06-21 | 2010-06-22 | Tokyo Electron Limited | Plasma processing apparatus and method |
JP2006013190A (ja) | 2004-06-28 | 2006-01-12 | Rohm Co Ltd | 半導体装置の製造方法 |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
JP2006020032A (ja) * | 2004-07-01 | 2006-01-19 | Canon Inc | 画像処理装置及び画像処理方法 |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7138067B2 (en) | 2004-09-27 | 2006-11-21 | Lam Research Corporation | Methods and apparatus for tuning a set of plasma processing steps |
US7396431B2 (en) | 2004-09-30 | 2008-07-08 | Tokyo Electron Limited | Plasma processing system for treating a substrate |
US7268084B2 (en) * | 2004-09-30 | 2007-09-11 | Tokyo Electron Limited | Method for treating a substrate |
KR100663351B1 (ko) | 2004-11-12 | 2007-01-02 | 삼성전자주식회사 | 플라즈마 처리장치 |
JP4773079B2 (ja) | 2004-11-26 | 2011-09-14 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置の制御方法 |
JP2006236772A (ja) | 2005-02-24 | 2006-09-07 | Ebara Corp | 中性粒子ビーム源および中性粒子ビーム処理装置 |
US20060236931A1 (en) * | 2005-04-25 | 2006-10-26 | Varian Semiconductor Equipment Associates, Inc. | Tilted Plasma Doping |
JP2007035728A (ja) | 2005-07-22 | 2007-02-08 | Renesas Technology Corp | 半導体装置及びその製造方法 |
JP2007042951A (ja) * | 2005-08-04 | 2007-02-15 | Tokyo Electron Ltd | プラズマ処理装置 |
US8356575B2 (en) | 2005-09-09 | 2013-01-22 | Ulvac, Inc. | Ion source and plasma processing apparatus |
KR100653073B1 (ko) * | 2005-09-28 | 2006-12-01 | 삼성전자주식회사 | 기판처리장치와 기판처리방법 |
US7358484B2 (en) | 2005-09-29 | 2008-04-15 | Tokyo Electron Limited | Hyperthermal neutral beam source and method of operating |
US7335602B2 (en) | 2006-01-18 | 2008-02-26 | Freescale Semiconductor, Inc. | Charge-free layer by layer etching of dielectrics |
US8012306B2 (en) | 2006-02-15 | 2011-09-06 | Lam Research Corporation | Plasma processing reactor with multiple capacitive and inductive power sources |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
US8034176B2 (en) | 2006-03-28 | 2011-10-11 | Tokyo Electron Limited | Gas distribution system for a post-etch treatment system |
US7645357B2 (en) | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
CH696456A5 (de) * | 2006-05-02 | 2007-06-29 | Fostag Holding Ag | Stapelbares Besteckteil. |
US7520999B2 (en) | 2006-05-03 | 2009-04-21 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another |
US7938931B2 (en) | 2006-05-24 | 2011-05-10 | Lam Research Corporation | Edge electrodes with variable power |
US7879184B2 (en) | 2006-06-20 | 2011-02-01 | Lam Research Corporation | Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts |
US7837826B2 (en) | 2006-07-18 | 2010-11-23 | Lam Research Corporation | Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof |
JP5463536B2 (ja) | 2006-07-20 | 2014-04-09 | 北陸成型工業株式会社 | シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
TWI320237B (en) * | 2006-07-24 | 2010-02-01 | Si-substrate and structure of opto-electronic package having the same | |
GB0616131D0 (en) * | 2006-08-14 | 2006-09-20 | Oxford Instr Plasma Technology | Surface processing apparatus |
US7998307B2 (en) * | 2006-09-12 | 2011-08-16 | Tokyo Electron Limited | Electron beam enhanced surface wave plasma source |
US8192576B2 (en) | 2006-09-20 | 2012-06-05 | Lam Research Corporation | Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing |
KR100869359B1 (ko) | 2006-09-28 | 2008-11-19 | 주식회사 하이닉스반도체 | 반도체 소자의 리세스 게이트 제조 방법 |
US7897008B2 (en) | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080178805A1 (en) * | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US8043430B2 (en) | 2006-12-20 | 2011-10-25 | Lam Research Corporation | Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber |
US8262847B2 (en) | 2006-12-29 | 2012-09-11 | Lam Research Corporation | Plasma-enhanced substrate processing method and apparatus |
US7611936B2 (en) | 2007-05-11 | 2009-11-03 | Freescale Semiconductor, Inc. | Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method |
US20090084501A1 (en) | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Processing system for producing a negative ion plasma |
US7875555B2 (en) | 2007-11-29 | 2011-01-25 | Tokyo Electron Limited | Method for plasma processing over wide pressure range |
US20090162262A1 (en) | 2007-12-19 | 2009-06-25 | Applied Material, Inc. | Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead |
US8187948B2 (en) | 2008-02-18 | 2012-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid gap-fill approach for STI formation |
CN101978461B (zh) | 2008-03-20 | 2013-09-11 | 波鸿-鲁尔大学 | 在射频等离子体中控制离子能量的方法 |
US8185242B2 (en) | 2008-05-07 | 2012-05-22 | Lam Research Corporation | Dynamic alignment of wafers using compensation values obtained through a series of wafer movements |
US7732759B2 (en) | 2008-05-23 | 2010-06-08 | Tokyo Electron Limited | Multi-plasma neutral beam source and method of operating |
KR101434001B1 (ko) * | 2008-06-10 | 2014-08-25 | 쿨리케 앤드 소파 인더스트리즈, 인코포레이티드 | 와이어 본딩 작업에서 산화 감소를 위한 가스 전달 시스템 |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8986558B2 (en) | 2008-09-01 | 2015-03-24 | Japan Science And Technology Agency | Plasma etching method, plasma etching device, and method for producing photonic crystal |
WO2010064306A1 (ja) | 2008-12-03 | 2010-06-10 | 富士通株式会社 | 半導体装置の製造方法 |
US8236706B2 (en) * | 2008-12-12 | 2012-08-07 | Mattson Technology, Inc. | Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures |
JP2010192197A (ja) | 2009-02-17 | 2010-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US20100276391A1 (en) | 2009-03-05 | 2010-11-04 | Applied Materials, Inc. | Inductively coupled plasma reactor having rf phase control and methods of use thereof |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
US8475673B2 (en) | 2009-04-24 | 2013-07-02 | Lam Research Company | Method and apparatus for high aspect ratio dielectric etch |
US8749053B2 (en) * | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
WO2011007546A1 (ja) | 2009-07-16 | 2011-01-20 | キヤノンアネルバ株式会社 | イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法 |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
EP2534674B1 (en) | 2010-02-09 | 2016-04-06 | Intevac, Inc. | An adjustable shadow mask assembly for use in solar cell fabrications |
WO2011106703A2 (en) * | 2010-02-26 | 2011-09-01 | Anchor Therapeutics, Inc. | Cxcr4 receptor compounds |
JP5450187B2 (ja) | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
JP5388915B2 (ja) | 2010-03-16 | 2014-01-15 | 株式会社東芝 | 流路開閉装置、および紙葉類処理装置 |
US20120021136A1 (en) * | 2010-07-20 | 2012-01-26 | Varian Semiconductor Equipment Associates, Inc. | System and method for controlling plasma deposition uniformity |
KR101742815B1 (ko) | 2010-07-23 | 2017-06-01 | 삼성전자 주식회사 | Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법 |
JP5735232B2 (ja) | 2010-08-02 | 2015-06-17 | 株式会社イー・エム・ディー | プラズマ処理装置 |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
JP2012054304A (ja) | 2010-08-31 | 2012-03-15 | Tokyo Electron Ltd | エッチング方法及びエッチング装置 |
US20120083134A1 (en) | 2010-09-30 | 2012-04-05 | Hui-Jung Wu | Method of mitigating substrate damage during deposition processes |
US20120097330A1 (en) | 2010-10-20 | 2012-04-26 | Applied Materials, Inc. | Dual delivery chamber design |
JP5864879B2 (ja) | 2011-03-31 | 2016-02-17 | 東京エレクトロン株式会社 | 基板処理装置及びその制御方法 |
US9490106B2 (en) | 2011-04-28 | 2016-11-08 | Lam Research Corporation | Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil |
WO2012173699A1 (en) | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
WO2012173698A1 (en) | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control |
US9966236B2 (en) * | 2011-06-15 | 2018-05-08 | Lam Research Corporation | Powered grid for plasma chamber |
JP5893864B2 (ja) | 2011-08-02 | 2016-03-23 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US20160358784A1 (en) | 2011-09-07 | 2016-12-08 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
JP5689980B2 (ja) | 2011-10-31 | 2015-03-25 | キヤノンアネルバ株式会社 | 磁性膜のイオンビームエッチング方法及びイオンビームエッチング装置 |
WO2013070438A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Precursor distribution features for improved deposition uniformity |
US8461554B1 (en) | 2011-12-07 | 2013-06-11 | Varian Semiconductor Equipment Associates, Inc. | Apparatus and method for charge neutralization during processing of a workpiece |
US20130168352A1 (en) | 2011-12-28 | 2013-07-04 | Andreas Fischer | Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber |
CN202633210U (zh) | 2012-05-17 | 2012-12-26 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体刻蚀设备 |
TWI467625B (zh) | 2012-08-30 | 2015-01-01 | Univ Chang Gung | 電漿處理裝置 |
US9288889B2 (en) | 2013-03-13 | 2016-03-15 | Varian Semiconductor Equipment Associates, Inc. | Apparatus and techniques for energetic neutral beam processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
-
2013
- 2013-06-12 US US13/916,318 patent/US9245761B2/en active Active
- 2013-11-15 US US14/082,009 patent/US20140302681A1/en not_active Abandoned
-
2014
- 2014-03-31 SG SG10201401112YA patent/SG10201401112YA/en unknown
- 2014-04-03 TW TW103112593A patent/TWI636481B/zh active
- 2014-04-03 JP JP2014076868A patent/JP6461482B2/ja active Active
- 2014-04-03 TW TW103112591A patent/TWI665709B/zh active
- 2014-04-03 TW TW107120262A patent/TWI677025B/zh active
- 2014-04-03 JP JP2014076598A patent/JP6506915B2/ja active Active
- 2014-04-04 SG SG10201401254VA patent/SG10201401254VA/en unknown
- 2014-04-04 SG SG10201708121VA patent/SG10201708121VA/en unknown
- 2014-04-07 KR KR1020140041352A patent/KR102284325B1/ko active IP Right Grant
- 2014-04-07 KR KR1020140041261A patent/KR102270841B1/ko active IP Right Grant
- 2014-04-08 CN CN201410138310.XA patent/CN104103477B/zh active Active
- 2014-04-08 CN CN201410138510.5A patent/CN104103478B/zh active Active
- 2014-04-08 CN CN201710568823.8A patent/CN107578973B/zh active Active
-
2015
- 2015-11-17 US US14/943,483 patent/US11171021B2/en active Active
-
2016
- 2016-02-26 US US15/055,439 patent/US10224221B2/en active Active
- 2016-02-26 US US15/055,380 patent/US20160181130A1/en not_active Abandoned
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08167596A (ja) * | 1994-12-09 | 1996-06-25 | Sony Corp | プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法 |
JPH1167737A (ja) * | 1997-08-12 | 1999-03-09 | Tokyo Electron Yamanashi Kk | プラズマ処理装置 |
JPH11111697A (ja) * | 1997-09-30 | 1999-04-23 | Tokyo Electron Yamanashi Ltd | プラズマ処理装置 |
JP2000208483A (ja) * | 1999-01-08 | 2000-07-28 | Mitsubishi Electric Corp | ウェハ処理装置及びウェハ処理方法 |
JP2001196352A (ja) * | 1999-12-28 | 2001-07-19 | Texas Instr Inc <Ti> | ホトレジスト灰化処理装置での灰レート均一性を改良する方法 |
WO2001092611A1 (fr) * | 2000-05-29 | 2001-12-06 | Tohoku Techno Arch Co., Ltd. | Procede d'elaboration de diamant de haute qualite et dispositif correspondant |
JP2004281232A (ja) * | 2003-03-14 | 2004-10-07 | Ebara Corp | ビーム源及びビーム処理装置 |
WO2006129643A1 (ja) * | 2005-05-31 | 2006-12-07 | Tokyo Electron Limited | プラズマ処理装置およびプラズマ処理方法 |
JP2007149788A (ja) * | 2005-11-24 | 2007-06-14 | Aqua Science Kk | リモートプラズマ装置 |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2016157685A (ja) * | 2015-02-13 | 2016-09-01 | エスピーティーエス テクノロジーズ リミティド | プラズマ生成装置 |
US11101113B2 (en) | 2015-03-17 | 2021-08-24 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process |
KR20170130467A (ko) * | 2015-03-17 | 2017-11-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기 |
JP2018510470A (ja) * | 2015-03-17 | 2018-04-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | イオン−イオンプラズマ原子層エッチングプロセス及びリアクタ |
KR102478896B1 (ko) * | 2015-03-17 | 2022-12-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기 |
JP7166921B2 (ja) | 2016-01-15 | 2022-11-08 | マトソン テクノロジー インコーポレイテッド | プラズマ処理装置、プラズマ処理装置のための分離格子および基板処理方法 |
KR102227879B1 (ko) * | 2016-01-15 | 2021-03-16 | 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 | 플라즈마 챔버용 가변 패턴 분리 그리드 |
JP2022020069A (ja) * | 2016-01-15 | 2022-01-31 | マトソン テクノロジー インコーポレイテッド | プラズマチャンバのための可変パターン分離格子 |
JP2019507465A (ja) * | 2016-01-15 | 2019-03-14 | マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. | プラズマチャンバのための可変パターン分離格子 |
KR20180085053A (ko) * | 2016-01-15 | 2018-07-25 | 맷슨 테크놀로지, 인크. | 플라즈마 챔버용 가변 패턴 분리 그리드 |
JP7250889B2 (ja) | 2016-01-15 | 2023-04-03 | マトソン テクノロジー インコーポレイテッド | プラズマチャンバのための可変パターン分離格子 |
JP2020521322A (ja) * | 2017-05-19 | 2020-07-16 | トタル ソシエテ アノニムTotal Sa | パターン構造加工のための装置と方法 |
JP7335815B2 (ja) | 2017-05-19 | 2023-08-30 | トタルエネルジ エスウ | パターン構造加工のための装置と方法 |
JP2020532087A (ja) * | 2017-10-09 | 2020-11-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 誘導結合プラズマソースの改善 |
JP7148610B2 (ja) | 2017-10-09 | 2022-10-05 | アプライド マテリアルズ インコーポレイテッド | 基板処理装置 |
JP2021509227A (ja) * | 2018-06-20 | 2021-03-18 | エルジー・ケム・リミテッド | 回折格子導光板用モールドの製造方法および回折格子導光板の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
SG10201401112YA (en) | 2014-11-27 |
CN104103477A (zh) | 2014-10-15 |
SG10201708121VA (en) | 2017-11-29 |
US20160203990A1 (en) | 2016-07-14 |
CN104103478A (zh) | 2014-10-15 |
US20160141188A1 (en) | 2016-05-19 |
KR20140121367A (ko) | 2014-10-15 |
KR20140121368A (ko) | 2014-10-15 |
JP6506915B2 (ja) | 2019-04-24 |
TWI665709B (zh) | 2019-07-11 |
CN107578973B (zh) | 2020-03-13 |
JP6461482B2 (ja) | 2019-01-30 |
TW201511067A (zh) | 2015-03-16 |
CN104103477B (zh) | 2017-08-11 |
TWI677025B (zh) | 2019-11-11 |
US10224221B2 (en) | 2019-03-05 |
US20140302681A1 (en) | 2014-10-09 |
US20160181130A1 (en) | 2016-06-23 |
US11171021B2 (en) | 2021-11-09 |
JP2014204127A (ja) | 2014-10-27 |
CN104103478B (zh) | 2017-05-10 |
TW201511066A (zh) | 2015-03-16 |
TW201836012A (zh) | 2018-10-01 |
TWI636481B (zh) | 2018-09-21 |
SG10201401254VA (en) | 2014-11-27 |
US9245761B2 (en) | 2016-01-26 |
CN107578973A (zh) | 2018-01-12 |
US20140302680A1 (en) | 2014-10-09 |
KR102284325B1 (ko) | 2021-08-02 |
KR102270841B1 (ko) | 2021-06-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6506915B2 (ja) | 半導体製造用の内部プラズマグリッド | |
JP6509495B2 (ja) | 半導体製造用の内部プラズマグリッドの適用 | |
US10134605B2 (en) | Dual chamber plasma etcher with ion accelerator | |
TWI647731B (zh) | 蝕刻基板的設備及方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20170331 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170417 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20180115 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20180227 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20180525 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20181113 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20190212 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20190312 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20190401 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6506915 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |