JPH1167737A - プラズマ処理装置 - Google Patents
プラズマ処理装置Info
- Publication number
- JPH1167737A JPH1167737A JP9231751A JP23175197A JPH1167737A JP H1167737 A JPH1167737 A JP H1167737A JP 9231751 A JP9231751 A JP 9231751A JP 23175197 A JP23175197 A JP 23175197A JP H1167737 A JPH1167737 A JP H1167737A
- Authority
- JP
- Japan
- Prior art keywords
- processing
- space
- plasma
- gas
- gas supply
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000012545 processing Methods 0.000 title claims abstract description 405
- 238000005530 etching Methods 0.000 abstract description 96
- 230000007246 mechanism Effects 0.000 abstract description 34
- 238000000034 method Methods 0.000 abstract description 29
- 230000008569 process Effects 0.000 abstract description 29
- 238000010494 dissociation reaction Methods 0.000 abstract description 24
- 230000005593 dissociations Effects 0.000 abstract description 24
- 239000007789 gas Substances 0.000 description 280
- 230000003028 elevating effect Effects 0.000 description 8
- 230000000694 effects Effects 0.000 description 5
- 230000008859 change Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 239000000758 substrate Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma Technology (AREA)
- ing And Chemical Polishing (AREA)
- Drying Of Semiconductors (AREA)
Abstract
(57)【要約】
【課題】 プラズマ生成空間内と処理空間内の処理ガス
の解離度を調整し,均一な処理を被処理体に施すことが
可能なプラズマ処理装置を提供する。 【解決手段】 エッチング装置100の処理室102内
は,グリッド電極128によりプラズマ生成空間132
と処理空間134に分割される。プラズマ生成空間13
2には,第1ガス供給源142から第1ガス流量調整バ
ルブ140が介装された第1ガス供給管136を介して
処理ガスを供給し,処理空間134内には,第2ガス供
給源150から第2ガス流量調整バルブ148が介装さ
れた第2ガス供給管144を介して処理ガスを供給す
る。処理室102内の雰囲気は,処理空間134に接続
された排気管154を介して排気機構P160により一
定量の排気量で排気する。制御器152により第1及び
第2ガス流量調整バルブ140,148の開度を個別独
立に制御する。
の解離度を調整し,均一な処理を被処理体に施すことが
可能なプラズマ処理装置を提供する。 【解決手段】 エッチング装置100の処理室102内
は,グリッド電極128によりプラズマ生成空間132
と処理空間134に分割される。プラズマ生成空間13
2には,第1ガス供給源142から第1ガス流量調整バ
ルブ140が介装された第1ガス供給管136を介して
処理ガスを供給し,処理空間134内には,第2ガス供
給源150から第2ガス流量調整バルブ148が介装さ
れた第2ガス供給管144を介して処理ガスを供給す
る。処理室102内の雰囲気は,処理空間134に接続
された排気管154を介して排気機構P160により一
定量の排気量で排気する。制御器152により第1及び
第2ガス流量調整バルブ140,148の開度を個別独
立に制御する。
Description
【0001】
【発明の属する技術分野】本発明は,プラズマ処理装置
に関する。
に関する。
【0002】
【従来の技術】従来より,気密な処理室の上部壁面を成
す誘電体壁上に高周波アンテナを配置し,かつ多数の貫
通孔が形成されたグリッド電極により処理室内をプラズ
マ生成空間と処理空間に分割したプラズマ処理装置,例
えば誘導結合型エッチング装置が提案されている。かか
るエッチング装置において,被処理体,例えば半導体ウ
ェハ(以下,「ウェハ」と称する。)の表面に形成され
た酸化膜(SiO2膜)に対しエッチング処理を施す場
合について説明すると,まず処理空間内に配置された下
部電極上に被処理体を載置する。
す誘電体壁上に高周波アンテナを配置し,かつ多数の貫
通孔が形成されたグリッド電極により処理室内をプラズ
マ生成空間と処理空間に分割したプラズマ処理装置,例
えば誘導結合型エッチング装置が提案されている。かか
るエッチング装置において,被処理体,例えば半導体ウ
ェハ(以下,「ウェハ」と称する。)の表面に形成され
た酸化膜(SiO2膜)に対しエッチング処理を施す場
合について説明すると,まず処理空間内に配置された下
部電極上に被処理体を載置する。
【0003】次いで,処理条件に応じて,処理室内,例
えばプラズマ生成空間内に接続された処理ガス供給系か
らそのプラズマ生成空間内に処理ガス,例えばArやH
eやKrなどの希ガスと,CF4やC4F8やCHF3など
のプロセスガスから成る混合ガスを供給する。同時に,
処理室内,例えば処理空間内に接続された排気系によっ
てその処理空間を介して処理室内の雰囲気を排気するこ
とにより,処理室内全体を所定の減圧雰囲気に維持す
る。
えばプラズマ生成空間内に接続された処理ガス供給系か
らそのプラズマ生成空間内に処理ガス,例えばArやH
eやKrなどの希ガスと,CF4やC4F8やCHF3など
のプロセスガスから成る混合ガスを供給する。同時に,
処理室内,例えば処理空間内に接続された排気系によっ
てその処理空間を介して処理室内の雰囲気を排気するこ
とにより,処理室内全体を所定の減圧雰囲気に維持す
る。
【0004】次いで,高周波アンテナにプラズマ生成用
高周波電力を印加することによりプラズマ生成空間内に
供給された混合ガスを解離させ,高密度プラズマを励起
させる。同時に,下部電極に対してバイアス用高周波電
力を印加することにより,かかるプラズマ中のエッチャ
ント種,例えばCFxラジカルをグリッド電極を介して
処理空間内に配置されたウェハ表面の酸化膜上に導く。
この際,上述の如くプラズマ生成空間内と処理空間内と
の間に配置されたグリッド電極により,プラズマ中の所
望のエッチャント種のみが処理空間内に供給されるた
め,ウェハに対して均一なエッチング処理を施すことが
できる。
高周波電力を印加することによりプラズマ生成空間内に
供給された混合ガスを解離させ,高密度プラズマを励起
させる。同時に,下部電極に対してバイアス用高周波電
力を印加することにより,かかるプラズマ中のエッチャ
ント種,例えばCFxラジカルをグリッド電極を介して
処理空間内に配置されたウェハ表面の酸化膜上に導く。
この際,上述の如くプラズマ生成空間内と処理空間内と
の間に配置されたグリッド電極により,プラズマ中の所
望のエッチャント種のみが処理空間内に供給されるた
め,ウェハに対して均一なエッチング処理を施すことが
できる。
【0005】しかしながら,上述した誘導結合型エッチ
ング装置は,高密度プラズマを励起させることができる
ため,高エッチングレートの処理が可能となり,スルー
プットを向上させることができる反面,プラズマ生成空
間のみ処理ガスを供給した場合には,プロセスガス,例
えばCF系ガスの解離が過度に進行してしまうことがあ
る。その結果,上述の如くウェハ表面の酸化膜にエッチ
ング処理,特に,例えば相対的に厚い酸化膜に高アスペ
クト比のコンタクトホールを形成する場合には,その酸
化膜の選択性を低下させる原因となることがある。
ング装置は,高密度プラズマを励起させることができる
ため,高エッチングレートの処理が可能となり,スルー
プットを向上させることができる反面,プラズマ生成空
間のみ処理ガスを供給した場合には,プロセスガス,例
えばCF系ガスの解離が過度に進行してしまうことがあ
る。その結果,上述の如くウェハ表面の酸化膜にエッチ
ング処理,特に,例えば相対的に厚い酸化膜に高アスペ
クト比のコンタクトホールを形成する場合には,その酸
化膜の選択性を低下させる原因となることがある。
【0006】そこで,プラズマ生成空間と処理空間にそ
れぞれ独立した処理ガス供給系を接続し,処理条件,す
なわち要求される処理ガスの解離度やプラズマ密度に応
じて,それら各処理ガス供給系からそれぞれに対応する
所定の処理ガスをプラズマ生成空間内と処理空間内に供
給することが提案されている。また,発明者の知見によ
れば,プラズマ生成空間内に,プロセスガス,例えばC
F系ガスを相対的に多く供給した場合には,かかるガス
を相対的に多く解離させることができ,すなわち解離度
の高いラジカル,例えばCラジカルやC2ラジカルやF
ラジカルなどを相対的に多く生成させることができる。
また,処理空間内に,該ガスを相対的に多く供給した場
合には,そのガスを相対的に少なく解離させることがで
き,すなわち解離度の低いラジカル,例えばCFラジカ
ルやCF2ラジカルなどを相対的に多く生成させること
ができる。
れぞれ独立した処理ガス供給系を接続し,処理条件,す
なわち要求される処理ガスの解離度やプラズマ密度に応
じて,それら各処理ガス供給系からそれぞれに対応する
所定の処理ガスをプラズマ生成空間内と処理空間内に供
給することが提案されている。また,発明者の知見によ
れば,プラズマ生成空間内に,プロセスガス,例えばC
F系ガスを相対的に多く供給した場合には,かかるガス
を相対的に多く解離させることができ,すなわち解離度
の高いラジカル,例えばCラジカルやC2ラジカルやF
ラジカルなどを相対的に多く生成させることができる。
また,処理空間内に,該ガスを相対的に多く供給した場
合には,そのガスを相対的に少なく解離させることがで
き,すなわち解離度の低いラジカル,例えばCFラジカ
ルやCF2ラジカルなどを相対的に多く生成させること
ができる。
【0007】従って,上述の如くウェハの酸化膜に高ア
スペクト比のコンタクトホールを形成する場合には,処
理空間内に相対的に多くのプロセスガスを供給すること
により,プラズマ生成空間内でのプロセスガスの解離を
相対的に減少させることができる。その結果,処理空間
内に上述した解離度の低いラジカルを生成させることが
でき,ウェハに対して所望の均一なエッチング処理を施
すことができる。
スペクト比のコンタクトホールを形成する場合には,処
理空間内に相対的に多くのプロセスガスを供給すること
により,プラズマ生成空間内でのプロセスガスの解離を
相対的に減少させることができる。その結果,処理空間
内に上述した解離度の低いラジカルを生成させることが
でき,ウェハに対して所望の均一なエッチング処理を施
すことができる。
【0008】
【発明が解決しようとする課題】しかしながら,上述の
如く処理室内のプラズマ生成空間と処理空間とは,多数
の貫通孔が形成されたグリッド電極により隔てられてい
るため,それらプラズマ生成空間と処理空間にそれぞれ
所定の処理ガスを独立して供給した場合でも,処理室内
の圧力雰囲気の状態により,グリッド電極を介してお互
いに混合してしまうことがある。その結果,処理ガスの
解離度を制御することができなくなり,例えば処理ガス
が過度に解離した場合には,過剰なエッチングにより均
一かつ高選択比の処理を被処理体に施すことが困難とな
ることがある。
如く処理室内のプラズマ生成空間と処理空間とは,多数
の貫通孔が形成されたグリッド電極により隔てられてい
るため,それらプラズマ生成空間と処理空間にそれぞれ
所定の処理ガスを独立して供給した場合でも,処理室内
の圧力雰囲気の状態により,グリッド電極を介してお互
いに混合してしまうことがある。その結果,処理ガスの
解離度を制御することができなくなり,例えば処理ガス
が過度に解離した場合には,過剰なエッチングにより均
一かつ高選択比の処理を被処理体に施すことが困難とな
ることがある。
【0009】また,上述したエッチング装置の如く,プ
ラズマ生成空間と処理空間にそれぞれ独立した処理ガス
供給系から処理ガスを供給し,かつ処理空間に接続され
た排気系から処理室内の雰囲気を排気する場合には,制
御パラメータが複雑となってそれら処理ガスの供給量や
排ガスの排気量の制御が困難となることがある。さら
に,プラズマは,処理ガスの状態や圧力雰囲気などのプ
ロセス条件の変化に伴って影響を受けやすく,所定の条
件が整っていない場合には,均一かつ高密度のプラズマ
を励起させることができず,均一な処理を被処理体に施
すことができないことがある。
ラズマ生成空間と処理空間にそれぞれ独立した処理ガス
供給系から処理ガスを供給し,かつ処理空間に接続され
た排気系から処理室内の雰囲気を排気する場合には,制
御パラメータが複雑となってそれら処理ガスの供給量や
排ガスの排気量の制御が困難となることがある。さら
に,プラズマは,処理ガスの状態や圧力雰囲気などのプ
ロセス条件の変化に伴って影響を受けやすく,所定の条
件が整っていない場合には,均一かつ高密度のプラズマ
を励起させることができず,均一な処理を被処理体に施
すことができないことがある。
【0010】本発明は,従来の技術が有する上記のよう
な問題点に鑑みて成されたものであり,グリッド電極に
より隔てられたプラズマ生成空間内と処理空間内の圧力
雰囲気や処理ガスのガス流などを所望の状態に整え,処
理ガスの解離度やプラズマ密度の制御を正確かつ確実に
行うことにより,被処理体に対して均一かつ高選択比の
プラズマ処理を施すことが可能な,新規かつ改良された
プラズマ処理装置を提供することを目的としている。
な問題点に鑑みて成されたものであり,グリッド電極に
より隔てられたプラズマ生成空間内と処理空間内の圧力
雰囲気や処理ガスのガス流などを所望の状態に整え,処
理ガスの解離度やプラズマ密度の制御を正確かつ確実に
行うことにより,被処理体に対して均一かつ高選択比の
プラズマ処理を施すことが可能な,新規かつ改良された
プラズマ処理装置を提供することを目的としている。
【0011】
【課題を解決するための手段】本発明は,処理室の少な
くとも一部を成す誘電体壁を介してプラズマ源が接続さ
れると共に,処理室内はグリッド電極を介してプラズマ
生成空間と処理空間に分割されており,プラズマ生成空
間において生成したプラズマを処理空間に引き込んで処
理空間に配された被処理体に対して所定のプラズマ処理
を施す如く構成されたプラズマ処理装置に適用されるも
のである。そして,請求項1に記載の発明は,プラズマ
生成空間または処理空間のいずれか一方に設けられて処
理室内を一定の排気量で排気する一の排気系と,プラズ
マ生成空間に所定の処理ガスを供給する第1の処理ガス
供給系と,処理空間内に所定の処理ガスを供給する第2
の処理ガス供給系と,処理室内のプラズマ状態に応じて
第1の処理ガス供給系のガス供給流量と第2の処理ガス
供給系のガス供給流量を個別独立に制御する制御器とを
備えたことを特徴としている。
くとも一部を成す誘電体壁を介してプラズマ源が接続さ
れると共に,処理室内はグリッド電極を介してプラズマ
生成空間と処理空間に分割されており,プラズマ生成空
間において生成したプラズマを処理空間に引き込んで処
理空間に配された被処理体に対して所定のプラズマ処理
を施す如く構成されたプラズマ処理装置に適用されるも
のである。そして,請求項1に記載の発明は,プラズマ
生成空間または処理空間のいずれか一方に設けられて処
理室内を一定の排気量で排気する一の排気系と,プラズ
マ生成空間に所定の処理ガスを供給する第1の処理ガス
供給系と,処理空間内に所定の処理ガスを供給する第2
の処理ガス供給系と,処理室内のプラズマ状態に応じて
第1の処理ガス供給系のガス供給流量と第2の処理ガス
供給系のガス供給流量を個別独立に制御する制御器とを
備えたことを特徴としている。
【0012】かかる構成によれば,一の排気系によって
処理室内の雰囲気を一定の排気量で排気する場合でも,
制御器により第1の処理ガス供給系のガス流量と第2の
処理ガス供給系のガス流量を個別独立に制御することに
より,プラズマ生成空間内と処理空間内を各々所定の圧
力雰囲気に調整・維持することができる。その結果,処
理室内のプラズマの状態や要求されるプラズマ処理など
に応じて,処理ガスの解離度やプラズマ密度を適宜正確
に調整することができるため,被処理体に対して均一な
プラズマ処理を施すことができる。
処理室内の雰囲気を一定の排気量で排気する場合でも,
制御器により第1の処理ガス供給系のガス流量と第2の
処理ガス供給系のガス流量を個別独立に制御することに
より,プラズマ生成空間内と処理空間内を各々所定の圧
力雰囲気に調整・維持することができる。その結果,処
理室内のプラズマの状態や要求されるプラズマ処理など
に応じて,処理ガスの解離度やプラズマ密度を適宜正確
に調整することができるため,被処理体に対して均一な
プラズマ処理を施すことができる。
【0013】また,請求項2に記載の発明は,上述の如
く構成されたプラズマ処理装置において,プラズマ生成
空間に所定の処理ガスを供給する第1の処理ガス供給系
と,処理空間内に所定の処理ガスを供給する第2の処理
ガス供給系と,プラズマ生成空間に設けられた第1の排
気バルブと,処理空間に設けられた第2の排気バルブ
と,第1及び第2の排気バルブと連通し一定の排気量を
有する排気系と,処理室内のプラズマ状態に応じて第1
及び第2の排気バルブの開度を個別独立に制御する制御
器とを備えたことを特徴としている。
く構成されたプラズマ処理装置において,プラズマ生成
空間に所定の処理ガスを供給する第1の処理ガス供給系
と,処理空間内に所定の処理ガスを供給する第2の処理
ガス供給系と,プラズマ生成空間に設けられた第1の排
気バルブと,処理空間に設けられた第2の排気バルブ
と,第1及び第2の排気バルブと連通し一定の排気量を
有する排気系と,処理室内のプラズマ状態に応じて第1
及び第2の排気バルブの開度を個別独立に制御する制御
器とを備えたことを特徴としている。
【0014】かかる構成によれば,一定の排気量を有す
る排気系と連通する第1及び第2の排気バルブを制御器
により個別独立に制御することにより,第1の処理ガス
供給系と第2の処理ガス供給系から実質的に同一流量,
またはそれぞれ異なる流量の処理ガスをそれぞれに対応
するプラズマ生成空間と処理空間に供給した場合でも,
それらプラズマ生成空間内と処理空間内の圧力雰囲気を
それぞれ所望の状態に調整し,かつ維持することができ
る。その結果,プラズマ生成空間と処理空間のそれぞれ
に所定の処理ガスを満たすことができるため,かかる処
理ガスを所望の解離度で解離させることができ,均一な
プラズマ密度のプラズマにより被処理体に処理を施すこ
とができる。
る排気系と連通する第1及び第2の排気バルブを制御器
により個別独立に制御することにより,第1の処理ガス
供給系と第2の処理ガス供給系から実質的に同一流量,
またはそれぞれ異なる流量の処理ガスをそれぞれに対応
するプラズマ生成空間と処理空間に供給した場合でも,
それらプラズマ生成空間内と処理空間内の圧力雰囲気を
それぞれ所望の状態に調整し,かつ維持することができ
る。その結果,プラズマ生成空間と処理空間のそれぞれ
に所定の処理ガスを満たすことができるため,かかる処
理ガスを所望の解離度で解離させることができ,均一な
プラズマ密度のプラズマにより被処理体に処理を施すこ
とができる。
【0015】また,請求項3に記載の発明は,上述の如
く構成されたプラズマ処理装置において,プラズマ生成
空間または処理空間のいずれか一方に設けられた一の排
気系と,プラズマ生成空間に所定の処理ガスを供給する
第1の処理ガス供給系と,処理空間内に所定の処理ガス
を供給する第2の処理ガス供給系とを備えており,グリ
ッド電極はプラズマ生成空間と処理空間とを所定の圧力
差に維持するコンダクタンスを有していることを特徴と
している。
く構成されたプラズマ処理装置において,プラズマ生成
空間または処理空間のいずれか一方に設けられた一の排
気系と,プラズマ生成空間に所定の処理ガスを供給する
第1の処理ガス供給系と,処理空間内に所定の処理ガス
を供給する第2の処理ガス供給系とを備えており,グリ
ッド電極はプラズマ生成空間と処理空間とを所定の圧力
差に維持するコンダクタンスを有していることを特徴と
している。
【0016】かかる構成によれば,プラズマ生成空間と
処理空間とを隔てるグリッド電極は,それらプラズマ生
成空間と処理空間とを所定の圧力差に維持するコンダク
タンスを有しているため,例えばそのグリッド電極の配
置を適宜調整することにより,プラズマ生成空間内と処
理空間内の圧力雰囲気をそれぞれ所望の状態に調整・維
持することができる。
処理空間とを隔てるグリッド電極は,それらプラズマ生
成空間と処理空間とを所定の圧力差に維持するコンダク
タンスを有しているため,例えばそのグリッド電極の配
置を適宜調整することにより,プラズマ生成空間内と処
理空間内の圧力雰囲気をそれぞれ所望の状態に調整・維
持することができる。
【0017】また,かかるグリッド電極は,例えば請求
項4に記載の発明のように,複数枚の電極から構成する
ことができるため,例えば各電極に形成される貫通孔の
形状や,各電極の配置や各電極間の距離などを適宜変え
ることにより,プラズマ生成空間内と処理空間内の圧力
雰囲気を正確かつ確実に制御することができる。
項4に記載の発明のように,複数枚の電極から構成する
ことができるため,例えば各電極に形成される貫通孔の
形状や,各電極の配置や各電極間の距離などを適宜変え
ることにより,プラズマ生成空間内と処理空間内の圧力
雰囲気を正確かつ確実に制御することができる。
【0018】また,請求項5に記載の発明は,上述の如
く構成されたプラズマ処理装置において,プラズマ生成
空間に所定の処理ガスを供給する第1の処理ガス供給系
と,処理空間内に所定の処理ガスを供給する第2の処理
ガス供給系と,プラズマ生成空間に設けられた第1の排
気系と,処理空間に設けられた第2の排気系と,処理室
内のプラズマ状態に応じて第1および第2の排気系の排
気量を個別独立に制御する制御器とを備えたことを特徴
としている。
く構成されたプラズマ処理装置において,プラズマ生成
空間に所定の処理ガスを供給する第1の処理ガス供給系
と,処理空間内に所定の処理ガスを供給する第2の処理
ガス供給系と,プラズマ生成空間に設けられた第1の排
気系と,処理空間に設けられた第2の排気系と,処理室
内のプラズマ状態に応じて第1および第2の排気系の排
気量を個別独立に制御する制御器とを備えたことを特徴
としている。
【0019】かかる構成によれば,プラズマ生成空間と
処理空間にそれぞれ独立して備えられた第1の排気系と
第2の排気系の排気量を,制御器により個別独立して制
御することができる。その結果,第1の処理ガス供給手
段と第2の処理ガス供給手段から実質的に同一の流量
で,またはそれぞれ異なる流量で処理ガスがプラズマ生
成空間内と処理空間内に供給された場合でも,それらプ
ラズマ生成空間内と処理空間内の圧力雰囲気を適宜調整
し,常時均一な状態に維持することができる。
処理空間にそれぞれ独立して備えられた第1の排気系と
第2の排気系の排気量を,制御器により個別独立して制
御することができる。その結果,第1の処理ガス供給手
段と第2の処理ガス供給手段から実質的に同一の流量
で,またはそれぞれ異なる流量で処理ガスがプラズマ生
成空間内と処理空間内に供給された場合でも,それらプ
ラズマ生成空間内と処理空間内の圧力雰囲気を適宜調整
し,常時均一な状態に維持することができる。
【0020】また,請求項6に記載の発明は,上述の如
く構成されたプラズマ処理装置において,プラズマ生成
空間に所定の処理ガスを供給する第1の処理ガス供給系
と,処理空間内に所定の処理ガスを供給する第2の処理
ガス供給系と,プラズマ生成空間に設けられた第1の排
気系と,処理空間に設けられた第2の排気系と,処理室
内のプラズマ状態に応じて第1の処理ガス供給系のガス
供給流量と第2の処理ガス供給系のガス供給流量を個別
独立に制御する制御器とを備えたことを特徴としてい
る。
く構成されたプラズマ処理装置において,プラズマ生成
空間に所定の処理ガスを供給する第1の処理ガス供給系
と,処理空間内に所定の処理ガスを供給する第2の処理
ガス供給系と,プラズマ生成空間に設けられた第1の排
気系と,処理空間に設けられた第2の排気系と,処理室
内のプラズマ状態に応じて第1の処理ガス供給系のガス
供給流量と第2の処理ガス供給系のガス供給流量を個別
独立に制御する制御器とを備えたことを特徴としてい
る。
【0021】かかる構成によれば,制御器により第1の
処理ガス供給系のガス供給流量と,第2の処理ガス供給
系のガス供給流量とを個別独立して制御することができ
る。その結果,第1の排気系の排気量と第2の排気系の
排気量が実質的に同一である場合,またはそれぞれ異な
っている場合でも,プラズマ生成空間内と処理空間内の
圧力雰囲気を各々独立に制御することができる。
処理ガス供給系のガス供給流量と,第2の処理ガス供給
系のガス供給流量とを個別独立して制御することができ
る。その結果,第1の排気系の排気量と第2の排気系の
排気量が実質的に同一である場合,またはそれぞれ異な
っている場合でも,プラズマ生成空間内と処理空間内の
圧力雰囲気を各々独立に制御することができる。
【0022】また,上述したプラズマ処理装置に適用さ
れるプラズマ源は,例えば請求項7に記載の発明のよう
に,所定の高周波電力を印加することにより,プラズマ
生成空間内に高密度プラズマを励起することが可能な高
周波アンテナであってもよく,また例えば請求項8に記
載の発明のように,所定のマイクロ波を発振し,同様に
プラズマ生成空間内に高密度プラズマを励起することが
可能なマイクロ波発生源であってもよい。
れるプラズマ源は,例えば請求項7に記載の発明のよう
に,所定の高周波電力を印加することにより,プラズマ
生成空間内に高密度プラズマを励起することが可能な高
周波アンテナであってもよく,また例えば請求項8に記
載の発明のように,所定のマイクロ波を発振し,同様に
プラズマ生成空間内に高密度プラズマを励起することが
可能なマイクロ波発生源であってもよい。
【0023】
【発明の実施の形態】以下に,添付図面を参照しなが
ら,本発明にかかるプラズマ処理装置をエッチング装置
に適用した,実施の形態について詳細に説明する。な
お,以下の説明において,略同一の機能及び構成を有す
る構成要素については,同一符号を付することにより,
重複説明を省略することとする。
ら,本発明にかかるプラズマ処理装置をエッチング装置
に適用した,実施の形態について詳細に説明する。な
お,以下の説明において,略同一の機能及び構成を有す
る構成要素については,同一符号を付することにより,
重複説明を省略することとする。
【0024】(1)第1の実施の形態 本実施の形態にかかるエッチング装置100について,
図1を参照しながら説明する。エッチング装置100の
処理室102は,導電性材料から成る気密な略円筒形状
の処理容器104内に形成されており,この処理容器1
04自体は,接地線106により接地されている。ま
た,処理室102の天井部は,誘電性材料から成る誘電
体壁108により構成されており,この誘電体壁108
上に略環状の高周波アンテナ110が配置されている。
さらに,この高周波アンテナ110には,整合器112
を介してプラズマ生成用高周波電力を出力可能な第1高
周波電源114が接続されている。
図1を参照しながら説明する。エッチング装置100の
処理室102は,導電性材料から成る気密な略円筒形状
の処理容器104内に形成されており,この処理容器1
04自体は,接地線106により接地されている。ま
た,処理室102の天井部は,誘電性材料から成る誘電
体壁108により構成されており,この誘電体壁108
上に略環状の高周波アンテナ110が配置されている。
さらに,この高周波アンテナ110には,整合器112
を介してプラズマ生成用高周波電力を出力可能な第1高
周波電源114が接続されている。
【0025】また,処理室102の下方には,導電性材
料から成り下部電極を形成するサセプタ116が配置さ
れており,このサセプタ116上の載置面にウェハWを
載置する構成となっている。また,サセプタ116に
は,そのサセプタ116の底面部に設けられた絶縁部材
118を介して昇降軸120が取り付けられており,こ
の昇降軸120には,不図示の昇降機構が接続されてい
る。従って,サセプタ116は,その昇降機構の作動に
より昇降軸120を介して,上下方向(同図中の往復矢
印A方向。)に移動自在に構成されている。さらに,昇
降軸120の周囲の絶縁部材118と処理室102の底
面部には,気密部材から成るベローズ122が取り付け
られており,サセプタ116の上下動によっても処理室
102内の気密性が損なわれないように構成されてい
る。また,サセプタ116には,整合器124を介して
バイアス用高周波電力を出力可能な第2高周波電源12
6が接続されている。
料から成り下部電極を形成するサセプタ116が配置さ
れており,このサセプタ116上の載置面にウェハWを
載置する構成となっている。また,サセプタ116に
は,そのサセプタ116の底面部に設けられた絶縁部材
118を介して昇降軸120が取り付けられており,こ
の昇降軸120には,不図示の昇降機構が接続されてい
る。従って,サセプタ116は,その昇降機構の作動に
より昇降軸120を介して,上下方向(同図中の往復矢
印A方向。)に移動自在に構成されている。さらに,昇
降軸120の周囲の絶縁部材118と処理室102の底
面部には,気密部材から成るベローズ122が取り付け
られており,サセプタ116の上下動によっても処理室
102内の気密性が損なわれないように構成されてい
る。また,サセプタ116には,整合器124を介して
バイアス用高周波電力を出力可能な第2高周波電源12
6が接続されている。
【0026】また,処理室102内のサセプタ116と
誘電体壁108との間には,本実施の形態にかかる略円
盤状のグリッド電極128が配置されている。このグリ
ッド電極128は,図2に示したように,多数の略円形
状の貫通孔128a’が形成された導電性の板状部材か
ら成る電極部128aと,この電極部128aの周囲を
囲むようにして取り付けられる絶縁部128bから構成
されている。また,電極部128aは,接地線130に
より接地されている。
誘電体壁108との間には,本実施の形態にかかる略円
盤状のグリッド電極128が配置されている。このグリ
ッド電極128は,図2に示したように,多数の略円形
状の貫通孔128a’が形成された導電性の板状部材か
ら成る電極部128aと,この電極部128aの周囲を
囲むようにして取り付けられる絶縁部128bから構成
されている。また,電極部128aは,接地線130に
より接地されている。
【0027】そして,このグリッド電極128は,不図
示の取り付け手段によってその絶縁部128bが処理室
102側壁部の所定の位置に気密に取り付けられること
により支持される構成となっている。なお,このグリッ
ド電極128の取り付け位置は,処理室102内に励起
されるプラズマの状態や,ウェハWの処理条件などによ
り設定される。また,グリッド電極128とサセプタ1
16上に載置されたウェハWの距離は,サセプタ116
の上下動により適宜調整可能なように構成されている。
示の取り付け手段によってその絶縁部128bが処理室
102側壁部の所定の位置に気密に取り付けられること
により支持される構成となっている。なお,このグリッ
ド電極128の取り付け位置は,処理室102内に励起
されるプラズマの状態や,ウェハWの処理条件などによ
り設定される。また,グリッド電極128とサセプタ1
16上に載置されたウェハWの距離は,サセプタ116
の上下動により適宜調整可能なように構成されている。
【0028】従って,処理室102内は,グリッド電極
128により誘電体壁108側にプラズマ生成空間13
2が形成され,またサセプタ116側に処理空間134
が形成される構成となっている。また,それらプラズマ
生成空間132と処理空間134とは,グリッド電極1
28の貫通孔128a’のみにより,それぞれ連通する
構成となっている。
128により誘電体壁108側にプラズマ生成空間13
2が形成され,またサセプタ116側に処理空間134
が形成される構成となっている。また,それらプラズマ
生成空間132と処理空間134とは,グリッド電極1
28の貫通孔128a’のみにより,それぞれ連通する
構成となっている。
【0029】また,プラズマ生成空間132の側壁部に
は,本実施の形態にかかる処理ガス供給系の一部を成す
第1ガス供給管136が連通するようにして接続されて
おり,このガス供給管136には,第1開閉バルブ13
8および第1ガス流量調整バルブ140を介して,所定
の処理ガスを供給可能な第1ガス供給源142が接続さ
れている。さらに,処理空間134の側壁部にも,本実
施の形態にかかる処理ガス供給系の一部を成す第2ガス
供給管144が連通するようにして接続されており,こ
の第2ガス供給管144には,第2開閉バルブ146お
よび第2ガス流量調整バルブ148を介して,所定の処
理ガスを供給可能な第2ガス供給源150が接続されて
いる。また,第1ガス流量調整バルブ140と第2ガス
流量調整バルブ148には,それら第1ガス流量調整バ
ルブ140と第2ガス流量調整バルブ148の開度をそ
れぞれ独立して制御可能な制御器152が接続されてい
る。
は,本実施の形態にかかる処理ガス供給系の一部を成す
第1ガス供給管136が連通するようにして接続されて
おり,このガス供給管136には,第1開閉バルブ13
8および第1ガス流量調整バルブ140を介して,所定
の処理ガスを供給可能な第1ガス供給源142が接続さ
れている。さらに,処理空間134の側壁部にも,本実
施の形態にかかる処理ガス供給系の一部を成す第2ガス
供給管144が連通するようにして接続されており,こ
の第2ガス供給管144には,第2開閉バルブ146お
よび第2ガス流量調整バルブ148を介して,所定の処
理ガスを供給可能な第2ガス供給源150が接続されて
いる。また,第1ガス流量調整バルブ140と第2ガス
流量調整バルブ148には,それら第1ガス流量調整バ
ルブ140と第2ガス流量調整バルブ148の開度をそ
れぞれ独立して制御可能な制御器152が接続されてい
る。
【0030】また,処理空間134の側壁部には,排気
系の一部を成す排気管154が連通するようにして接続
されており,この排気管154には,第3開閉バルブ1
56および第3ガス流量調整バルブ158を介して,処
理室102の雰囲気を排気可能な排気機構P160が接
続されている。
系の一部を成す排気管154が連通するようにして接続
されており,この排気管154には,第3開閉バルブ1
56および第3ガス流量調整バルブ158を介して,処
理室102の雰囲気を排気可能な排気機構P160が接
続されている。
【0031】次に,本実施の形態にかかるプラズマ生成
空間132内と処理空間134内の圧力雰囲気の制御構
成について説明する。上述の如く処理室102内には,
グリッド電極128を介して,プラズマ生成空間132
と処理空間134が形成されている。また,プラズマ生
成空間132と処理空間134とは,グリッド電極12
8の貫通孔128a’のみにより連通されている。さら
に,処理室102内の雰囲気は,処理空間134に接続
された排気管154のみから排気される構成となってい
る。
空間132内と処理空間134内の圧力雰囲気の制御構
成について説明する。上述の如く処理室102内には,
グリッド電極128を介して,プラズマ生成空間132
と処理空間134が形成されている。また,プラズマ生
成空間132と処理空間134とは,グリッド電極12
8の貫通孔128a’のみにより連通されている。さら
に,処理室102内の雰囲気は,処理空間134に接続
された排気管154のみから排気される構成となってい
る。
【0032】そこで,本実施の形態においては,プラズ
マ生成空間132内と処理空間134内に供給する処理
ガスのガス供給流量をそれぞれ独立して制御することに
より,それらプラズマ生成空間132内と処理空間13
4内の圧力雰囲気をそれぞれ独立して調整することが可
能なように構成されている。すなわち,プラズマ生成空
間132内には,第1ガス供給源142から第1ガス流
量調整バルブ140,第1開閉バルブ138および第1
ガス供給管136を介して,所定の処理ガスが供給され
る構成となっている。この処理ガスは,ウェハWの表面
に形成された酸化膜に対してエッチング処理を施す場合
には,例えばArやHeやKrなどの希ガスに,第2ガ
ス供給源150から供給される処理ガスよりも相対的に
少ない量のCF4やC4F8やCHF3などのプロセスガス
を混合したプラズマ生成用ガスが使用される。
マ生成空間132内と処理空間134内に供給する処理
ガスのガス供給流量をそれぞれ独立して制御することに
より,それらプラズマ生成空間132内と処理空間13
4内の圧力雰囲気をそれぞれ独立して調整することが可
能なように構成されている。すなわち,プラズマ生成空
間132内には,第1ガス供給源142から第1ガス流
量調整バルブ140,第1開閉バルブ138および第1
ガス供給管136を介して,所定の処理ガスが供給され
る構成となっている。この処理ガスは,ウェハWの表面
に形成された酸化膜に対してエッチング処理を施す場合
には,例えばArやHeやKrなどの希ガスに,第2ガ
ス供給源150から供給される処理ガスよりも相対的に
少ない量のCF4やC4F8やCHF3などのプロセスガス
を混合したプラズマ生成用ガスが使用される。
【0033】また,処理空間134内には,第2ガス供
給源150から第2ガス流量調整バルブ148,第2開
閉バルブ146および第2ガス供給管144を介して,
所定の処理ガスが供給される。この処理ガスは,上述の
如くウェハWの表面に形成された酸化膜に対してエッチ
ング処理を施す場合には,例えばArやHeやKrなど
の希ガスに,第1ガス供給源142から供給される処理
ガスよりも相対的に多い量のCF4やC4F8やCHF3な
どのプロセスガスを混合したエッチングガスが供給され
る。
給源150から第2ガス流量調整バルブ148,第2開
閉バルブ146および第2ガス供給管144を介して,
所定の処理ガスが供給される。この処理ガスは,上述の
如くウェハWの表面に形成された酸化膜に対してエッチ
ング処理を施す場合には,例えばArやHeやKrなど
の希ガスに,第1ガス供給源142から供給される処理
ガスよりも相対的に多い量のCF4やC4F8やCHF3な
どのプロセスガスを混合したエッチングガスが供給され
る。
【0034】そして,それら各処理ガスのガス供給流量
は,プラズマ生成空間132に励起されるプラズマの状
態や,グリッド電極128を介して処理空間134内に
進入するプラズマの量や,ウェハWに施すエッチング処
理の条件などに基づいて,第1ガス流量調整バルブ14
0と第2ガス流量調整バルブ148の開度を個別独立に
制御することにより調整される。また,この第1ガス流
量調整バルブ140と第2ガス流量調整バルブ148の
開度の制御は,上述の如くそれら第1ガス流量調整バル
ブ140と第2ガス流量調整バルブ148に接続された
制御器152の制御により調整される。
は,プラズマ生成空間132に励起されるプラズマの状
態や,グリッド電極128を介して処理空間134内に
進入するプラズマの量や,ウェハWに施すエッチング処
理の条件などに基づいて,第1ガス流量調整バルブ14
0と第2ガス流量調整バルブ148の開度を個別独立に
制御することにより調整される。また,この第1ガス流
量調整バルブ140と第2ガス流量調整バルブ148の
開度の制御は,上述の如くそれら第1ガス流量調整バル
ブ140と第2ガス流量調整バルブ148に接続された
制御器152の制御により調整される。
【0035】従って,エッチング装置100のように,
処理空間134のみに排気系の一部を成す排気管154
が接続されている場合でも,プラズマ生成空間132内
と処理空間134内の圧力雰囲気をそれぞれ独立して調
整することができる。さらに,プラズマ生成空間132
内と処理空間134内の圧力雰囲気を正確に制御するこ
とができるため,処理空間134内に供給された処理ガ
スがグリッド電極128を介してプラズマ生成空間13
2内に進入してしまうことを防止することができる。
処理空間134のみに排気系の一部を成す排気管154
が接続されている場合でも,プラズマ生成空間132内
と処理空間134内の圧力雰囲気をそれぞれ独立して調
整することができる。さらに,プラズマ生成空間132
内と処理空間134内の圧力雰囲気を正確に制御するこ
とができるため,処理空間134内に供給された処理ガ
スがグリッド電極128を介してプラズマ生成空間13
2内に進入してしまうことを防止することができる。
【0036】その結果,高密度プラズマを励起可能なエ
ッチング装置100においても,プラズマを制御,すな
わちプロセスガスの解離度を自在かつ高精度に制御する
ことができる。すなわち,例えばウェハWの表面に形成
された相対的に厚い酸化膜に対して高アスペクト比のコ
ンタクトホールを形成する場合でも,選択比を大幅に向
上させることができ,均一な処理をウェハWに施すこと
ができる。
ッチング装置100においても,プラズマを制御,すな
わちプロセスガスの解離度を自在かつ高精度に制御する
ことができる。すなわち,例えばウェハWの表面に形成
された相対的に厚い酸化膜に対して高アスペクト比のコ
ンタクトホールを形成する場合でも,選択比を大幅に向
上させることができ,均一な処理をウェハWに施すこと
ができる。
【0037】また,上述の如くプラズマ生成空間132
内には,希ガスと処理空間134内に供給されるエッチ
ングガスよりも相対的に少ない量のプロセスガス,例え
ばCF系ガスを混合したプラズマ生成用ガスが供給され
る構成となっている。従って,プラズマ生成空間132
内でのプロセスガスの解離を抑制することができ,その
プラズマ生成空間132内に解離度の低いラジカル,例
えばCFラジカルやCF2ラジカルなどを生成させるこ
とができる。
内には,希ガスと処理空間134内に供給されるエッチ
ングガスよりも相対的に少ない量のプロセスガス,例え
ばCF系ガスを混合したプラズマ生成用ガスが供給され
る構成となっている。従って,プラズマ生成空間132
内でのプロセスガスの解離を抑制することができ,その
プラズマ生成空間132内に解離度の低いラジカル,例
えばCFラジカルやCF2ラジカルなどを生成させるこ
とができる。
【0038】また,処理空間134内には,希ガスとプ
ラズマ生成空間132内に供給されるエッチングガスよ
りも相対的に多い量のプロセスガス,例えばCF系ガス
を混合したエッチングガスが供給される構成となってい
る。さらに,サセプタ116に印加されるバイアス用高
周波電力によってプラズマ生成空間132からグリッド
電極128を介して処理空間134に導かれた所定のプ
ラズマのみにより,処理空間134内の処理ガスを解離
させることができる。その結果,ウェハWに対して所定
量のエッチャント種によりエッチング処理を施すことが
できると共に,処理空間134内のみに解離度の高いラ
ジカル,例えばCラジカルやC2ラジカルやFラジカル
などを生成させることができ,高選択比かつ高エッチン
グレートで均一な処理をウェハWに施すことができる。
ラズマ生成空間132内に供給されるエッチングガスよ
りも相対的に多い量のプロセスガス,例えばCF系ガス
を混合したエッチングガスが供給される構成となってい
る。さらに,サセプタ116に印加されるバイアス用高
周波電力によってプラズマ生成空間132からグリッド
電極128を介して処理空間134に導かれた所定のプ
ラズマのみにより,処理空間134内の処理ガスを解離
させることができる。その結果,ウェハWに対して所定
量のエッチャント種によりエッチング処理を施すことが
できると共に,処理空間134内のみに解離度の高いラ
ジカル,例えばCラジカルやC2ラジカルやFラジカル
などを生成させることができ,高選択比かつ高エッチン
グレートで均一な処理をウェハWに施すことができる。
【0039】また,本実施の形態では,制御器152に
よる第1ガス流量調整バルブ140と第2ガス流量調整
バルブ148の開度の制御のみで,プラズマ生成空間1
32内と処理空間134内の圧力雰囲気を正確かつ確実
に適宜調整することができる。その結果,処理中に処理
室102内のプラズマの状態が変化した場合でも,その
変化に適宜対応して,プラズマ生成空間132内と処理
空間134内でのプロセスガスの解離を常時所望の状態
に維持することができる。
よる第1ガス流量調整バルブ140と第2ガス流量調整
バルブ148の開度の制御のみで,プラズマ生成空間1
32内と処理空間134内の圧力雰囲気を正確かつ確実
に適宜調整することができる。その結果,処理中に処理
室102内のプラズマの状態が変化した場合でも,その
変化に適宜対応して,プラズマ生成空間132内と処理
空間134内でのプロセスガスの解離を常時所望の状態
に維持することができる。
【0040】次に,エッチング装置100において,ウ
ェハWの表面形成された酸化膜に対してエッチング処理
を施す場合について説明する。まず,相対的に下方の載
置位置に配置されたサセプタ116上に,不図示の搬送
機構によりウェハWを載置した後,不図示の昇降機構の
作動により,昇降軸120を介してサセプタ116を相
対的に上方の処理位置に移動させる。この際,ウェハW
に施される処理条件に応じて,グリッド電極128とウ
ェハWの間に所定の間隔が形成されるように,サセプタ
116の位置が調整される。
ェハWの表面形成された酸化膜に対してエッチング処理
を施す場合について説明する。まず,相対的に下方の載
置位置に配置されたサセプタ116上に,不図示の搬送
機構によりウェハWを載置した後,不図示の昇降機構の
作動により,昇降軸120を介してサセプタ116を相
対的に上方の処理位置に移動させる。この際,ウェハW
に施される処理条件に応じて,グリッド電極128とウ
ェハWの間に所定の間隔が形成されるように,サセプタ
116の位置が調整される。
【0041】次いで,第1開閉バルブ138と第1ガス
流量調整バルブ140の開放により,第1ガス供給源1
42からプラズマ生成空間132内に処理ガス,例えば
Arと処理空間134内に供給される量よりも相対的に
少ない量のC4F8の混合ガスであるプラズマ生成用ガス
が供給される。同時に,第2開閉バルブ146と第2ガ
ス流量調整バルブ148の開放により,第2ガス供給源
150から処理空間134内に処理ガス,例えばArと
プラズマ生成空間132内に供給される量よりも相対的
に多い量のC4F8の混合ガスであるエッチングガスが供
給される。これら,プラズマ生成用ガスとエッチングガ
スのガス流量は,制御器152により第1ガス流量調整
バルブ140と第2ガス流量調整バルブ148の開度を
個別独立に制御することにより調整される。
流量調整バルブ140の開放により,第1ガス供給源1
42からプラズマ生成空間132内に処理ガス,例えば
Arと処理空間134内に供給される量よりも相対的に
少ない量のC4F8の混合ガスであるプラズマ生成用ガス
が供給される。同時に,第2開閉バルブ146と第2ガ
ス流量調整バルブ148の開放により,第2ガス供給源
150から処理空間134内に処理ガス,例えばArと
プラズマ生成空間132内に供給される量よりも相対的
に多い量のC4F8の混合ガスであるエッチングガスが供
給される。これら,プラズマ生成用ガスとエッチングガ
スのガス流量は,制御器152により第1ガス流量調整
バルブ140と第2ガス流量調整バルブ148の開度を
個別独立に制御することにより調整される。
【0042】さらに,排気機構P160を作動させると
共に,第3開閉バルブ156と第3ガス流量調整バルブ
158を開放することにより,排気管154を介して処
理室102内の雰囲気を常時一定の排気量で排気する。
また,例えば処理中に排気量が変化した場合には,第3
ガス流量調整バルブ158の開度を調整することによ
り,常時一定の排気量で排気が行われるように構成され
ている。従って,プラズマ生成空間132内と処理空間
134内は,それぞれ所望の圧力雰囲気に維持され,か
つそれぞれ所望の状態の処理ガスが満たされると共に,
処理空間134内に供給された処理ガスがグリッド電極
128を介してプラズマ生成空間132に進入すること
を防止することできる。
共に,第3開閉バルブ156と第3ガス流量調整バルブ
158を開放することにより,排気管154を介して処
理室102内の雰囲気を常時一定の排気量で排気する。
また,例えば処理中に排気量が変化した場合には,第3
ガス流量調整バルブ158の開度を調整することによ
り,常時一定の排気量で排気が行われるように構成され
ている。従って,プラズマ生成空間132内と処理空間
134内は,それぞれ所望の圧力雰囲気に維持され,か
つそれぞれ所望の状態の処理ガスが満たされると共に,
処理空間134内に供給された処理ガスがグリッド電極
128を介してプラズマ生成空間132に進入すること
を防止することできる。
【0043】次いで,第1高周波電源114から第1整
合器112を介して高周波アンテナ110にプラズマ生
成用高周波電力,例えば13.56MHzの高周波電力
を印加する。これにより,プラズマ生成空間132内に
供給されたプラズマ生成用ガスが解離し,そのプラズマ
生成空間132内にプラズマが励起される。また,サセ
プタ116に対しても,第2高周波電源126から第2
整合器124を介してバイアス用高周波電力,例えば3
80kHzの高周波電力が印加される。
合器112を介して高周波アンテナ110にプラズマ生
成用高周波電力,例えば13.56MHzの高周波電力
を印加する。これにより,プラズマ生成空間132内に
供給されたプラズマ生成用ガスが解離し,そのプラズマ
生成空間132内にプラズマが励起される。また,サセ
プタ116に対しても,第2高周波電源126から第2
整合器124を介してバイアス用高周波電力,例えば3
80kHzの高周波電力が印加される。
【0044】その結果,プラズマ生成空間132内で励
起されたプラズマ中の所望のラジカルやイオンなどのみ
がグリッド電極128を介して処理空間134内に進入
し,ウェハWの酸化膜に入射するため,所望の均一な処
理がウェハWに施される。さらに,処理空間134内に
進入したラジカルなどの作用により,処理空間134内
に供給された処理ガスが所望の状態で解離するため,さ
らに均一な処理をウェハWに施すことができる。
起されたプラズマ中の所望のラジカルやイオンなどのみ
がグリッド電極128を介して処理空間134内に進入
し,ウェハWの酸化膜に入射するため,所望の均一な処
理がウェハWに施される。さらに,処理空間134内に
進入したラジカルなどの作用により,処理空間134内
に供給された処理ガスが所望の状態で解離するため,さ
らに均一な処理をウェハWに施すことができる。
【0045】本実施の形態にかかるエッチング装置10
0は,以上のように構成されており,処理空間134の
みに一の排気系が接続されている場合でも,プラズマ生
成空間132内と処理空間134内の圧力雰囲気をそれ
ぞれ独立して制御することができる。その結果,それら
プラズマ生成空間132内と処理空間134内にそれぞ
れ独立して供給される処理ガスの解離度を自在に制御す
ることができるため,均一かつ高選択比のエッチング処
理をウェハWに施すことができる。
0は,以上のように構成されており,処理空間134の
みに一の排気系が接続されている場合でも,プラズマ生
成空間132内と処理空間134内の圧力雰囲気をそれ
ぞれ独立して制御することができる。その結果,それら
プラズマ生成空間132内と処理空間134内にそれぞ
れ独立して供給される処理ガスの解離度を自在に制御す
ることができるため,均一かつ高選択比のエッチング処
理をウェハWに施すことができる。
【0046】(2)第2の実施の形態 次に,本発明の第2の実施の形態について,図3を参照
しながら説明する。この第2の実施の形態にかかるエッ
チング装置300の基本的構成は,上記第1の実施の形
態にかかるエッチング装置100と同一なので,略同一
の構成要素については同一の符号を付することにより,
その詳細な説明は省略する。ただし,第1の実施の形態
にかかるエッチング装置100では,処理空間134側
に排気系を設けているのに対して,この第2の実施の形
態にかかるエッチング装置300は,プラズマ生成空間
132側に排気系を設けた点を特徴としている。すなわ
ち,プラズマ生成空間132の側壁部には,本実施の形
態にかかる排気管302が連通するようにして接続され
ている。また,この排気管302には,上述したエッチ
ング装置100と同様に,第3開閉バルブ156および
第3ガス流量調整バルブ158を介して排気機構P16
0が接続されている。
しながら説明する。この第2の実施の形態にかかるエッ
チング装置300の基本的構成は,上記第1の実施の形
態にかかるエッチング装置100と同一なので,略同一
の構成要素については同一の符号を付することにより,
その詳細な説明は省略する。ただし,第1の実施の形態
にかかるエッチング装置100では,処理空間134側
に排気系を設けているのに対して,この第2の実施の形
態にかかるエッチング装置300は,プラズマ生成空間
132側に排気系を設けた点を特徴としている。すなわ
ち,プラズマ生成空間132の側壁部には,本実施の形
態にかかる排気管302が連通するようにして接続され
ている。また,この排気管302には,上述したエッチ
ング装置100と同様に,第3開閉バルブ156および
第3ガス流量調整バルブ158を介して排気機構P16
0が接続されている。
【0047】次に,エッチング装置300の動作および
効果について説明すると,上述したエッチング装置10
0と同様に,まずプラズマ生成空間132内に,第1ガ
ス供給源142から第1ガス供給管136を介して処理
ガスを供給すると共に,処理空間134内にも,第2ガ
ス供給源150から第2ガス供給管144を介して処理
ガスを供給する。次いで,プラズマ生成空間132に接
続された本実施の形態にかかる排気管302を介して,
排気機構P160により処理室102内の雰囲気を一定
の排気量で排気する。
効果について説明すると,上述したエッチング装置10
0と同様に,まずプラズマ生成空間132内に,第1ガ
ス供給源142から第1ガス供給管136を介して処理
ガスを供給すると共に,処理空間134内にも,第2ガ
ス供給源150から第2ガス供給管144を介して処理
ガスを供給する。次いで,プラズマ生成空間132に接
続された本実施の形態にかかる排気管302を介して,
排気機構P160により処理室102内の雰囲気を一定
の排気量で排気する。
【0048】次いで,制御器152により,第1ガス供
給管136に介装された第1ガス流量調整バルブ140
と,第2ガス供給管144に介装された第2ガス流量調
整バルブ148の開度を個別独立に制御することによ
り,上述したエッチング装置100と同様に,プラズマ
生成空間132内と処理空間134内の圧力雰囲気を所
望の状態で調整し,維持することができる。その結果,
プラズマ生成空間132内と処理空間134内に個別独
立に供給された各処理ガスを所定の解離度で解離させる
ことができるため,ウェハWに対して均一かつ高選択比
のエッチング処理を施すことができる。
給管136に介装された第1ガス流量調整バルブ140
と,第2ガス供給管144に介装された第2ガス流量調
整バルブ148の開度を個別独立に制御することによ
り,上述したエッチング装置100と同様に,プラズマ
生成空間132内と処理空間134内の圧力雰囲気を所
望の状態で調整し,維持することができる。その結果,
プラズマ生成空間132内と処理空間134内に個別独
立に供給された各処理ガスを所定の解離度で解離させる
ことができるため,ウェハWに対して均一かつ高選択比
のエッチング処理を施すことができる。
【0049】次に,本実施の形態にかかるエッチング装
置300のグリッド電極128の特徴的な構成について
説明する。上述したエッチング装置100のグリッド電
極128の電極部128aは,接地線130により接地
されていたが,かかるエッチング装置300のグリッド
電極128の電極部128aには,可変直流電力を出力
可能な可変直流電源304が接続されている。従って,
その電極部128aに対して可変直流電源304から所
定の直流電力を印加することにより,グリッド電極12
8に所定の電位を生じさせることができる。その結果,
プラズマ生成空間132内で励起されたプラズマを,処
理空間134内に均一に案内することができ,ウェハW
に対してさらに均一な処理を施すことができる。
置300のグリッド電極128の特徴的な構成について
説明する。上述したエッチング装置100のグリッド電
極128の電極部128aは,接地線130により接地
されていたが,かかるエッチング装置300のグリッド
電極128の電極部128aには,可変直流電力を出力
可能な可変直流電源304が接続されている。従って,
その電極部128aに対して可変直流電源304から所
定の直流電力を印加することにより,グリッド電極12
8に所定の電位を生じさせることができる。その結果,
プラズマ生成空間132内で励起されたプラズマを,処
理空間134内に均一に案内することができ,ウェハW
に対してさらに均一な処理を施すことができる。
【0050】(3)第3の実施の形態 次に,本発明の第3の実施の形態について,図4を参照
しながら説明する。この第3の実施の形態にかかるエッ
チング装置600の基本的構成についても,上記第1お
よび第2の実施の形態にかかるエッチング装置100,
300と同一なので,略同一の構成要素については同一
の符号を付することにより,その詳細説明は省略する。
ただし,第1および第2の実施形態にかかるエッチング
装置100では,処理空間134側またはプラズマ生成
空間132側のいずれか一方に排気系を設けているのに
対して,この第3の実施の形態にかかるエッチング装置
300は,それら両空間の各々に排気バルブが介装され
た排気経路を接続し,それら各排気経路に一の排気系を
接続した点を特徴としている。
しながら説明する。この第3の実施の形態にかかるエッ
チング装置600の基本的構成についても,上記第1お
よび第2の実施の形態にかかるエッチング装置100,
300と同一なので,略同一の構成要素については同一
の符号を付することにより,その詳細説明は省略する。
ただし,第1および第2の実施形態にかかるエッチング
装置100では,処理空間134側またはプラズマ生成
空間132側のいずれか一方に排気系を設けているのに
対して,この第3の実施の形態にかかるエッチング装置
300は,それら両空間の各々に排気バルブが介装され
た排気経路を接続し,それら各排気経路に一の排気系を
接続した点を特徴としている。
【0051】以下,その特徴的な点について説明する
と,処理空間134の側壁部には,第1排気管602が
連通するようにして接続されていると共に,この第1排
気管602には,第3開閉バルブ156および第3ガス
流量調整バルブ604を介して,排気機構P606が接
続されている。また,プラズマ生成空間132の側壁部
には,第2排気管608が連通するようにして接続され
ていると共に,この第2排気管608には,第4開閉バ
ルブ610および第4ガス流量調整バルブ612を介し
て,上述した排気機構P606が接続されている。
と,処理空間134の側壁部には,第1排気管602が
連通するようにして接続されていると共に,この第1排
気管602には,第3開閉バルブ156および第3ガス
流量調整バルブ604を介して,排気機構P606が接
続されている。また,プラズマ生成空間132の側壁部
には,第2排気管608が連通するようにして接続され
ていると共に,この第2排気管608には,第4開閉バ
ルブ610および第4ガス流量調整バルブ612を介し
て,上述した排気機構P606が接続されている。
【0052】さらに,第3ガス流量調整バルブ604と
第4ガス流量調整バルブ612には,それら第3ガス流
量調整バルブ604と第4ガス流量調整バルブ612の
開度を個別独立に制御することが可能な制御器614が
接続されている。また,上述したエッチング装置100
または300と異なり,第1ガス供給管136と第2ガ
ス供給管144には,制御器により特に制御されない第
1ガス流量調整バルブ616と第2ガス流量調整バルブ
618がそれぞれに対応して介装されている。
第4ガス流量調整バルブ612には,それら第3ガス流
量調整バルブ604と第4ガス流量調整バルブ612の
開度を個別独立に制御することが可能な制御器614が
接続されている。また,上述したエッチング装置100
または300と異なり,第1ガス供給管136と第2ガ
ス供給管144には,制御器により特に制御されない第
1ガス流量調整バルブ616と第2ガス流量調整バルブ
618がそれぞれに対応して介装されている。
【0053】次に,本実施の形態にかかるエッチング装
置600の作用および効果について説明すると,まずプ
ラズマ生成空間132内に,第1ガス供給源142から
所定の一定開度に調整された第1ガス流量調整バルブ6
16が介装された第1ガス供給管136を介して,所定
のガス流量の処理ガスを供給する。同時に,処理空間1
34内に,第2ガス供給源150から上述した第1ガス
流量調整バルブ616を同様に所定の一定開度に調整さ
れた第2ガス流量調整バルブ618が介装された第2ガ
ス供給管144を介して,所定のガス流量の処理ガスを
供給する。
置600の作用および効果について説明すると,まずプ
ラズマ生成空間132内に,第1ガス供給源142から
所定の一定開度に調整された第1ガス流量調整バルブ6
16が介装された第1ガス供給管136を介して,所定
のガス流量の処理ガスを供給する。同時に,処理空間1
34内に,第2ガス供給源150から上述した第1ガス
流量調整バルブ616を同様に所定の一定開度に調整さ
れた第2ガス流量調整バルブ618が介装された第2ガ
ス供給管144を介して,所定のガス流量の処理ガスを
供給する。
【0054】次いで,上述したエッチング装置100の
制御器152と同様に,プラズマ生成空間132に励起
されるプラズマの状態や,グリッド電極128を介して
処理空間134内に進入するプラズマの量や,ウェハW
に施すエッチング処理の条件などに基づいて,制御器6
14により第3ガス流量調整バルブ604と第4ガス流
量調整バルブ612の開度を個別独立に制御する。その
結果,プラズマ生成空間132内と処理空間134内の
圧力雰囲気をさらに正確かつ確実に調整し,均一な状態
に維持することができるため,所望の状態のプラズマに
より均一なエッチング処理をウェハWに施すことができ
る。
制御器152と同様に,プラズマ生成空間132に励起
されるプラズマの状態や,グリッド電極128を介して
処理空間134内に進入するプラズマの量や,ウェハW
に施すエッチング処理の条件などに基づいて,制御器6
14により第3ガス流量調整バルブ604と第4ガス流
量調整バルブ612の開度を個別独立に制御する。その
結果,プラズマ生成空間132内と処理空間134内の
圧力雰囲気をさらに正確かつ確実に調整し,均一な状態
に維持することができるため,所望の状態のプラズマに
より均一なエッチング処理をウェハWに施すことができ
る。
【0055】また,処理空間134のみ成らずプラズマ
生成空間132にも排気経路が接続されているため,仮
に,処理空間134内に供給された処理ガスが,グリッ
ド電極128を介してプラズマ生成空間132内に流出
した場合でも,プラズマ生成空間132内の雰囲気を排
気経路の一部を成す排気管608から迅速に排気するこ
とができる。さらに,プラズマ生成空間132内に常時
所望の状態の処理ガスを満たすことができるため,かか
る処理ガスの解離度を常に一定状態に維持することがで
きる。さらにまた,第1排気管602と第2排気管60
8に接続された一の排気機構P606のみで,上述した
プラズマ生成空間132と処理空間134の圧力雰囲気
の調整を行うことができるため,装置の生産コストを低
下させることができる。
生成空間132にも排気経路が接続されているため,仮
に,処理空間134内に供給された処理ガスが,グリッ
ド電極128を介してプラズマ生成空間132内に流出
した場合でも,プラズマ生成空間132内の雰囲気を排
気経路の一部を成す排気管608から迅速に排気するこ
とができる。さらに,プラズマ生成空間132内に常時
所望の状態の処理ガスを満たすことができるため,かか
る処理ガスの解離度を常に一定状態に維持することがで
きる。さらにまた,第1排気管602と第2排気管60
8に接続された一の排気機構P606のみで,上述した
プラズマ生成空間132と処理空間134の圧力雰囲気
の調整を行うことができるため,装置の生産コストを低
下させることができる。
【0056】(4)第4の実施の形態 次に,本発明の第4の実施の形態について,図5を参照
しながら説明する。この第4の実施の形態にかかるエッ
チング装置700も,図1〜図4に関連して上述した第
1〜第3の実施の形態にかかるエッチング装置100,
300,600と同一である略同一の構成要素について
は,その説明を省略するものとする。ただし,先の実施
の形態の場合には,排気系の構成に特徴をもたせること
により,圧力制御を行っていたのに対して,本実施の形
態では,特徴的なグリッド電極の構成を採用することに
より圧力制御を可能としたものである。
しながら説明する。この第4の実施の形態にかかるエッ
チング装置700も,図1〜図4に関連して上述した第
1〜第3の実施の形態にかかるエッチング装置100,
300,600と同一である略同一の構成要素について
は,その説明を省略するものとする。ただし,先の実施
の形態の場合には,排気系の構成に特徴をもたせること
により,圧力制御を行っていたのに対して,本実施の形
態では,特徴的なグリッド電極の構成を採用することに
より圧力制御を可能としたものである。
【0057】以下,その特徴的な点について説明する
と,処理室102内には,上述したエッチング装置10
0のグリッド電極128と実質的に同一に構成された第
1電極702と第2電極704から成るグリッド電極7
06が配置されている。そして,このグリッド電極70
6により,エッチング装置700の処理室102内は,
プラズマ生成空間132と処理空間134に隔てられて
いる。また,第1電極702は,上述したグリッド電極
128と同様に,多数の貫通孔702a’が形成された
電極部702aと絶縁部702bから構成されていると
共に,その電極部702aは,接地線708により接地
されている。さらに,絶縁部702bと処理室102側
壁とは,気密に接するように構成されている。
と,処理室102内には,上述したエッチング装置10
0のグリッド電極128と実質的に同一に構成された第
1電極702と第2電極704から成るグリッド電極7
06が配置されている。そして,このグリッド電極70
6により,エッチング装置700の処理室102内は,
プラズマ生成空間132と処理空間134に隔てられて
いる。また,第1電極702は,上述したグリッド電極
128と同様に,多数の貫通孔702a’が形成された
電極部702aと絶縁部702bから構成されていると
共に,その電極部702aは,接地線708により接地
されている。さらに,絶縁部702bと処理室102側
壁とは,気密に接するように構成されている。
【0058】また,第2電極704も同様に,多数の貫
通孔704a’が形成された電極部704aと絶縁部7
04bから構成されていると共に,その電極部704a
は,接地線710により接地されている。さらに,絶縁
部704bと処理室102側壁の間には,第2電極70
4が移動可能で,かつ気密性を維持することが可能な所
定の間隔が設けられている。また,第1電極702の貫
通孔702a’と第2電極704の貫通孔704a’と
は,図示のようにオフセット位置に配置されている。
通孔704a’が形成された電極部704aと絶縁部7
04bから構成されていると共に,その電極部704a
は,接地線710により接地されている。さらに,絶縁
部704bと処理室102側壁の間には,第2電極70
4が移動可能で,かつ気密性を維持することが可能な所
定の間隔が設けられている。また,第1電極702の貫
通孔702a’と第2電極704の貫通孔704a’と
は,図示のようにオフセット位置に配置されている。
【0059】また,第1電極702は,例えば処理室1
02の底面部に固定された絶縁性材料から成る略管状の
第1支持部材712により支持されると共に,その第1
電極702の上部に配置される第2電極704は,第1
支持部材708の管内に挿入され,その管方向,すなわ
ち上下方向に移動自在に構成された絶縁性材料から成る
略棒状の第2支持部材714により維持されている。従
って,第2支持部材714に接続された不図示の昇降機
構の作動により,第2電極704のみが上下方向(同図
中の往復矢印B方向。)に移動可能なように構成されて
いる。
02の底面部に固定された絶縁性材料から成る略管状の
第1支持部材712により支持されると共に,その第1
電極702の上部に配置される第2電極704は,第1
支持部材708の管内に挿入され,その管方向,すなわ
ち上下方向に移動自在に構成された絶縁性材料から成る
略棒状の第2支持部材714により維持されている。従
って,第2支持部材714に接続された不図示の昇降機
構の作動により,第2電極704のみが上下方向(同図
中の往復矢印B方向。)に移動可能なように構成されて
いる。
【0060】次に,エッチング装置700の作用効果に
ついて説明すると,上述したエッチング装置600と同
様に,まずプラズマ生成空間132内に,第1ガス供給
源142から所定の一定開度に調整された第1ガス流量
調整バルブ616が介装された第1ガス供給管136を
介して,所定のガス流量の処理ガスを供給する。同時
に,処理空間134内に,第2ガス供給源150から上
述した第1ガス流量調整バルブ616を同様に所定の一
定開度に調整された第2ガス流量調整バルブ618が介
装された第2ガス供給管144を介して,所定のガス流
量の処理ガスを供給する。
ついて説明すると,上述したエッチング装置600と同
様に,まずプラズマ生成空間132内に,第1ガス供給
源142から所定の一定開度に調整された第1ガス流量
調整バルブ616が介装された第1ガス供給管136を
介して,所定のガス流量の処理ガスを供給する。同時
に,処理空間134内に,第2ガス供給源150から上
述した第1ガス流量調整バルブ616を同様に所定の一
定開度に調整された第2ガス流量調整バルブ618が介
装された第2ガス供給管144を介して,所定のガス流
量の処理ガスを供給する。
【0061】次いで,上述したエッチング装置100と
同様に,処理空間134に接続された排気管154を介
して,排気機構P160により処理室102内の雰囲気
を一定の排気量で排気する。次いで,不図示の昇降機構
の作動により,第2支持部材714を介して第2電極7
04を適宜上下移動させ,すなわち第1電極702と第
2電極704との間の間隔を変化させることにより,プ
ラズマ生成空間132内と処理空間134内のコンダク
タンスを変化させる。その結果,プラズマ生成空間13
2内と処理空間134内の圧力雰囲気を個別独立に調整
することができるため,それらプラズマ生成空間132
内と処理空間134内に供給された各処理ガスを,それ
ぞれ所望の状態に解離させることができ,ウェハWに対
して均一な処理を施すことができる。
同様に,処理空間134に接続された排気管154を介
して,排気機構P160により処理室102内の雰囲気
を一定の排気量で排気する。次いで,不図示の昇降機構
の作動により,第2支持部材714を介して第2電極7
04を適宜上下移動させ,すなわち第1電極702と第
2電極704との間の間隔を変化させることにより,プ
ラズマ生成空間132内と処理空間134内のコンダク
タンスを変化させる。その結果,プラズマ生成空間13
2内と処理空間134内の圧力雰囲気を個別独立に調整
することができるため,それらプラズマ生成空間132
内と処理空間134内に供給された各処理ガスを,それ
ぞれ所望の状態に解離させることができ,ウェハWに対
して均一な処理を施すことができる。
【0062】なお,上述したグリッド電極706に代え
て,図6に示したグリッド電極800を処理室102内
に配置した構成としてもよい。このグリッド電極800
は,第1電極802と第2電極804から成ると共に,
それら第1電極802と第2電極804を重ね合わせた
構成と成っている。すなわち,第1電極802は,多数
の貫通孔802a’が形成された電極部802aとその
周囲に配される絶縁部802bから構成されている。ま
た,第2電極804も第1電極802と略同一に構成さ
れ,すなわち貫通孔802a’と略同形の多数の貫通孔
804a’が形成された電極部804aと,その周囲に
配される絶縁部804bから構成されている。
て,図6に示したグリッド電極800を処理室102内
に配置した構成としてもよい。このグリッド電極800
は,第1電極802と第2電極804から成ると共に,
それら第1電極802と第2電極804を重ね合わせた
構成と成っている。すなわち,第1電極802は,多数
の貫通孔802a’が形成された電極部802aとその
周囲に配される絶縁部802bから構成されている。ま
た,第2電極804も第1電極802と略同一に構成さ
れ,すなわち貫通孔802a’と略同形の多数の貫通孔
804a’が形成された電極部804aと,その周囲に
配される絶縁部804bから構成されている。
【0063】そして,第1電極802と第2電極804
とは,少なくとも第1電極802または第2電極804
のいずれか一方が移動可能な範囲内で気密に重ね合わさ
れると共に,第1電極802の貫通孔802a’と第2
電極804の貫通孔804a’とが連通可能なように配
置される構成となっている。さらに,少なくとも第1電
極802または第2電極804のいずれか一方は,グリ
ッド電極800の略中心を軸として,すなわち同図中の
往復矢印C方向に回転可能なように構成されている。
とは,少なくとも第1電極802または第2電極804
のいずれか一方が移動可能な範囲内で気密に重ね合わさ
れると共に,第1電極802の貫通孔802a’と第2
電極804の貫通孔804a’とが連通可能なように配
置される構成となっている。さらに,少なくとも第1電
極802または第2電極804のいずれか一方は,グリ
ッド電極800の略中心を軸として,すなわち同図中の
往復矢印C方向に回転可能なように構成されている。
【0064】従って,プラズマ生成空間132内に励起
されるプラズマの状態などに基づいて,少なくとも第1
電極802または第2電極804のいずれか一方を回転
させて,第1電極802の貫通孔802a’と第2電極
804の貫通孔804a’との位置を相対的に変化させ
ることにより,プラズマ生成空間132内からグリッド
電極800を介して処理空間134内に通過する雰囲気
やプラズマの通過量を調整することができる。その結
果,プラズマ生成空間132と処理空間134との間に
所定のコンダクタンスを生じさせることができるため,
それらプラズマ生成空間132内と処理空間134内に
供給された各処理ガスを各々所定の解離度をもって解離
させることができ,ウェハWに対して均一な処理を施す
ことができる。
されるプラズマの状態などに基づいて,少なくとも第1
電極802または第2電極804のいずれか一方を回転
させて,第1電極802の貫通孔802a’と第2電極
804の貫通孔804a’との位置を相対的に変化させ
ることにより,プラズマ生成空間132内からグリッド
電極800を介して処理空間134内に通過する雰囲気
やプラズマの通過量を調整することができる。その結
果,プラズマ生成空間132と処理空間134との間に
所定のコンダクタンスを生じさせることができるため,
それらプラズマ生成空間132内と処理空間134内に
供給された各処理ガスを各々所定の解離度をもって解離
させることができ,ウェハWに対して均一な処理を施す
ことができる。
【0065】(5)第5の実施の形態 次に,本発明の第5の実施の形態について,図7を参照
しながら説明する。この第5の実施の形態にかかるエッ
チング装置900の基本構成も,上記第1〜第4の実施
の形態にかかるエッチング装置100,300,60
0,700と同一である略同一の構成要素については,
同一の符号を付することにより,その詳細な説明は省略
する。ただし,第3の実施の形態にかかるエッチング装
置600では,処理空間134とプラズマ生成空間13
2に連通する各排気バルブの開度を制御することによ
り,圧力制御を行っているのに対し,この第5の実施の
形態にかかるエッチング装置900は,それら処理空間
134とプラズマ生成空間132に連通する各排気系の
排気量を直接制御することにより,圧力制御をする点を
特徴としている。
しながら説明する。この第5の実施の形態にかかるエッ
チング装置900の基本構成も,上記第1〜第4の実施
の形態にかかるエッチング装置100,300,60
0,700と同一である略同一の構成要素については,
同一の符号を付することにより,その詳細な説明は省略
する。ただし,第3の実施の形態にかかるエッチング装
置600では,処理空間134とプラズマ生成空間13
2に連通する各排気バルブの開度を制御することによ
り,圧力制御を行っているのに対し,この第5の実施の
形態にかかるエッチング装置900は,それら処理空間
134とプラズマ生成空間132に連通する各排気系の
排気量を直接制御することにより,圧力制御をする点を
特徴としている。
【0066】すなわち,エッチング装置900の処理空
間134には,第1排気管602および第3開閉バルブ
156を介して第1排気機構P902が接続されると共
に,プラズマ生成空間132には,第2排気管608お
よび第4開閉バルブ610を介して,第2排気機構P9
04が接続されている。さらに,第1排気機構P902
と第2排気機構P904には,それら第1排気機構P9
02と第2排気機構P904の排気量を個別独立に調整
可能な制御器906が接続されている。また,第1ガス
供給管136と第2ガス供給管144には,上述したエ
ッチング装置600と同様に,特に制御器により開度の
制御が行われない,それぞれに対応する第1ガス流量調
整バルブ616と第2ガス流量調整バルブ618が介装
されている。
間134には,第1排気管602および第3開閉バルブ
156を介して第1排気機構P902が接続されると共
に,プラズマ生成空間132には,第2排気管608お
よび第4開閉バルブ610を介して,第2排気機構P9
04が接続されている。さらに,第1排気機構P902
と第2排気機構P904には,それら第1排気機構P9
02と第2排気機構P904の排気量を個別独立に調整
可能な制御器906が接続されている。また,第1ガス
供給管136と第2ガス供給管144には,上述したエ
ッチング装置600と同様に,特に制御器により開度の
制御が行われない,それぞれに対応する第1ガス流量調
整バルブ616と第2ガス流量調整バルブ618が介装
されている。
【0067】次に,本実施の形態にかかるエッチング装
置900の作用および効果について説明すると,上述し
たエッチング装置600と同様に,まずプラズマ生成空
間132内に,第1ガス供給源142から所定の一定開
度に調整された第1ガス流量調整バルブ616が介装さ
れた第1ガス供給管136を介して,所定のガス流量の
処理ガスを供給する。同時に,処理空間134内に,第
2ガス供給源150から上述した第1ガス流量調整バル
ブ616を同様に所定の一定開度に調整された第2ガス
流量調整バルブ618が介装された第2ガス供給管14
4を介して,所定のガス流量の処理ガスを供給する。
置900の作用および効果について説明すると,上述し
たエッチング装置600と同様に,まずプラズマ生成空
間132内に,第1ガス供給源142から所定の一定開
度に調整された第1ガス流量調整バルブ616が介装さ
れた第1ガス供給管136を介して,所定のガス流量の
処理ガスを供給する。同時に,処理空間134内に,第
2ガス供給源150から上述した第1ガス流量調整バル
ブ616を同様に所定の一定開度に調整された第2ガス
流量調整バルブ618が介装された第2ガス供給管14
4を介して,所定のガス流量の処理ガスを供給する。
【0068】次いで,上述したエッチング装置600と
同様に,処理空間134内の雰囲気を,第1排気管60
2を介して第1排気機構P902により排気すると共
に,プラズマ生成空間132内の雰囲気を,第2排気管
608を介して第2排気機構P904により排気する。
この際,制御器906によって第1排気機構P902と
第2排気機構P904の排気量を個別独立に制御するこ
とにより,プラズマ生成空間132内と処理空間134
内の圧力雰囲気を正確かつ確実に調整し,均一な状態に
維持することができる。
同様に,処理空間134内の雰囲気を,第1排気管60
2を介して第1排気機構P902により排気すると共
に,プラズマ生成空間132内の雰囲気を,第2排気管
608を介して第2排気機構P904により排気する。
この際,制御器906によって第1排気機構P902と
第2排気機構P904の排気量を個別独立に制御するこ
とにより,プラズマ生成空間132内と処理空間134
内の圧力雰囲気を正確かつ確実に調整し,均一な状態に
維持することができる。
【0069】その結果,プラズマ生成空間132内と処
理空間134内に供給された各処理ガスを所望の状態で
解離させることができるため,ウェハWに対して均一か
つ高選択比のエッチング処理を施すことができる。ま
た,エッチング装置900には,第1排気機構P902
と第2排気機構P904の2つの排気手段が設けられて
いるため,処理室102内,すなわちプラズマ生成空間
132内と処理空間134内の雰囲気を迅速に排気する
ことができ,スループットを向上させることができる。
理空間134内に供給された各処理ガスを所望の状態で
解離させることができるため,ウェハWに対して均一か
つ高選択比のエッチング処理を施すことができる。ま
た,エッチング装置900には,第1排気機構P902
と第2排気機構P904の2つの排気手段が設けられて
いるため,処理室102内,すなわちプラズマ生成空間
132内と処理空間134内の雰囲気を迅速に排気する
ことができ,スループットを向上させることができる。
【0070】(6)第6の実施の形態 次に,本発明の第6の実施の形態について,図8を参照
しながら説明する。この第6の実施の形態にかかるエッ
チング装置1000の基本構成も,上記第1〜第5の実
施の形態にかかるエッチング装置100,300,60
0,700,900と同一である略同一の構成要素につ
いては,同一の符号を付することにより,その詳細な説
明は省略する。ただし,上述した第5の実施の形態にか
かるエッチング装置900では,処理空間134とプラ
ズマ生成空間132に連通する各排気系の排気量を制御
することにより,圧力制御を行っていたのに対し,本実
施の形態にかかるエッチング装置1000は,それら処
理空間134内とプラズマ生成空間132内に供給され
る各処理ガスのガス流量を制御することにより,圧力制
御を行う点を特徴としている。
しながら説明する。この第6の実施の形態にかかるエッ
チング装置1000の基本構成も,上記第1〜第5の実
施の形態にかかるエッチング装置100,300,60
0,700,900と同一である略同一の構成要素につ
いては,同一の符号を付することにより,その詳細な説
明は省略する。ただし,上述した第5の実施の形態にか
かるエッチング装置900では,処理空間134とプラ
ズマ生成空間132に連通する各排気系の排気量を制御
することにより,圧力制御を行っていたのに対し,本実
施の形態にかかるエッチング装置1000は,それら処
理空間134内とプラズマ生成空間132内に供給され
る各処理ガスのガス流量を制御することにより,圧力制
御を行う点を特徴としている。
【0071】すなわち,処理空間134には,第1排気
管602,第3開閉バルブ156,および排気量を所定
の一定量に調整可能な第3ガス流量調整バルブ1002
を介して,第1排気機構P1004が接続されている。
また,プラズマ生成空間132には,第2排気管60
8,第4開閉バルブ610,および第3ガス流量調整バ
ルブ1002と同様に排気量を所定の一定量に調整可能
な第4ガス流量調整バルブ1006を介して,第2排気
機構P1008が接続されている。
管602,第3開閉バルブ156,および排気量を所定
の一定量に調整可能な第3ガス流量調整バルブ1002
を介して,第1排気機構P1004が接続されている。
また,プラズマ生成空間132には,第2排気管60
8,第4開閉バルブ610,および第3ガス流量調整バ
ルブ1002と同様に排気量を所定の一定量に調整可能
な第4ガス流量調整バルブ1006を介して,第2排気
機構P1008が接続されている。
【0072】また,プラズマ生成空間132には,上述
したエッチング装置100と同様に,第1ガス供給管1
36,第1開閉バルブ138および第1ガス流量調整バ
ルブ140を介して,第1ガス供給源142が接続され
ていると共に,処理空間134には,第2ガス供給管1
44,第2開閉バルブ146および第2ガス流量調整バ
ルブ148を介して,第2ガス供給源150が接続され
ている。さらに,第1ガス流量調整バルブ140と第2
ガス流量調整バルブ148には,上述した制御器152
が接続されている。
したエッチング装置100と同様に,第1ガス供給管1
36,第1開閉バルブ138および第1ガス流量調整バ
ルブ140を介して,第1ガス供給源142が接続され
ていると共に,処理空間134には,第2ガス供給管1
44,第2開閉バルブ146および第2ガス流量調整バ
ルブ148を介して,第2ガス供給源150が接続され
ている。さらに,第1ガス流量調整バルブ140と第2
ガス流量調整バルブ148には,上述した制御器152
が接続されている。
【0073】次に,本実施の形態にかかるエッチング装
置1000の作用および効果について説明すると,上述
したエッチング装置100と同様に,まずプラズマ生成
空間132内に,第1ガス供給源142から第1ガス流
量調整バルブ140が介装された第1ガス供給管136
を介して処理ガスを供給すると共に,処理空間134内
に,第2ガス供給源150から第2ガス流量調整バルブ
148が介装された第2ガス供給管144を介して処理
ガスを供給する。次いで,処理空間134内の雰囲気
を,所定の一定開度に調整された第3ガス流量調整バル
ブ1002が介装された第1排気管602を介して,第
1排気機構P1004により排気すると共に,プラズマ
生成空間134内の雰囲気を,上述した第3ガス流量調
整バルブ1002と同様に,所定の一定開度に調整され
た第4ガス流量調整バルブ1006が介装された第2排
気管608を介して,第2排気機構P1008により排
気する。
置1000の作用および効果について説明すると,上述
したエッチング装置100と同様に,まずプラズマ生成
空間132内に,第1ガス供給源142から第1ガス流
量調整バルブ140が介装された第1ガス供給管136
を介して処理ガスを供給すると共に,処理空間134内
に,第2ガス供給源150から第2ガス流量調整バルブ
148が介装された第2ガス供給管144を介して処理
ガスを供給する。次いで,処理空間134内の雰囲気
を,所定の一定開度に調整された第3ガス流量調整バル
ブ1002が介装された第1排気管602を介して,第
1排気機構P1004により排気すると共に,プラズマ
生成空間134内の雰囲気を,上述した第3ガス流量調
整バルブ1002と同様に,所定の一定開度に調整され
た第4ガス流量調整バルブ1006が介装された第2排
気管608を介して,第2排気機構P1008により排
気する。
【0074】次いで,上述したエッチング装置100と
同様に,制御器152によって第1ガス流量調整バルブ
140と第2ガス流量調整バルブ148の開度を個別独
立して制御することにより,プラズマ生成空間132内
と処理空間134内を所定の圧力雰囲気に調整し,維持
することができる。その結果,プラズマ生成室132内
と処理空間134内に供給された各処理ガスを所望の解
離度で解離させることができるため,ウェハWに対して
均一かつ高選択比のエッチング処理を施すことができ
る。また,上述したエッチング装置900と同様に,プ
ラズマ生成空間132と処理空間134にそれぞれ独立
した真空引き手段が接続されているため,それらプラズ
マ生成空間132内と処理空間134内の雰囲気を迅速
に排気することができる。
同様に,制御器152によって第1ガス流量調整バルブ
140と第2ガス流量調整バルブ148の開度を個別独
立して制御することにより,プラズマ生成空間132内
と処理空間134内を所定の圧力雰囲気に調整し,維持
することができる。その結果,プラズマ生成室132内
と処理空間134内に供給された各処理ガスを所望の解
離度で解離させることができるため,ウェハWに対して
均一かつ高選択比のエッチング処理を施すことができ
る。また,上述したエッチング装置900と同様に,プ
ラズマ生成空間132と処理空間134にそれぞれ独立
した真空引き手段が接続されているため,それらプラズ
マ生成空間132内と処理空間134内の雰囲気を迅速
に排気することができる。
【0075】以上,本発明の好適な実施の形態につい
て,添付図面を参照しながら説明したが,本発明はかか
る構成に限定されない。特許請求の範囲に記載された技
術的思想の範疇において,当業者であれば,各種の変更
例及び修正例に想到し得るものであり,それら変更例及
び修正例についても本発明の技術的範囲に属するものと
了解される。
て,添付図面を参照しながら説明したが,本発明はかか
る構成に限定されない。特許請求の範囲に記載された技
術的思想の範疇において,当業者であれば,各種の変更
例及び修正例に想到し得るものであり,それら変更例及
び修正例についても本発明の技術的範囲に属するものと
了解される。
【0076】例えば,上記実施の形態において,誘電体
壁上に高周波アンテナを配置した誘導結合型エッチング
装置を例に挙げて説明したが,本発明はかかる構成に限
定されるものではなく,プラズマ源に例えばマイクロ波
発生源を適用したいわゆるマイクロ波プラズマ処理装置
にも,本発明は適用可能である。例えば,図9に示した
エッチング装置400を例に挙げて説明すると,当該エ
ッチング装置400には,マイクロ波発生源402と,
このマイクロ波発生源402と誘電体壁108を連通す
る導波管404が設けられている。
壁上に高周波アンテナを配置した誘導結合型エッチング
装置を例に挙げて説明したが,本発明はかかる構成に限
定されるものではなく,プラズマ源に例えばマイクロ波
発生源を適用したいわゆるマイクロ波プラズマ処理装置
にも,本発明は適用可能である。例えば,図9に示した
エッチング装置400を例に挙げて説明すると,当該エ
ッチング装置400には,マイクロ波発生源402と,
このマイクロ波発生源402と誘電体壁108を連通す
る導波管404が設けられている。
【0077】すなわち,誘電体壁108の上方には,マ
イクロ波発生源402から伝達されるマイクロ波を,そ
の誘電体壁108の表面に沿って,かつその全面に渡っ
て拡散可能な導波管404が配置されている。そして,
この導波管404に所定のマイクロ波,例えば2.45
GHzのマイクロ波を発振可能なマイクロ波発生源40
2が接続されている。なお,上記マイクロ波発生源40
2と導波管404以外の基本的な構成は,上述した第1
の実施の形態にかかるエッチング装置100と略同一で
あるため,かかる略同一の機能及び構成を有する構成要
素については,同一符号を付することにより,重複説明
を省略することとする。
イクロ波発生源402から伝達されるマイクロ波を,そ
の誘電体壁108の表面に沿って,かつその全面に渡っ
て拡散可能な導波管404が配置されている。そして,
この導波管404に所定のマイクロ波,例えば2.45
GHzのマイクロ波を発振可能なマイクロ波発生源40
2が接続されている。なお,上記マイクロ波発生源40
2と導波管404以外の基本的な構成は,上述した第1
の実施の形態にかかるエッチング装置100と略同一で
あるため,かかる略同一の機能及び構成を有する構成要
素については,同一符号を付することにより,重複説明
を省略することとする。
【0078】従って,処理時には,上述したエッチング
装置100と同様に,まずプラズマ生成空間132内と
処理空間134内に,それぞれに対応する第1ガス流量
調整バルブ140が介装された第1ガス供給管136と
第2ガス流量調整バルブ148が介装された第2ガス供
給管144から個別独立に処理ガスを導入する。同時
に,処理空間134に接続された排気管154を介して
排気機構P160により処理室102内の雰囲気を一定
の排気量で排気する。
装置100と同様に,まずプラズマ生成空間132内と
処理空間134内に,それぞれに対応する第1ガス流量
調整バルブ140が介装された第1ガス供給管136と
第2ガス流量調整バルブ148が介装された第2ガス供
給管144から個別独立に処理ガスを導入する。同時
に,処理空間134に接続された排気管154を介して
排気機構P160により処理室102内の雰囲気を一定
の排気量で排気する。
【0079】次いで,マイクロ波発生源402からマイ
クロ波を発振し,その発振されたマイクロ波が,導波管
404を介して誘電体壁108の表面に伝達されること
により,プラズマ生成空間132内に均一なプラズマが
励起される。また,制御器152により,第1ガス流量
調整バルブ140と第2ガス流量調整バルブ148の開
度を個別独立に制御することにより,プラズマ生成空間
132内と処理空間134内の圧力雰囲気を各々独立し
て調整することができる。なお,上述した第2〜第6の
実施の形態にかかるエッチング装置300,600,7
00,900,1000に対しても,エッチング装置4
00の如く構成されたマイクロ波発生源402を適用す
ることができることは言うまでもない。
クロ波を発振し,その発振されたマイクロ波が,導波管
404を介して誘電体壁108の表面に伝達されること
により,プラズマ生成空間132内に均一なプラズマが
励起される。また,制御器152により,第1ガス流量
調整バルブ140と第2ガス流量調整バルブ148の開
度を個別独立に制御することにより,プラズマ生成空間
132内と処理空間134内の圧力雰囲気を各々独立し
て調整することができる。なお,上述した第2〜第6の
実施の形態にかかるエッチング装置300,600,7
00,900,1000に対しても,エッチング装置4
00の如く構成されたマイクロ波発生源402を適用す
ることができることは言うまでもない。
【0080】また,上記実施の形態において,多数の略
円形状の貫通孔を備えたグリッド電極を配置した例を挙
げて説明したが,本発明はかかる構成に限定されるもの
ではなく,プラズマ生成空間と処理空間が連通可能であ
れば,いかなる形状の貫通孔をグリッド電極に形成した
場合でも,本発明は実施可能である。また,例えば図1
0に示した如く,導電性のメッシュ材200aの周囲に
絶縁部128bを配したグリッド電極200をかかる装
置に採用した場合にも,本発明を実施することができ
る。
円形状の貫通孔を備えたグリッド電極を配置した例を挙
げて説明したが,本発明はかかる構成に限定されるもの
ではなく,プラズマ生成空間と処理空間が連通可能であ
れば,いかなる形状の貫通孔をグリッド電極に形成した
場合でも,本発明は実施可能である。また,例えば図1
0に示した如く,導電性のメッシュ材200aの周囲に
絶縁部128bを配したグリッド電極200をかかる装
置に採用した場合にも,本発明を実施することができ
る。
【0081】さらに,上記実施の形態において,グリッ
ド電極を固定して処理室内に配置した例を挙げて説明し
たが,本発明はかかる構成に限定されるものではなく,
適宜移動可能なグリッド電極を処理室内に配置したプラ
ズマ処理装置に対しても,本発明を適用することができ
る。
ド電極を固定して処理室内に配置した例を挙げて説明し
たが,本発明はかかる構成に限定されるものではなく,
適宜移動可能なグリッド電極を処理室内に配置したプラ
ズマ処理装置に対しても,本発明を適用することができ
る。
【0082】また,上記実施の形態において,グリッド
電極の周囲に絶縁部を形成した例を挙げて説明したが,
本発明はかかる構成に限定されるものではなく,グリッ
ド電極と処理室の内壁面との間で電気的に導通しない構
成であれば,いかなる構成であってもよく,例えばグリ
ッド電極が配置される位置の処理室内壁面に絶縁部材を
取り付けた構成としても,本発明を実施することができ
る。
電極の周囲に絶縁部を形成した例を挙げて説明したが,
本発明はかかる構成に限定されるものではなく,グリッ
ド電極と処理室の内壁面との間で電気的に導通しない構
成であれば,いかなる構成であってもよく,例えばグリ
ッド電極が配置される位置の処理室内壁面に絶縁部材を
取り付けた構成としても,本発明を実施することができ
る。
【0083】さらに,上記実施の形態において,エッチ
ング装置300のグリッド電極のみに可変直流電力を印
加する構成を例に挙げて説明したが,本発明はかかる構
成に限定されるものではなく,グリッド電極に直流電力
を印加するいかなる装置であっても,本発明を適用する
ことができる。
ング装置300のグリッド電極のみに可変直流電力を印
加する構成を例に挙げて説明したが,本発明はかかる構
成に限定されるものではなく,グリッド電極に直流電力
を印加するいかなる装置であっても,本発明を適用する
ことができる。
【0084】また,上記実施の形態において,プラズマ
生成空間の側壁部および処理空間の側壁部から,それら
プラズマ生成空間内と処理空間内に処理ガスを供給する
例を挙げて説明したが,本発明はかかる構成に限定され
るものではなく,例えば処理室内に配されたシャワーヘ
ッドから処理ガスを処理室内に供給する構成としても,
本発明を実施することができる。
生成空間の側壁部および処理空間の側壁部から,それら
プラズマ生成空間内と処理空間内に処理ガスを供給する
例を挙げて説明したが,本発明はかかる構成に限定され
るものではなく,例えば処理室内に配されたシャワーヘ
ッドから処理ガスを処理室内に供給する構成としても,
本発明を実施することができる。
【0085】さらに,上記実施の形態において,ウェハ
に対してエッチング処理を施すエッチング装置を例に挙
げて説明したが,本発明はかかる構成に限定されるもの
ではなく,処理室内の少なくとも一部を成す誘電体壁を
介してプラズマ源が接続され,かつ処理室内がグリッド
電極を介してプラズマ生成空間と処理空間に分割されて
いるプラズマ処理装置であれば,いかなる装置であって
も本発明を適用することができ,また被処理体として
は,例えばLCD用ガラス基板を使用する場合でも,本
発明を実施することができる。
に対してエッチング処理を施すエッチング装置を例に挙
げて説明したが,本発明はかかる構成に限定されるもの
ではなく,処理室内の少なくとも一部を成す誘電体壁を
介してプラズマ源が接続され,かつ処理室内がグリッド
電極を介してプラズマ生成空間と処理空間に分割されて
いるプラズマ処理装置であれば,いかなる装置であって
も本発明を適用することができ,また被処理体として
は,例えばLCD用ガラス基板を使用する場合でも,本
発明を実施することができる。
【0086】
【発明の効果】本発明によれば,処理室内をグリッド電
極によって分割して形成されたプラズマ生成空間と処理
空間の圧力雰囲気を,プラズマ生成空間内で励起される
プラズマの状態や,それらプラズマ生成空間内と処理空
間内に供給される各処理ガスの解離度や,被処理体に施
すプラズマ処理の条件などに基づいて適宜正確かつ確実
に調整することができる。その結果,プラズマ生成空間
内の処理ガスを所望の解離度で解離させ,均一なプラズ
マ密度のプラズマを励起させることができると共に,グ
リッド電極を介して処理空間内に導かれた所定のプラズ
マにより,さらに処理空間内の処理ガスを所望の解離度
で解離させることができるため,被処理体に対して均一
かつ高選択比のプラズマ処理を施すことができる。
極によって分割して形成されたプラズマ生成空間と処理
空間の圧力雰囲気を,プラズマ生成空間内で励起される
プラズマの状態や,それらプラズマ生成空間内と処理空
間内に供給される各処理ガスの解離度や,被処理体に施
すプラズマ処理の条件などに基づいて適宜正確かつ確実
に調整することができる。その結果,プラズマ生成空間
内の処理ガスを所望の解離度で解離させ,均一なプラズ
マ密度のプラズマを励起させることができると共に,グ
リッド電極を介して処理空間内に導かれた所定のプラズ
マにより,さらに処理空間内の処理ガスを所望の解離度
で解離させることができるため,被処理体に対して均一
かつ高選択比のプラズマ処理を施すことができる。
【図1】本発明を適用可能なエッチング装置を示した概
略的な断面図である。
略的な断面図である。
【図2】図1に示したエッチング装置のグリッド電極を
表した概略的な斜視図である。
表した概略的な斜視図である。
【図3】他の実施の形態にかかるエッチング装置を示し
た概略的な断面図である。
た概略的な断面図である。
【図4】他の実施の形態にかかるエッチング装置を示し
た概略的な断面図である。
た概略的な断面図である。
【図5】他の実施の形態にかかるエッチング装置を示し
た概略的な断面図である。
た概略的な断面図である。
【図6】図5に示したエッチング装置に適用可能な他の
グリッド電極を表した概略的な説明図である。
グリッド電極を表した概略的な説明図である。
【図7】他の実施の形態にかかるエッチング装置を示し
た概略的な断面図である。
た概略的な断面図である。
【図8】他の実施の形態にかかるエッチング装置を示し
た概略的な断面図である。
た概略的な断面図である。
【図9】他の実施の形態にかかるエッチング装置を示し
た概略的な断面図である。
た概略的な断面図である。
【図10】他の実施の形態にかかるグリッド電極を示し
た概略的な斜視図である。
た概略的な斜視図である。
100 エッチング装置 102 処理室 108 誘電体壁 110 高周波アンテナ 116 サセプタ(下部電極) 128 グリッド電極 132 プラズマ生成空間 134 処理空間 136 第1ガス供給管 140 第1ガス流量調整バルブ 142 第1ガス供給源 144 第2ガス供給管 148 第2ガス流量調整バルブ 150 第2ガス供給源 152 制御器 154 排気管 160 排気機構 W ウェハ
Claims (8)
- 【請求項1】 処理室の少なくとも一部を成す誘電体壁
を介してプラズマ源が接続されると共に,前記処理室内
はグリッド電極を介してプラズマ生成空間と処理空間に
分割されており,前記プラズマ生成空間において生成し
たプラズマを前記処理空間に引き込んで前記処理空間に
配された被処理体に対して所定のプラズマ処理を施す如
く構成されたプラズマ処理装置において:前記プラズマ
生成空間または前記処理空間のいずれか一方に設けられ
て前記処理室内を一定の排気量で排気する一の排気系
と,前記プラズマ生成空間に所定の処理ガスを供給する
第1の処理ガス供給系と,前記処理空間内に所定の処理
ガスを供給する第2の処理ガス供給系と,前記処理室内
のプラズマ状態に応じて前記第1の処理ガス供給系のガ
ス供給流量と前記第2の処理ガス供給系のガス供給流量
を個別独立に制御する制御器とを備えたことを特徴とす
る,プラズマ処理装置。 - 【請求項2】 処理室の少なくとも一部を成す誘電体壁
を介してプラズマ源が接続されると共に,前記処理室内
はグリッド電極を介してプラズマ生成空間と処理空間に
分割されており,前記プラズマ生成空間において生成し
たプラズマを前記処理空間に引き込んで前記処理空間に
配された被処理体に対して所定のプラズマ処理を施す如
く構成されたプラズマ処理装置において:前記プラズマ
生成空間に所定の処理ガスを供給する第1の処理ガス供
給系と,前記処理空間内に所定の処理ガスを供給する第
2の処理ガス供給系と,前記プラズマ生成空間に設けら
れた第1の排気バルブと,前記処理空間に設けられた第
2の排気バルブと,前記第1及び第2の排気バルブと連
通し一定の排気量を有する排気系と,前記処理室内のプ
ラズマ状態に応じて前記第1及び第2の排気バルブの開
度を個別独立に制御する制御器とを備えたことを特徴と
する,プラズマ処理装置。 - 【請求項3】 処理室の少なくとも一部を成す誘電体壁
を介してプラズマ源が接続されると共に,前記処理室内
はグリッド電極を介してプラズマ生成空間と処理空間に
分割されており,前記プラズマ生成空間において生成し
たプラズマを前記処理空間に引き込んで前記処理空間に
配された被処理体に対して所定のプラズマ処理を施す如
く構成されたプラズマ処理装置において:前記プラズマ
生成空間または前記処理空間のいずれか一方に設けられ
た一の排気系と,前記プラズマ生成空間に所定の処理ガ
スを供給する第1の処理ガス供給系と,前記処理空間内
に所定の処理ガスを供給する第2の処理ガス供給系とを
備えており,前記グリッド電極は前記プラズマ生成空間
と前記処理空間とを所定の圧力差に維持するコンダクタ
ンスを有していることを特徴とする,プラズマ処理装
置。 - 【請求項4】 前記グリッド電極は,複数枚の電極から
構成されることを特徴とする,請求項3に記載のプラズ
マ処理装置。 - 【請求項5】 処理室の少なくとも一部を成す誘電体壁
を介してプラズマ源が接続されると共に,前記処理室内
はグリッド電極を介してプラズマ生成空間と処理空間に
分割されており,前記プラズマ生成空間において生成し
たプラズマを前記処理空間に引き込んで前記処理空間に
配された被処理体に対して所定のプラズマ処理を施す如
く構成されたプラズマ処理装置において:前記プラズマ
生成空間に所定の処理ガスを供給する第1の処理ガス供
給系と,前記処理空間内に所定の処理ガスを供給する第
2の処理ガス供給系と,前記プラズマ生成空間に設けら
れた第1の排気系と,前記処理空間に設けられた第2の
排気系と,前記処理室内のプラズマ状態に応じて前記第
1および第2の排気系の排気量を個別独立に制御する制
御器とを備えたことを特徴とする,プラズマ処理装置。 - 【請求項6】 処理室の少なくとも一部を成す誘電体壁
を介してプラズマ源が接続されると共に,前記処理室内
はグリッド電極を介してプラズマ生成空間と処理空間に
分割されており,前記プラズマ生成空間において生成し
たプラズマを前記処理空間に引き込んで前記処理空間に
配された被処理体に対して所定のプラズマ処理を施す如
く構成されたプラズマ処理装置において:前記プラズマ
生成空間に所定の処理ガスを供給する第1の処理ガス供
給系と,前記処理空間内に所定の処理ガスを供給する第
2の処理ガス供給系と,前記プラズマ生成空間に設けら
れた第1の排気系と,前記処理空間に設けられた第2の
排気系と,前記処理室内のプラズマ状態に応じて前記第
1の処理ガス供給系のガス供給流量と前記第2の処理ガ
ス供給系のガス供給流量を個別独立に制御する制御器と
を備えたことを特徴とする,プラズマ処理装置。 - 【請求項7】 前記プラズマ源は,高周波アンテナであ
ることを特徴とする,請求項1,2,3,4,5または
6のいずれかに記載のプラズマ処理装置。 - 【請求項8】 前記プラズマ源は,マイクロ波発生源で
あることを特徴とする,請求項1,2,3,4,5また
は6のいずれかに記載のプラズマ処理装置。
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP23175197A JP3317209B2 (ja) | 1997-08-12 | 1997-08-12 | プラズマ処理装置及びプラズマ処理方法 |
US09/093,090 US6162323A (en) | 1997-08-12 | 1998-06-08 | Plasma processing apparatus |
TW087109482A TW387097B (en) | 1997-08-12 | 1998-06-15 | Plasma processing apparatus |
KR10-1998-0032576A KR100418239B1 (ko) | 1997-08-12 | 1998-08-11 | 플라즈마처리장치 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP23175197A JP3317209B2 (ja) | 1997-08-12 | 1997-08-12 | プラズマ処理装置及びプラズマ処理方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH1167737A true JPH1167737A (ja) | 1999-03-09 |
JP3317209B2 JP3317209B2 (ja) | 2002-08-26 |
Family
ID=16928472
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP23175197A Expired - Fee Related JP3317209B2 (ja) | 1997-08-12 | 1997-08-12 | プラズマ処理装置及びプラズマ処理方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US6162323A (ja) |
JP (1) | JP3317209B2 (ja) |
KR (1) | KR100418239B1 (ja) |
TW (1) | TW387097B (ja) |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6299725B1 (en) | 1998-02-19 | 2001-10-09 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
WO2002031858A2 (en) * | 2000-10-06 | 2002-04-18 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
KR100428813B1 (ko) * | 2001-09-18 | 2004-04-29 | 주성엔지니어링(주) | 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법 |
KR100442167B1 (ko) * | 2001-12-26 | 2004-07-30 | 주성엔지니어링(주) | 자연산화막 제거방법 |
KR100530735B1 (ko) * | 2002-09-12 | 2005-11-28 | 송석균 | 상압 플라즈마 발생장치 |
JP2008515161A (ja) * | 2004-09-30 | 2008-05-08 | 東京エレクトロン株式会社 | 基板を処理するためのプラズマ処理システム |
JP2014239210A (ja) * | 2013-04-05 | 2014-12-18 | ラム リサーチ コーポレーションLam Research Corporation | 半導体製造用の内部プラズマグリッド |
JP2015019065A (ja) * | 2013-07-11 | 2015-01-29 | ラム リサーチ コーポレーションLam Research Corporation | イオン加速器を備えるデュアルチャンバプラズマエッチング装置 |
WO2015019765A1 (ja) | 2013-08-09 | 2015-02-12 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP2015065434A (ja) * | 2013-09-20 | 2015-04-09 | ラム リサーチ コーポレーションLam Research Corporation | デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御 |
JP2015144226A (ja) * | 2013-12-27 | 2015-08-06 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体 |
WO2015137094A1 (ja) * | 2014-03-11 | 2015-09-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
KR20170101826A (ko) * | 2016-02-29 | 2017-09-06 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 프리코팅 처리 방법 |
JP2020009745A (ja) * | 2018-04-20 | 2020-01-16 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 遠隔モジュール型高周波源 |
JP2022020069A (ja) * | 2016-01-15 | 2022-01-31 | マトソン テクノロジー インコーポレイテッド | プラズマチャンバのための可変パターン分離格子 |
Families Citing this family (471)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
NL1009767C2 (nl) * | 1998-07-29 | 2000-02-04 | Asm Int | Werkwijze en inrichting voor het etsen van een substraat. |
US6190732B1 (en) | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
JP2001057359A (ja) * | 1999-08-17 | 2001-02-27 | Tokyo Electron Ltd | プラズマ処理装置 |
US6646223B2 (en) * | 1999-12-28 | 2003-11-11 | Texas Instruments Incorporated | Method for improving ash rate uniformity in photoresist ashing process equipment |
US20010046566A1 (en) * | 2000-03-23 | 2001-11-29 | Chu Paul K. | Apparatus and method for direct current plasma immersion ion implantation |
JP4222707B2 (ja) * | 2000-03-24 | 2009-02-12 | 東京エレクトロン株式会社 | プラズマ処理装置及び方法、ガス供給リング及び誘電体 |
KR100367662B1 (ko) * | 2000-05-02 | 2003-01-10 | 주식회사 셈테크놀러지 | 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치 |
DE10024883A1 (de) * | 2000-05-19 | 2001-11-29 | Bosch Gmbh Robert | Plasmaätzanlage |
US9255329B2 (en) * | 2000-12-06 | 2016-02-09 | Novellus Systems, Inc. | Modulated ion-induced atomic layer deposition (MII-ALD) |
US20020197402A1 (en) * | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20050026436A1 (en) * | 2000-12-21 | 2005-02-03 | Hogan Timothy J. | Method for improving ash rate uniformity in photoresist ashing process equipment |
JP4182643B2 (ja) * | 2001-01-10 | 2008-11-19 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
WO2002061179A1 (en) * | 2001-01-19 | 2002-08-08 | Tokyo Electron Limited | Method and apparatus for gas injection system with minimum particulate contamination |
JP4791637B2 (ja) * | 2001-01-22 | 2011-10-12 | キヤノンアネルバ株式会社 | Cvd装置とこれを用いた処理方法 |
TW466595B (en) * | 2001-02-20 | 2001-12-01 | Macronix Int Co Ltd | Reaction chamber of high density plasma chemical vapor deposition |
EP1300876A4 (en) * | 2001-03-28 | 2005-12-07 | Tadahiro Ohmi | PLASMA TREATMENT DEVICE |
CN1263674C (zh) * | 2001-03-29 | 2006-07-12 | 株式会社丰田中央研究所 | 硅系列构造体的制造装置与制造方法 |
WO2002095800A2 (en) * | 2001-05-22 | 2002-11-28 | Reflectivity, Inc. | A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants |
US6752166B2 (en) * | 2001-05-24 | 2004-06-22 | Celerity Group, Inc. | Method and apparatus for providing a determined ratio of process fluids |
US20030000924A1 (en) * | 2001-06-29 | 2003-01-02 | Tokyo Electron Limited | Apparatus and method of gas injection sequencing |
US20030091739A1 (en) * | 2001-11-14 | 2003-05-15 | Hitoshi Sakamoto | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
JP4252749B2 (ja) * | 2001-12-13 | 2009-04-08 | 忠弘 大見 | 基板処理方法および基板処理装置 |
KR100419033B1 (ko) * | 2001-12-24 | 2004-02-21 | (주)울텍 | 고밀도 플라즈마에 의한 건식 식각 장치 및 방법 |
KR100453578B1 (ko) * | 2002-01-04 | 2004-10-20 | 주성엔지니어링(주) | 실리콘 에피택셜층 성장공정 전의 기판 사전 세정방법 |
JP4673063B2 (ja) * | 2002-11-20 | 2011-04-20 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7183716B2 (en) * | 2003-02-04 | 2007-02-27 | Veeco Instruments, Inc. | Charged particle source and operation thereof |
JP4294976B2 (ja) * | 2003-02-27 | 2009-07-15 | 東京エレクトロン株式会社 | 基板処理装置 |
WO2004088710A2 (en) * | 2003-04-02 | 2004-10-14 | Nkt Research & Innovation A/S | Method and apparatus for gas plasma treatment with controlled extent of gas plasma, and use thereof |
DE10320597A1 (de) * | 2003-04-30 | 2004-12-02 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist |
JP2005089823A (ja) * | 2003-09-17 | 2005-04-07 | Seiji Sagawa | 成膜装置および成膜方法 |
KR100561848B1 (ko) * | 2003-11-04 | 2006-03-16 | 삼성전자주식회사 | 헬리컬 공진기형 플라즈마 처리 장치 |
US7282158B2 (en) * | 2004-03-26 | 2007-10-16 | Aviza Technology Limited | Method of processing a workpiece |
CN100449708C (zh) * | 2004-05-27 | 2009-01-07 | 东京毅力科创株式会社 | 基板处理装置 |
JP4550507B2 (ja) * | 2004-07-26 | 2010-09-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP2006041250A (ja) * | 2004-07-28 | 2006-02-09 | Canon Inc | プラズマ処理装置および方法 |
US7250373B2 (en) * | 2004-08-27 | 2007-07-31 | Applied Materials, Inc. | Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
JP2006253696A (ja) * | 2005-03-10 | 2006-09-21 | Asm America Inc | ガスインジェクタ制御システム |
US20060236931A1 (en) * | 2005-04-25 | 2006-10-26 | Varian Semiconductor Equipment Associates, Inc. | Tilted Plasma Doping |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
JP2007051002A (ja) * | 2005-08-19 | 2007-03-01 | Kyocera Mita Corp | 用紙カセット |
JP2007088199A (ja) * | 2005-09-22 | 2007-04-05 | Canon Inc | 処理装置 |
US7358484B2 (en) * | 2005-09-29 | 2008-04-15 | Tokyo Electron Limited | Hyperthermal neutral beam source and method of operating |
US20070170867A1 (en) * | 2006-01-24 | 2007-07-26 | Varian Semiconductor Equipment Associates, Inc. | Plasma Immersion Ion Source With Low Effective Antenna Voltage |
KR100752622B1 (ko) * | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
GB0616131D0 (en) * | 2006-08-14 | 2006-09-20 | Oxford Instr Plasma Technology | Surface processing apparatus |
WO2008030047A1 (en) * | 2006-09-06 | 2008-03-13 | Seoul National University Industry Foundation | Apparatus and method of depositing films using bias and charging behavior of nanoparticles formed during chemical vapor deposition |
JP2008091750A (ja) * | 2006-10-04 | 2008-04-17 | Hitachi Kokusai Electric Inc | アッシング装置 |
US20080090022A1 (en) * | 2006-10-12 | 2008-04-17 | Energy Conversion Devices, Inc. | High rate, continuous deposition of high quality amorphous, nanocrystalline, microcrystalline or polycrystalline materials |
US7897008B2 (en) * | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
US7976671B2 (en) * | 2006-10-30 | 2011-07-12 | Applied Materials, Inc. | Mask etch plasma reactor with variable process gas distribution |
KR100803338B1 (ko) * | 2006-12-04 | 2008-02-13 | 한양대학교 산학협력단 | 플라즈마 처리장치 |
US20080132046A1 (en) * | 2006-12-04 | 2008-06-05 | Varian Semiconductor Equipment Associates, Inc. | Plasma Doping With Electronically Controllable Implant Angle |
US20080193673A1 (en) * | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
JP2008153147A (ja) * | 2006-12-20 | 2008-07-03 | Seiko Epson Corp | プラズマ処理装置 |
US20080169183A1 (en) * | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
WO2008096700A1 (ja) * | 2007-02-09 | 2008-08-14 | Canon Anelva Corporation | 酸化処理方法及び酸化処理装置 |
US7820533B2 (en) * | 2007-02-16 | 2010-10-26 | Varian Semiconductor Equipment Associates, Inc. | Multi-step plasma doping with improved dose control |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
JP4160104B1 (ja) * | 2007-08-16 | 2008-10-01 | 株式会社アルバック | アッシング装置 |
CZ17940U1 (cs) * | 2007-09-13 | 2007-10-15 | Špatenka@Petr | Aplikátor mikrovlnného generátoru plazmatu, a mikrovlnný generátor plazmatu zahrnující tento aplikátor |
US8067061B2 (en) | 2007-10-25 | 2011-11-29 | Asm America, Inc. | Reaction apparatus having multiple adjustable exhaust ports |
JP5474291B2 (ja) * | 2007-11-05 | 2014-04-16 | 株式会社アルバック | アッシング装置 |
US7655543B2 (en) | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
KR100963287B1 (ko) * | 2008-02-22 | 2010-06-11 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
KR100999583B1 (ko) * | 2008-02-22 | 2010-12-08 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
JP5520455B2 (ja) * | 2008-06-11 | 2014-06-11 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5222040B2 (ja) * | 2008-06-25 | 2013-06-26 | 東京エレクトロン株式会社 | マイクロ波プラズマ処理装置 |
US8591661B2 (en) * | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
KR101791685B1 (ko) * | 2008-10-14 | 2017-11-20 | 노벨러스 시스템즈, 인코포레이티드 | 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010192197A (ja) * | 2009-02-17 | 2010-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8486191B2 (en) * | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
CN102652351B (zh) | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
US20110177694A1 (en) * | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US8178280B2 (en) * | 2010-02-05 | 2012-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-contained proximity effect correction inspiration for advanced lithography (special) |
JP5660804B2 (ja) * | 2010-04-30 | 2015-01-28 | 東京エレクトロン株式会社 | カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置 |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8845806B2 (en) * | 2010-10-22 | 2014-09-30 | Asm Japan K.K. | Shower plate having different aperture dimensions and/or distributions |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
KR101932250B1 (ko) * | 2011-06-30 | 2019-03-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치 |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US8617411B2 (en) * | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP5739261B2 (ja) * | 2011-07-28 | 2015-06-24 | 株式会社堀場エステック | ガス供給システム |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
KR101886740B1 (ko) * | 2011-11-01 | 2018-09-11 | 삼성디스플레이 주식회사 | 기상 증착 장치 및 유기 발광 표시 장치 제조 방법 |
US20130168352A1 (en) * | 2011-12-28 | 2013-07-04 | Andreas Fischer | Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber |
JP5803714B2 (ja) * | 2012-02-09 | 2015-11-04 | 東京エレクトロン株式会社 | 成膜装置 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
EP2854160B1 (en) * | 2012-05-23 | 2020-04-08 | Tokyo Electron Limited | Substrate processing method |
KR101495288B1 (ko) * | 2012-06-04 | 2015-02-24 | 피에스케이 주식회사 | 기판 처리 장치 및 방법 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US9048190B2 (en) * | 2012-10-09 | 2015-06-02 | Applied Materials, Inc. | Methods and apparatus for processing substrates using an ion shield |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
JP5793170B2 (ja) * | 2013-09-30 | 2015-10-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
JP6406811B2 (ja) * | 2013-11-20 | 2018-10-17 | 国立大学法人名古屋大学 | Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
JP5762602B1 (ja) * | 2014-06-24 | 2015-08-12 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
DE102014216195A1 (de) * | 2014-08-14 | 2016-02-18 | Robert Bosch Gmbh | Vorrichtung zum anisotropen Ätzen eines Substrats und Verfahren zum Betreiben einer Vorrichtung zum anisotropen Ätzen eines Substrats |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10192751B2 (en) * | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
JP6649754B2 (ja) * | 2015-11-24 | 2020-02-19 | 日本特殊陶業株式会社 | プラズマリアクタ |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
FI127769B (en) * | 2016-03-11 | 2019-02-15 | Beneq Oy | Apparatus and method |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10533252B2 (en) * | 2016-03-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Showerhead, semicondcutor processing apparatus having the same and semiconductor process |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102202946B1 (ko) * | 2016-08-18 | 2021-01-15 | 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 | 플라즈마 챔버용 분리 그리드 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11251019B2 (en) * | 2016-12-15 | 2022-02-15 | Toyota Jidosha Kabushiki Kaisha | Plasma device |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
WO2018115335A1 (de) * | 2016-12-23 | 2018-06-28 | Plasmatreat Gmbh | Düsenanordnung und vorrichtung zur erzeugung eines atmosphärischen plasmastrahls |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
JP6859162B2 (ja) * | 2017-03-31 | 2021-04-14 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10790119B2 (en) * | 2017-06-09 | 2020-09-29 | Mattson Technology, Inc | Plasma processing apparatus with post plasma gas injection |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP6863199B2 (ja) | 2017-09-25 | 2021-04-21 | トヨタ自動車株式会社 | プラズマ処理装置 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10529543B2 (en) * | 2017-11-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch process with rotatable shower head |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
JP2021506126A (ja) | 2017-12-07 | 2021-02-18 | ラム リサーチ コーポレーションLam Research Corporation | チャンバ調整における耐酸化保護層 |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
JP6902991B2 (ja) * | 2017-12-19 | 2021-07-14 | 株式会社日立ハイテク | プラズマ処理装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11615946B2 (en) * | 2018-07-31 | 2023-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Baffle plate for controlling wafer uniformity and methods for making the same |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202040692A (zh) * | 2018-12-21 | 2020-11-01 | 美商得昇科技股份有限公司 | 工件的表面平滑化 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
CN113767453B (zh) * | 2020-04-03 | 2023-12-12 | 株式会社日立高新技术 | 等离子处理装置以及等离子处理方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
CN113518510B (zh) * | 2020-04-10 | 2022-10-11 | 南通深南电路有限公司 | 一种pcb板除胶装置和方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20220123284A (ko) * | 2020-05-01 | 2022-09-06 | 매슨 테크놀로지 인크 | 표면 트리트먼트 처리를 위한 펄스 유도 결합 플라즈마의 방법 및 장치 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US20230057145A1 (en) * | 2021-08-23 | 2023-02-23 | Applied Materials, Inc. | Plasma chamber with a multiphase rotating cross-flow with uniformity tuning |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6029295B2 (ja) * | 1979-08-16 | 1985-07-10 | 舜平 山崎 | 非単結晶被膜形成法 |
JPH0770509B2 (ja) * | 1982-10-08 | 1995-07-31 | 株式会社日立製作所 | ドライプロセス装置 |
US6113701A (en) * | 1985-02-14 | 2000-09-05 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, manufacturing method, and system |
US5512102A (en) * | 1985-10-14 | 1996-04-30 | Semiconductor Energy Laboratory Co., Ltd. | Microwave enhanced CVD system under magnetic field |
KR910003169B1 (ko) * | 1985-11-12 | 1991-05-20 | 가부시끼가이샤 한도다이 에네르기 겐뀨소 | 반도체 장치 제조 방법 및 장치 |
US4828369A (en) * | 1986-05-28 | 1989-05-09 | Minolta Camera Kabushiki Kaisha | Electrochromic device |
DE3876205T2 (de) * | 1987-09-30 | 1993-05-27 | Sumitomo Metal Ind | Vorrichtung zur bildung duenner filme. |
JPH06104898B2 (ja) * | 1988-01-13 | 1994-12-21 | 忠弘 大見 | 減圧表面処理装置 |
US4988642A (en) * | 1988-05-25 | 1991-01-29 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, manufacturing method, and system |
KR910016054A (ko) * | 1990-02-23 | 1991-09-30 | 미다 가쓰시게 | 마이크로 전자 장치용 표면 처리 장치 및 그 방법 |
JPH0740569B2 (ja) * | 1990-02-27 | 1995-05-01 | エイ・ティ・アンド・ティ・コーポレーション | Ecrプラズマ堆積方法 |
JP2888258B2 (ja) * | 1990-11-30 | 1999-05-10 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US5212118A (en) * | 1991-08-09 | 1993-05-18 | Saxena Arjun N | Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates |
KR100276093B1 (ko) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
US5728253A (en) * | 1993-03-04 | 1998-03-17 | Tokyo Electron Limited | Method and devices for detecting the end point of plasma process |
-
1997
- 1997-08-12 JP JP23175197A patent/JP3317209B2/ja not_active Expired - Fee Related
-
1998
- 1998-06-08 US US09/093,090 patent/US6162323A/en not_active Expired - Fee Related
- 1998-06-15 TW TW087109482A patent/TW387097B/zh active
- 1998-08-11 KR KR10-1998-0032576A patent/KR100418239B1/ko not_active IP Right Cessation
Cited By (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6617256B2 (en) | 1998-02-19 | 2003-09-09 | Micron Technology, Inc. | Method for controlling the temperature of a gas distribution plate in a process reactor |
US6323133B1 (en) * | 1998-02-19 | 2001-11-27 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
US6299725B1 (en) | 1998-02-19 | 2001-10-09 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
US6960534B2 (en) | 1998-02-19 | 2005-11-01 | Micron Technology, Inc. | Method for controlling the temperature of a gas distribution plate in a process reactor |
US6387816B2 (en) | 1998-02-19 | 2002-05-14 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
US6833049B2 (en) | 1998-02-19 | 2004-12-21 | Micron Technology, Inc. | Apparatus for controlling the temperature of a gas distribution plate in a process reactor |
US6383334B1 (en) | 1998-02-19 | 2002-05-07 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
US6613189B2 (en) | 1998-02-19 | 2003-09-02 | Micron Technology, Inc. | Apparatus for controlling the temperature of a gas distribution plate in a process reactor |
WO2002031858A2 (en) * | 2000-10-06 | 2002-04-18 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6508913B2 (en) | 2000-10-06 | 2003-01-21 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
WO2002031858A3 (en) * | 2000-10-06 | 2002-09-06 | Lam Res Corp | Gas distribution apparatus for semiconductor processing |
KR100725615B1 (ko) * | 2000-10-06 | 2007-06-07 | 램 리서치 코포레이션 | 반도체 처리 공정을 위한 가스 분산 장치 |
CN100358080C (zh) * | 2000-10-06 | 2007-12-26 | 兰姆研究公司 | 半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法 |
KR100428813B1 (ko) * | 2001-09-18 | 2004-04-29 | 주성엔지니어링(주) | 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법 |
KR100442167B1 (ko) * | 2001-12-26 | 2004-07-30 | 주성엔지니어링(주) | 자연산화막 제거방법 |
KR100530735B1 (ko) * | 2002-09-12 | 2005-11-28 | 송석균 | 상압 플라즈마 발생장치 |
JP4861329B2 (ja) * | 2004-09-30 | 2012-01-25 | 東京エレクトロン株式会社 | 基板を処理するためのプラズマ処理システム |
JP2008515161A (ja) * | 2004-09-30 | 2008-05-08 | 東京エレクトロン株式会社 | 基板を処理するためのプラズマ処理システム |
JP2014239210A (ja) * | 2013-04-05 | 2014-12-18 | ラム リサーチ コーポレーションLam Research Corporation | 半導体製造用の内部プラズマグリッド |
US10224221B2 (en) | 2013-04-05 | 2019-03-05 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US11171021B2 (en) | 2013-04-05 | 2021-11-09 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
CN107068559A (zh) * | 2013-07-11 | 2017-08-18 | 朗姆研究公司 | 具有离子加速器的双室等离子体蚀刻器 |
US10134605B2 (en) | 2013-07-11 | 2018-11-20 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
JP2015019065A (ja) * | 2013-07-11 | 2015-01-29 | ラム リサーチ コーポレーションLam Research Corporation | イオン加速器を備えるデュアルチャンバプラズマエッチング装置 |
WO2015019765A1 (ja) | 2013-08-09 | 2015-02-12 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US9685305B2 (en) | 2013-08-09 | 2017-06-20 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
JP2015065434A (ja) * | 2013-09-20 | 2015-04-09 | ラム リサーチ コーポレーションLam Research Corporation | デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御 |
JP2015144226A (ja) * | 2013-12-27 | 2015-08-06 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体 |
KR20160132373A (ko) | 2014-03-11 | 2016-11-18 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
JP2015173182A (ja) * | 2014-03-11 | 2015-10-01 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US10886097B2 (en) | 2014-03-11 | 2021-01-05 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
WO2015137094A1 (ja) * | 2014-03-11 | 2015-09-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP2022020069A (ja) * | 2016-01-15 | 2022-01-31 | マトソン テクノロジー インコーポレイテッド | プラズマチャンバのための可変パターン分離格子 |
JP2017157627A (ja) * | 2016-02-29 | 2017-09-07 | 東京エレクトロン株式会社 | プラズマ処理装置及びプリコート処理方法 |
KR20170101826A (ko) * | 2016-02-29 | 2017-09-06 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 프리코팅 처리 방법 |
US10910200B2 (en) | 2016-02-29 | 2021-02-02 | Tokyo Electron Limited | Plasma processing apparatus and precoating method |
JP2020009745A (ja) * | 2018-04-20 | 2020-01-16 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 遠隔モジュール型高周波源 |
Also Published As
Publication number | Publication date |
---|---|
KR19990023520A (ko) | 1999-03-25 |
JP3317209B2 (ja) | 2002-08-26 |
US6162323A (en) | 2000-12-19 |
TW387097B (en) | 2000-04-11 |
KR100418239B1 (ko) | 2004-03-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3317209B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
JP4393844B2 (ja) | プラズマ成膜装置及びプラズマ成膜方法 | |
TWI460784B (zh) | 在晶圓上提供一層電漿蝕刻的設備 | |
JP4634697B2 (ja) | プラズマ処理装置及び方法 | |
US9441791B2 (en) | Gas supply unit, substrate processing apparatus and supply gas setting method | |
KR101123502B1 (ko) | 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체 | |
KR100753692B1 (ko) | 가스 공급 장치, 기판 처리 장치 및 공급 가스설정 방법 | |
KR100443471B1 (ko) | 플라즈마 처리 방법 | |
JP2001015297A (ja) | プラズマ装置 | |
JP3685461B2 (ja) | プラズマ処理装置 | |
JPS5943880A (ja) | ドライエツチング装置 | |
JP4608827B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
US20240222085A1 (en) | Plasma processing method and plasma processing apparatus including applying a voltage to a lower electrode in a substrate support with a gas supplied into a chamber | |
JP2001326216A (ja) | プラズマ処理装置 | |
JP2697464B2 (ja) | マイクロ波プラズマ処理装置 | |
KR20220133852A (ko) | 플라스마 처리 장치 및 플라스마 처리 방법 | |
WO2003079427A1 (fr) | Procede de traitement par plasma | |
JP2001308066A (ja) | プラズマ処理装置 | |
JPH05226290A (ja) | エッチング装置 | |
JP2001185543A (ja) | プラズマ処理装置 | |
JPH10312978A (ja) | プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法 | |
JPH0536635A (ja) | プラズマ処理方法および装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20020521 |
|
LAPS | Cancellation because of no payment of annual fees |