KR100725615B1 - 반도체 처리 공정을 위한 가스 분산 장치 - Google Patents

반도체 처리 공정을 위한 가스 분산 장치 Download PDF

Info

Publication number
KR100725615B1
KR100725615B1 KR1020037004813A KR20037004813A KR100725615B1 KR 100725615 B1 KR100725615 B1 KR 100725615B1 KR 1020037004813 A KR1020037004813 A KR 1020037004813A KR 20037004813 A KR20037004813 A KR 20037004813A KR 100725615 B1 KR100725615 B1 KR 100725615B1
Authority
KR
South Korea
Prior art keywords
flow rate
gas supply
gas
control valve
supply line
Prior art date
Application number
KR1020037004813A
Other languages
English (en)
Other versions
KR20040004391A (ko
Inventor
맥밀린브라이언케이
크놉로버트
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20040004391A publication Critical patent/KR20040004391A/ko
Application granted granted Critical
Publication of KR100725615B1 publication Critical patent/KR100725615B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

반도체 기판을 처리하기 위한 가스 분산 시스템은 복수개의 가스 공급부, 상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관, 상기 혼합 가스를 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인 및 컨트롤 밸브를 포함한다. 상기 가스 공급 라인은 상기 챔버 안의 제1 구역으로 상기 혼합 가스를 운반하는 제1 가스 공급 라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함한다. 상기 컨트롤 밸브는 상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록 상기 제1 및/또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절한다. 이 장치를 사용하는 방법에서, 상기 반응 챔버에 반도체 기판을 제공하여 상기 제1 및/또는 제2 가스 공급 라인 안의 혼합 가스 유량이 상기 제1 및 제2 구역으로 원하는 비율의 혼합 가스 유량을 제공하도록 상기 컨트롤 밸브를 조정한 상태에서 상기 혼합 가스를 상기 제1 및 제2 구역으로 공급함으로써 상기 기판을 처리한다.

Description

반도체 처리 공정을 위한 가스 분산 장치 {Gas distribution apparatus for semiconductor processing}
본 발명은 집적 회로 웨이퍼와 같은 반도체 기판을 처리하는 데에 이용되는 반응 챔버에 관한 것으로서, 보다 상세하게는 이러한 반응 챔버 내에 이용되는 가스 분산 시스템의 개선에 관한 것이다.
반도체 공정은 금속, 유전체 및 반도체 물질의 화학적 기상 증착(CVD)과 같은 증착 공정, 이러한 물질로 된 막의 식각, 포토레지스트 마스크막의 애슁 등을 포함한다. 이러한 반도체 공정은 전형적으로 반도체 웨이퍼, 평판 디스플레이 기판 등과 같은 기판을 처리하기 위한 공정 가스가 사용되는 진공 챔버 안에서 수행된다. 공정 가스는 샤워헤드, 가스 분산 링, 가스 주입기 등과 같은 가스 분산 시스템에 의해 진공 챔버 안으로 공급될 수 있다. 복수개의 가스 분산 시스템을 구비하는 반응기들이 미합중국 특허 제5,134,965호, 제5,415,728호, 제5,522,934호, 제5,614,055호, 제5,772,771호, 제6,013,155호 및 제6,042,687호에 개시되어 있다.
식각의 경우, 플라즈마 식각이 금속, 유전체 및 반도체 물질을 식각하기 위해 전통적으로 사용되어 왔다. 플라즈마 식각 반응기는 전형적으로 하부(bottom) 전극 상에 놓여지는 실리콘 웨이퍼를 지지하는 받침대(pedestal), 공정 가스를 플 라즈마 상태로 에너지화시키는 에너지 소오스 및 챔버 안으로 공정 가스를 공급하는 공정 가스 공급원을 포함한다.
집적 회로 제조에 있어서 유전체 물질막 내에 콘택 및 비아와 같은 개구부를 식각하는 것이 공통적으로 요구되고 있다. 유전체 물질막은 플루오르 첨가된 실리콘 산화막(FSG)과 같은 도핑된 실리콘 산화막, 실리콘 이산화막과 같은 도핑되지 않은 실리콘 산화막, 보론 포스페이트 실리케이트 글래스(BPSG) 및 포스페이트 실리케이트 글래스(PSG)와 같은 실리케이트 글래스막, 도핑된 또는 도핑되지 않은 열 성장 실리콘 산화막, 도핑된 또는 도핑되지 않은 TEOS 증착 실리콘 산화막 등을 포함한다. 상기 유전체 도펀트는 붕소, 인 및/또는 비소를 포함한다. 상기 유전체막은 다결정 실리콘막과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 또는 이들 합금과 같은 금속막과, 티타늄 질화막과 같은 질화막과, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드와 같은 금속 실리사이드막 등의 도전막 또는 반도체막 위를 덮는다. 실리콘 산화막 내에 개구부를 식각하는 데에 평행 플레이트 플라즈마 반응기가 사용되는 플라즈마 식각 기술이 미합중국 특허 제5,013,398호에 개시되어 있다.
미합중국 특허 제5,736,457호는 단일 및 듀얼 "다마신" 금속배선 공정을 설명한다. "단일 다마신" 방식에서 비아들과 도전체들은, 도전체 또는 비아 중 어느 하나를 위한 금속배선 패턴이 유전체막 내에 식각되고, 금속층이 상기 유전체막 내의 식각된 그루브 또는 비아홀 내에 충전되며, 과잉의 금속이 화학 기계적 평탄화(CMP) 또는 에치백 공정에 의해 제거되는, 서로 분리된 공정에 의해 형성된 다. "듀얼 다마신" 방식에서는, 비아 또는 도전체를 위한 금속배선 패턴들은 유전체막내에 식각되어지고, 상기 식각된 그루브 및 비아 개구부는 단일의 금속 충전 및 과잉 금속 제거 공정에서 금속으로 충전된다.
웨이퍼의 전체 표면에 대해 균일한 식각율을 얻기 위해서는 웨이퍼 표면에 플라즈마를 균일하게 분산시키는 것이 요구된다. 현재의 가스 분산 챔버 디자인은 챔버 안의 개별 영역들에 공급하는 다수의 공급 라인과 다수의 질량 유량 조절기(MFC)를 포함한다. 그러나 현재의 가스 분산 디자인은 수많은 부품, 디자인에 있어서의 복잡함과, 고비용을 필요로 한다. 따라서, 이러한 가스 분산 설비를 제조하는 복잡함과 비용을 감소시킬 것이 요구되고 있다.
본 발명은 반도체 기판 처리 공정에 이용되는 반응 챔버에 유용한 가스 분산 시스템을 제공한다. 이 가스 분산 시스템은 복수개의 가스 공급부, 상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관(manifold), 상기 혼합 가스를 상기 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인으로서, 상기 챔버 안의 제1 구역으로 상기 혼합 가스를 운반하는 제1 가스 공급 라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함하는 가스 공급 라인, 상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록 상기 제1 및/또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 적어도 하나의 컨트롤 밸브, 상기 제1 및/또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 적어도 하나의 유량 측정 장치, 및 상기 적어도 하나의 유량 측정 장치가 측정한 상기 유량에 응답하여 상기 적어도 하나의 컨트롤 밸브를 작동시키는 컨트롤러를 포함한다.
바람직한 실시예에 따르면, 상기 컨트롤러는 상기 챔버 내에서 반도체 기판을 처리하는 동안에 상기 복수개의 가스 공급 라인 중의 적어도 하나로 운반되는 상기 혼합 가스의 비율이 제1 설정치에서 제2 설정치로 변화되도록 상기 적어도 하나의 컨트롤 밸브를 작동시키는 컴퓨터 또는 프로그램할 수 있는 논리 소자를 포함한다. 하나의 실시예에 있어서, 상기 적어도 하나의 컨트롤 밸브는 제1 및 제2 컨트롤 밸브를 포함하고 상기 적어도 하나의 유량 측정 장치는 제1 및 제2 유량 측정 장치를 포함하며, 상기 제1 컨트롤 밸브와 제1 유량 측정 장치는 상기 제1 가스 공급 라인 상에 위치하고 상기 제2 컨트롤 밸브와 제2 유량 측정 장치는 상기 제2 가스 공급 라인 상에 위치한다. 다른 실시예에 있어서, 상기 적어도 하나의 컨트롤 밸브는 단일 컨트롤 밸브를 포함하고 상기 적어도 하나의 유량 측정 장치는 단일 유량 측정 장치를 포함하는데, 이들은 제1 또는 제2 가스 공급 라인 중의 어느 하나 상에 위치한다. 상기 반응 챔버는 플라즈마 식각 챔버 또는 CVD 챔버와 같은 진공 챔버를 포함할 수 있다.
본 발명은 상기 반응 챔버 내에서 기판을 처리하는 방법도 제공하는데, 이 방법은 상기 반응 챔버에 반도체 기판을 제공하는 단계, 적어도 하나의 유량 측정 장치로 상기 제1 및/또는 제2 가스 공급 라인 안의 혼합 가스의 유량을 측정하는 단계, 및 상기 적어도 하나의 유량 측정 장치가 측정한 유량에 응답하여 상기 컨트롤러에 의해 상기 적어도 하나의 컨트롤 밸브를 조정한 상태에서, 상기 혼합 가스 를 상기 제1 및 제2 구역으로 공급함으로써 상기 기판을 처리하는 단계를 포함한다. 바람직한 실시예에 있어서, 상기 컨트롤러는 상기 가스 공급부에 의해 상기 혼합 분기관으로 공급되는 총 가스 유량을 모니터하고 상기 가스 공급 라인 중의 하나 안에서 측정된 가스 유량과 상기 총 가스 유량을 상기 제2 가스 공급 라인을 위한 목표 유량과 비교하고, 상기 제1 및 제2 가스 공급 라인 안의 원하는 유량을 달성하도록 상기 컨트롤러에 의해 상기 적어도 하나의 컨트롤 밸브가 반복적으로 조정된다. 상기 반도체 기판은 실리콘 웨이퍼를 포함할 수 있으며, 이 웨이퍼는 그 위에 물질층을 증착하거나 웨이퍼 상의 유전체막, 반도체막 또는 도전막을 플라즈마 식각하여 처리된다.
본 발명의 목적 및 장점이 다음의 도면들과 결합한 이하의 상세한 설명을 읽음으로써 잘 이해될 것이다.
도 1은 본 발명의 제1 실시예에 따른 가스 분산 설비를 도시한다.
도 2는 본 발명의 제1 실시예에 따라 반응 챔버 안의 가스 분산을 조절하는 과정의 순서도이다.
도 3은 본 발명의 제2 실시예에 따른 가스 분산 설비를 도시한다.
도 4는 본 발명의 제2 실시예에 따라 반응 챔버 안의 가스 분산을 조절하는 과정의 순서도이다.
도 5는 본 발명의 제3 실시예에 따른 가스 분산 설비를 도시한다.
도 6은 본 발명의 제4 실시예에 따른 가스 분산 설비를 도시한다.
도 7a는 본 발명의 제5 실시예에 따른 가스 분산 설비를 도시한다.
도 7b는 본 발명의 제6 실시예에 따른 가스 분산 설비를 도시한다.
도 8은 플라즈마 반응 챔버 안의 위치로 공급되는 가스를 분배하기 위해 고정 오리피스가 사용되는 가스 분산 설비를 도시한다.
본 발명의 보다 나은 이해를 위하여, 이하의 상세한 설명은 첨부한 도면을 참조하며, 여기에는 본 발명의 바람직한 예시적 실시예들이 도시되고 설명되어 있다. 게다가 도면들에서 같은 요소임을 확인시키기 위해 사용한 참조번호는 전체에 걸쳐 동일하다.
본 발명에 따르면, 가스 공급 설비가 혼합된 공정 가스를 공정 챔버 안의 복수개의 구역으로 원하는 비율로 공급한다. 상기 가스 공급 설비는 공정 가스를 반도체 기판 상에 선택적으로 분산시키는 것이 바람직한 임의의 종류의 반도체 처리 장치에도 사용될 수 있다. 이러한 장치는 CVD 시스템, 애셔(asher), 용량성 결합 플라즈마 반응기, 유도 결합 플라즈마 반응기, ECR 반응기 등을 포함한다.
본 발명의 제1 실시예에 따르면, 혼합 가스가 가스 분산 시스템을 통해 반응성 플라즈마 식각 챔버 또는 다른 진공 공정 챔버와 같은 반도체 공정 챔버 내부의 다수의 구역으로 운반된다. 이와 동시에, 주어진 설정치와 적어도 하나의 유량 실측치에 근거하여, 각 구역으로 운반되는 공정 가스의 분율이 피드백 제어된다. 반도체 웨이퍼 상의 실리콘 이산화막, 알루미늄막 또는 다결정 실리콘막을 식각함에 있어서, 혼합된 공정 가스를 웨이퍼의 중심에 가까운 영역과 웨이퍼 주변부(가장자 리) 모두에 조절된 비율로 공급할 것이 일반적으로 요구된다. 비록 원하는 비율이 조건에 따라 달라지겠지만, 가스 공급의 최적의 공간 분산은 웨이퍼 전체에 걸쳐 균일한 식각 결과를 달성하는 것을 돕는다. 예를 들어, 주어진 조건 하에서, 공정 가스의 75%를 웨이퍼 가장자리에, 공정 가스의 25%를 웨이퍼 중심에 공급하는 것이 이로울 수 있다. 동일한 장비로 수행될 수도 있는 다른 식각 조건에 있어서는, 공정 가스의 10%를 웨이퍼 가장자리에, 공정 가스의 90%를 웨이퍼 중심에 공급하는 것이 유리할 수도 있다. 뿐만 아니라, 복잡한 다단계 식각 레서피(recipe)에서는, 두 구역으로 운반되는 공정 가스의 비율을 단계적으로 변화시킬 것이 요구될 수 있다. 종래의 설비에서는, 관심 대상인 조건과 식각 균일성 요구의 균형에 근거하여 전통적으로 가스 공급의 고정된 공간 분산(예를 들어, 특정한 홀 패턴을 구비한 샤워헤드)이 선택되었다.
본 발명의 가스 분산 시스템은, 공정 챔버 안의 다수개의 구역으로 운반되는 공정 공급 가스의 분율을 실시간으로 조절하는 능력을 제공할 수 있어서, 공정 챔버 안에 중성 가스 조성을 만들어서 전체 웨이퍼에 걸쳐 균일한 공정 (예를 들어 식각) 결과를 얻는 것을 돕는다. 이것은 집적 회로 제조에 있어서 대구경의 웨이퍼가 사용되고 소자 상의 선폭이 지속적으로 축소되는, 진보된 반도체 공정 설비에 특히 유용한 특징이다.
본 발명의 가스 분산 시스템은 가스 공급 라인, 압력 레귤레이터, 질량 유량 조절기(MFC), 다양한 차단 밸브와 관련 배관, 및 혼합 분기관에 의해 공정 가스가 공급되는 진공 챔버에 구현될 수 있다. 본 발명에 따른 가스 공급 설비는 물리적으 로 혼합 분기관과 진공 공정 (식각이나 CVD) 챔버 사이에 위치할 수 있고 챔버의 다수개 영역으로 혼합 가스를 운반하기 위한 다수개의 라인 안으로 혼합 가스 유량을 분배하는 것을 담당한다. 가스 박스로부터 챔버로 운반되는 유량은 컴퓨터, 전형적으로 챔버의 공정 변수/작동을 제어하는 데에 이용되는 것과 동일한 컴퓨터에 의해 제어되는 것이 바람직하다. 작동시킴에 있어서, 사용자는 웨이퍼 식각을 위한 반응기로 혼합 공정 가스를 공급하기 위해 하나 이상의 가스를 소정 유량으로 흘려주도록 가스 분산 시스템을 설정할 수 있다. 예를 들어, 알루미늄을 식각하는 경우, 사용자는 메인 식각 단계 동안에 각각 100, 200, 4 sccm의 Cl2, BCl3, N 2의 혼합물을 흐르게 할 수 있다. 공정 가스 성분의 유량은 MFC에 의해 모니터되고 조절될 수 있다.
하나의 구현예에 있어서, 본 발명은 적어도 하나의 유량 측정 장치, 하나의 유량 조절 장치, 및 챔버 안의 적어도 두 구역으로 운반되는 혼합 가스 유량의 비율을 조절하기 위한 피드백 제어 시스템을 포함할 수 있다. 피드백 제어 시스템은 아날로그 전기 회로 및/또는 프로그램할 수 있는 논리 소자나 컴퓨터에서 실행될 수 있는 디지털 제어 알고리즘을 포함할 수 있다.
도 1은 본 발명에 따른 가스 분산 시스템의 제1 실시예를 개략적으로 보여준다. 여기에서 공정 챔버(10)에는 (챔버의 상부에 배치된 샤워헤드나 다른 가스 공급 설비로 공정 가스를 공급할 수 있는) 가스 공급 라인(12)과 (예컨대, 기판 지지대를 감싸는 가스 분산 링으로, 또는 기판 지지대 안에 배치된 가스 배출구를 통하 는 것과 같이, 챔버의 하부로 공정 가스를 공급하는) 가스 공급 라인(14)을 통해 공정 가스가 공급된다. 그러나, 대안적인 이중 가스 공급 설비가 챔버의 최상단 중심과 최상단 주변부로 가스를 공급할 수 있다. 가스 공급부(16, 18, 20)로부터의 공정 가스가 각자 질량 유량 조절기(22, 24, 26)로 공급되면서, 공정 가스가 가스 공급부(16, 18, 20)로부터 가스 라인(12, 14)으로 공급된다. 질량 유량 조절기(22, 24, 26)는 공정 가스를 혼합 분기관(28)으로 공급하며, 혼합 가스는 그 후단의 선택적인 유량계(30)를 통과하게 되고, 이것은 혼합된 공정 가스를 가스 공급 라인(12, 14)으로 향하게 한다. 가스 공급 라인(12)은 선택적인 유량 제한 소자(32)를 포함할 수 있고 가스 공급 라인(14)은 유량 측정 장치(34)와 피드백 제어 밸브(36)를 포함할 수 있다. 제어 시스템(40)은 유량 측정 장치(34)를 모니터하고 질량 유량 조절기(22, 24, 26)뿐만 아니라 피드백 제어 밸브(36)를 제어하는 데에 효과적이다. 이러한 피드백 제어 시스템은 공정 챔버의 두 구역으로 운반되는 혼합 가스의 비율을 조정할 수 있게 한다. 선택적인 유량 제한 소자(32)는 고정 오리피스 또는 니들(needle) 밸브 등일 수 있다.
작동시킴에 있어서, 사용자는 가스 박스 안의 각 공급 가스의 유량을 위한 설정치를 선택하고, 공정 챔버의 각 영역으로 운반되는 혼합 유량의 분율을 선택한다. 예를 들어, 사용자는 75%가 라인(12)을 통해, 25%가 라인(14)을 통해 운반되는 100 sccm Cl2/200 sccm BCl3/4 sccm O2 유량을 선택할 수 있다. 각 운반 라인으로의 혼합 유량의 분율은 라인(14) 안에서 측정된 실제 유량과 그것의 목표 유량에 근거 해 라인(14) 안의 피드백 제어 밸브(36)를 반복적으로 조정함으로써 조절된다. 총 유량, 이 경우에 있어서는 가스 박스 안의 질량 유량 조절기(22, 24, 28)의 유량 데이터들을 모두 더해 측정할 수 있는 총 유량을, 챔버 운반 라인(12) 안의 유량계로 측정한 유량과 비교함으로써, 컨트롤러는 라인(14) 안의 밸브(36)의 쓰로틀링(throttling) 정도를 조정하여 원하는 유량 분산을 얻을 수 있다. 대신에, 가스 박스 안의 MFC(22, 24, 26)의 데이터들을 더하여 총 유량을 결정하기보다는, 선택적인 총유량계를 혼합 분기관(28)의 바로 하류에 설치하여 혼합 가스의 총 유량을 측정할 수 있다.
가스 박스 MFC의 데이터들을 더하여 총 유량이 결정되는 경우에, 이 측정된 유량은 질소와 같은 참조 가스의 등가 sccm(standard cubic centimeters per minute)으로 변환될 수 있는데, 이는 공정마다 가스 혼합이 달라지는 일반적인 경우에 정확하고 유연성있게 제어하기 위해서이다. 따라서, 혼합 가스 유량을 "질소 등가 유량"으로 변환하기 위한 계산이 수행될 수 있고, 모든 유량 측정치들을 동일한 기준에 놓기 위한 "질소 등가 유량"을 측정하기 위해 라인(14) 안의 인-라인 유량 측정 장치가 보정(calibration)될 수 있다. 예를 들어, 전형적인 열-기반 질량 유량계에서의 100 sccm Cl2는 질소 116.5 sccm과 등가이고, 200 sccm BCl3는 질소 444.4 sccm과 등가이며, 4 sccm O2는 질소 4.08 sccm과 등가이다. 따라서, 상기 예에서의 혼합 가스의 "질소 등가 유량"은 564.98 sccm이고 피드백 제어 밸브를 가진 라인을 통해 25%를 운반하기 위해서, 이 예에서는 0.25*564.98=141.2 sccm 질소의 유량 실측치를 달성하도록 제어 루프가 밸브를 조정할 수 있다. 정상 상태에서는, 라인(12) 안의 선택적인 유량 제한 소자가 공정 동안에는 조정되지 않기 때문에, 가스 박스로부터의 혼합 가스의 전체 유량이 궁극적으로는 챔버에 도달할 것이며, 총 유입량이 총 유출량과 같아질 때까지는 혼합 분기관 안에 자연적으로 압력이 쌓여갈 것이다.
도 2는 도 1의 장치를 이용하여 분배된 가스 공급을 조절하는 방법을 요약한 순서도이다. 이 절차는 주어진 공정 진행(run) 동안에 레서피 조건과 유량에서의 변화를 실시간 근거로 조정하기 위하여, 예컨대 1-500Hz(이를테면 50Hz)의 속도로 반복적으로 수행될 수 있다. 도 2에 도시된 것처럼, 순서도는 공정 챔버의 특정한 부위에 공급되어야 할 퍼센트 유량과 같은 유량 분배 설정치를 입력하는 단계인 S1, 공정 챔버로 공급된 총 혼합 가스 유량을 실측하거나 결정하는 단계인 S2, 유량 분배 설정치와 총 유량에 근거하여 라인(14)을 위한 목표 유량을 계산하는 단계인 S3, 라인(14) 안의 가스 유량을 실측하는 단계인 S4, 라인(14) 안의 목표 유량과 실제 유량 사이의 차이로 정의되는 라인(14) 안에서의 유량 에러를 계산하는 단계인 S5와, 라인(14)의 유량 에러를 감소시키기 위해 비례(P), 비례와 적분(PI) 또는 비례와 적분과 미분(PID) 보상법(compensation)을 사용하여 밸브의 조절 설정치(예를 들면 개방 퍼센트)를 조정하는 단계인 S6를 도시한다.
도 3은 본 발명에 따른 가스 분산 설비의 제2 실시예이면서 가장 바람직한 실시예를 도시한 것이다. 여기에는 라인(12) 안의 선택적인 유량 제한 소자가 유량계(42)와 피드백 제어 쓰로틀 밸브(44)로 대체되어 있다. 다시 말해 공정 공급 가 스가 두 개의 쓰로틀 밸브와 두 개의 유량계를 사용하여 분배되는 구현예이다. 하나 또는 두 쓰로틀 밸브의 개방된 어퍼쳐(aperture)는 사용자가 선택한 유량-분배와 유량계 실측치 비교에 근거하여 조정될 수 있다. 편리하게는, (점선 박스로 묶여진) 유량계와 쓰로틀 밸브의 조합이 일반적인 질량 유량 조절기를 사용하여 구현될 수 있는데, 여기에서 제어 시스템은 사용자가 선택한 유량 분배를 얻기 위하여 각 구간으로 개별적인 유량 설정치 제어를 전송한다. 각 가스 운반 라인의 전달율을 활발히 조정하고 제어할 수 있는 능력 때문에, 이러한 구현예는 도 1의 예보다 약간 더 유연성이 있다. 이것은, 예를 들어, 양쪽 라인에서의 예컨대 0-100% 유량 분배를 조정하는 능력을 허용한다. 이와는 대조적으로, 도 1의 구현예는 선택적인 유량 제한 소자(32)의 약간의 (수동) 조정 없이는 라인(14)을 통해 100% 유량이 운반되도록 할 수 없다. 뿐만 아니라, 도 3의 구현예는 각 혼합 가스 운반 라인 안의 유량을 실시간으로 측정할 수 있게 하는데, 이는 웨이퍼를 생산하면서 실시간 오류 검출(예를 들면, 주어진 라인의 막힘 또는 가스 박스 MFC 보정이 표류(drift)하는 것을 검출하는 것)을 가능케 한다. 작동시키는 동안, 하나 또는 양측 쓰로틀 밸브의 개방된 어퍼쳐는 사용자가 선택한 유량-분배 설정치와, 라인(12)이나 라인(14) 안에서 측정된 유량과 (라인(12, 14) 안에서의 각 유량계 실측치들을 더하여 계산된) 총 유량의 비로부터 결정된 실제 유량 분배 분율의 비교에 근거하여 조정된다. 편리하게는, (점선 박스로 묶여진) 유량계와 쓰로틀 밸브의 조합이 일반적인 질량 유량 조절기를 사용하여 라인(12)이나 라인(14) 또는 둘 다에 구현될 수 있는데, 여기에서 제어 시스템은 라인(12)과 라인(14) 안의 각 MFC로 개별적인 유량 설정치 제어를 전송하여 사용자가 선택한 유량 분배를 얻도록 한다.
라인(12, 14) 안에 일반적인 MFC가 이용되는 경우에, 일반적으로, 마이크로 프로세서(또는 동등한 것) 상에서 수행되는 알고리즘 또는 제어 회로에 의해 하나의 MFC가 활발히 조절되는 동안, 다른 하나의 MFC는 완전히 개방되도록 명령받아서 사용자가 선택한 유량 분배를 달성하는 것이 바람직하다. 이것은 총 혼합 유량이 총 혼합 유출량과 같아지는 것을 보장하므로, 챔버 안에서의 궁극적인 공정 결과에 영향을 끼칠 수 있는, 라인(12, 14) 안의 MFC를 거슬러 올라가는 가스의 불필요하거나 과도한 저장이 없도록 한다. 게다가, 이것은 제어 불안정성에 이르게 할 수 있는, 가능한 가스 공급에 대해 MFC끼리 활발히 경쟁할 가능성을 제거한다. 라인(12, 14) 안의 MFC가 동일하다고 가정하면 (예를 들어, 동일한 유량 보정, 용량, 동일한 압력 강하 등), 다른 라인 상의 MFC가 그 라인 안에서 더 낮은 유량을 달성하기 위해, 설정치와 각자의 유량 실측치에 근거하여 활발히 조절되는 동안에, 가장 큰 설정치가 요구되는 라인 상의 MFC는 통상적으로는 완전히 개방된 조건으로 조절될 것이다. 이것은 다음과 같이 설명될 수 있다. MFC가 동일하고 둘 다 완전히 개방된 위치로 조절된다면, 각 라인으로의 유량 분율은 50%가 될 것이다. 예를 들어 라인(12) 안에서 50% 이상을 얻으려면, (부분적으로 라인(14)의 쓰로틀 밸브를 부분적으로 폐쇄함으로써) 라인(14)의 유량 전달율을 감소시켜야 한다. 이러한 조치는 혼합 분기관 압력의 근소한 증가를 일으킬 수 있는데, 주어진 라인 안의 유량이 그 라인 안에서의 압력 강하에 비례하기 때문이다. 설명을 위한 목적에서, 완전히 개방된 위치로 조절되는 MFC를 "주(master)" MFC라 칭하고, 활발히 조절되는 MFC를 "종(slave)" MFC라 칭한다.
실제적으로는, 유량 조절이나 보정이 동일하다 할지라도, 두 MFC를 가로질러 동일한 압력 강하를 가지는 것은 제조 공차 때문에 흔하지 않다. 사실, 몇몇 상황에서는 원하는 조절 성능을 얻기 위하여 서로 다른 MFC 범위를 사용하는 것이 유용할 수 있다. 예를 들어, 250 sccm MFC를 가진 라인 안에서의 작은 유량(예를 들어 100sccm 이하)에 대하여 4배 나은 유량 조절 정확도를 제공하기 위하여, 1000 sccm과 250 sccm MFC의 조합을 사용할 수 있다. MFC가 전형적으로 전체 눈금 범위의 오로지 몇 퍼센트 아래의 유량을 정확하게 조절하기 때문에, 낮은 범위 MFC를 가진 라인 안에서 더 나은 해상도가 얻어진다. (MFC의 내부 PID 튜닝이 이러한 차이를 보상할 것이다.) 따라서, 주어진 유량 분배 설정치에 대하여 어떤 MFC가 주가 되고 어떤 MFC가 종이 될 것인지는, 일반적으로 완전히 개방된 위치로 조절되는 양쪽 MFC와 함께 사용되는 특정 MFC의 자연적인 유량 분배를 측정하는 보정 실험에 근거하여 결정될 수 있다. 뿐만 아니라, 이 자연적인 유량 분배는 뿐만 아니라 흘려지는 가스의 종류와, 사용되는 MFC의 상대적인 MFC 범위(예를 들어 1000과 250 sccm MFC 대 1000과 1000 MFC)의 함수일 수 있으므로, 주어진 조건에 맞는 적절한 주와 종 MFC를 선택하기 위해 참조 테이블을 사용하는 것이 필요하다. 게다가, 제어 알고리즘은 정확한 주(완전히 개방된) MFC가 선택되었는지 평가하고 사용자가 선택한 유량 분배 오차 허용도 등에 근거해 필요한 주 선택을 조정하기 위한 제어 루프를 체크하는 것을 포함할 수 있다.
이 구현예를 위한 전체적인 제어 알고리즘(주/종 체크가 아닌)은 도 4에 도 시된 순서도에 요약되어 있다. 도 4는 공정 챔버의 적어도 두 개의 다른 구역으로의 유량을 독립적으로 조절하기 위해 수행되는 기본적인 기능의 순서도를 도시한다. S10은 총 유량과 혼합 가스 분배를 위한 설정치를 입력하는 단계이고, S11은 (처리되는 웨이퍼의 중심부와 가장자리와 같은) 각 가스 운반 라인 안의 실제 유량을 실측하여 총 유량을 결정하는 단계이고, S12는 유량 분배 설정치와 총 유량에 근거하여 각 가스 운반 라인을 위한 목표 유량을 계산하는 단계이며, S13은 주와 종(조절되는) 유량 조절기를 선택하는 단계이고, S14는 목표 유량에 맞추기 위한 종 유량 조절기 설정치를 계산하는 단계이며(이 단계는 현재 유량 분배 에러를 계산하고 새로운 설정치 계산으로의 PID 보상을 구현함으로써 목표 유량에 도달하는 것을 가속하기 위해 취해질 수 있다), S15는 (처리되는 웨이퍼의 중심부와 가장자리와 같은) 각 가스 운반 라인 유량 조절기로 설정치를 기록하는 단계이다. 분배 공급 조절의 응답 시간은 종 MFC의 계산된 목표 유량에 PID 보상을 더함으로써 더 개선될 수 있다. 예를 들어, 새로운 종 설정치에 비례 보상을 더하는 것은 다음 등식으로부터 새로운 설정치를 계산함으로써 달성될 수 있다.
새로운 종 MFC 유량 설정치 = 종 MFC 목표 유량 + 비례 이득*(종 MFC 목표 유량 - 종 MFC 실제 유량)
도 5는 본 발명의 제3 실시예를 도시하는데, 여기에서 공정 공급 가스는 하나의 입력과 두 개의 출력을 가진 쓰로틀 밸브(46)를 사용하여 분배된다. 여기에서 조절 설정치는 소정의 밸브 보정에 근거하여 각 구간으로부터의 유량 배출 분율을 선택한다. 이 구현예에 있어서의 하나의 한계는 밸브 분배 분율의 보정이 가스 조 성과 유량에 의존한다는 것이다. 각 운반 채널 안에서의 상대적인 유량을 모니터하기 위한 유량계가 없으면, 주어진 유량 하의 주어진 가스 혼합물에 대한 유량 분배의 정확도가 서로 다른 공정 조건에 대해 변화할 것이다. 인-라인 유량계의 결핍은 유량 막힘이나 웨이퍼 공정 결과가 표류하게 할 수 있는 보정 표류와 같은 상황의 오류 검출도 방지한다. 이러한 구현예의 다른 단점은 현재 하나의 입력과 두 개의 출력을 가진 쓰로틀 밸브의 상업적 입수 가능성이, 상업적인 질량 유량계, 질량 조절 밸브, 및 질량 유량 조절기의 널리 보급된 입수가능성에 비해 떨어진다는 것이다.
도 6은 본 발명의 제4 실시예에 따른 가스 분산 설비로, 분배 밸브의 피드백 제어뿐만 아니라 오류 검출 능력을 허용하기 위해, 도 5의 구현예에 있어서의 각 가스 운반 라인 안에 적어도 하나의 유량계(42, 34)를 제공함으로써 보강시킨 것을 도시한다. 도 6에서 하나의 유량계만이 사용된다면, 총 유량 측정치는 가스 박스 안의 MFC의 유량 실측치들을 더하여 결정될 수 있다. 두 개의 유량계가 사용된다면, 총 유량은 라인(12, 14) 안의 유량계에 의해 측정된 유량을 더하여 결정될 수 있다.
도 7a는 본 발명의 제5 실시예에 따른 가스 분산 설비를 도시한 도면이다. 여기에서 가스 분기관(28)으로부터의 가스는 최상단 가스 공급 라인(12)과 주변 가스 공급 라인(14)으로 공급되기 위해 분배되는데, 각각은 유량계(42, 34)와 피드백 제어되는 쓰로틀 밸브(44, 36)를 포함한다. 도시된 실시예에서, 최상단 가스 공급은 미합중국 특허 제4,948,458호(본 명세서에 원용되어 통합된다)에 도시된 챔버의 유전체 윈도우 중심부로 공급될 수 있으며 주변 가스 공급은 윈도우 아래의 가스 링으로 공급될 수 있다.
도 7b는 본 발명의 제6 실시예에 따른 가스 분산 설비를 도시한 도면으로서, 가스는 두 구역 샤워 헤드에 공급되며, 하나의 예가 공동 소유의 미합중국 출원 제09/343,690호(P510)에 설명되어 있고, 본 명세서에 원용되어 통합된다. 도시된 바와 같이, 가스 주입 시스템은 가스 분기관(28)으로부터의 가스를 가스 공급 라인(50)을 통해 중심 플리넘(plenum)으로 공급하고, 가스 공급 라인(52)을 통해 중심 플리넘 외측에 배치된 환상의 외부 플리넘으로 공급한다. 중심 플리넘은 원형 플리넘 또는 외부 플리넘보다 작은 직경의 환상 플리넘과 같은 다양한 구성을 가질 수 있다.
도 8은 본 발명의 제7 실시예에 따른 가스 분산 설비로, 혼합 분기관의 하류에 있는 하나 이상의 고정 오리피스 또는 유량 어퍼쳐가 공정 챔버 안의 복수개의 위치에 공급되는 가스를 분배하기 위해 사용되는 설비를 도시한다. 도 8의 설비는 중심과 가장자리 가스 주입이 사용되는 평판 디스플레이 식각 장비 안에 구현되어 왔다. 중심 가스 공급 라인은 단일 중심 가스 주입기를 공급하는 라인 안에 삽입된 고정 오리피스를 가진 반면, 가장자리 공급 라인은 고정된 오리피스가 없고 복수개의 가장자리 주입기에 공급한다. 중심 주입기 안의 고정 오리피스의 목적은 챔버 중심부로의 가스 공급을 제한하기 위한 것이다. 다시 말해, 고정 오리피스가 없으면 챔버의 중심부로의 유량 비율이 원하는 것보다 커질 것이다.
본 발명에 따른 가스 분산 시스템은 고밀도 플라즈마 반응기에 사용될 수 있 다. 이러한 플라즈마 반응기는 고밀도 플라즈마를 발생시키기 위하여 전형적으로 RF 에너지, 마이크로파 에너지, 자기장 등을 사용하는 고에너지 소오스를 갖는다. 예를 들어, 고밀도 플라즈마는 유도 결합 플라즈마 반응기라고도 불리는 트랜스포머 결합 플라즈마(TCPTM), 전자-사이크로트론 공명기(ECR) 플라즈마 반응기, 헬리콘 플라즈마 반응기 또는 이와 유사한 곳에서 발생될 수 있다. 고밀도 플라즈마를 제공할 수 있는 대량의 플라즈마 반응기의 예가 공동 소유인 미합중국 특허 제5,820,723호에 개시되어 있으며, 그 개시 내용은 본 명세서에 원용되어 통합된다.
본 발명에 따른 가스 분산 시스템은 플라즈마 식각 공정에 사용될 수 있는데, 여기에서 전술한 실시예의 제1 및 제2 가스 공급부를 통해 공급되는 공정 가스 조건은 상대적으로 변화될 수 있으며, 예를 들면 트렌치의 식각시에는 아르곤, 산소 및 불화탄화수소(예: CHF3 및 C4F8)의 혼합가스를 공급하고, 비아의 식각시에는 웨이퍼 중심 영역으로의 혼합 가스의 유량을 감소시킴으로써 웨이퍼 중심 영역으로의 산소의 유량을 감소시킬 수 있다. 저유전율의 유전체막을 식각할 경우에는 공정 가스는 C2H4 등의 탄화수소 가스를 포함할 수 있고 산소 가스에 대한 탄화수소 가스의 유량 비율을 방사상으로 변화시켜 균일한 식각을 달성할 수 있다. 따라서, 본 발명에 따르면 웨이퍼의 중심과 가장자리로의 가스의 유량을 조정하여 플라즈마 챔버 내에서 가장자리가 빨리 식각되거나 중심부가 빨리 식각되는 것을 보상할 수 있다. 예를 들면, 종래의 플라즈마 식각기에서는 포토레지스트가 침식될 때까지 가장 자리가 빨리 식각되는 조건이 발생한 후에 중심부가 빨리 식각되는 조건이 발생할 수 있다. 본 발명에 따른 가스 분산 장치의 경우, 웨이퍼가 포토레지스트막을 구비할 경우에는 중심부에 보다 많은 산소를 공급할 수 있는 반면 포토레지스트막이 침식되어 없어진 후에는 중심부로의 산소의 유량을 감소시킬 수 있다. 그 결과, 가장자리가 빨리 식각되는 조건과 중심부가 빨리 식각되는 조건을 보상함으로써 보다 균일한 식각을 달성할 수 있다.
본 발명은 바람직한 실시예를 참조하여 설명되어 있다. 그러나, 본 발명의 사상으로부터 이탈됨이 없이 상기에서 서술한 것과 다른 특별한 형태로 본 발명을 실현하는 것이 가능하다는 것은 당업계의 숙련가에게 있어서는 명백한 일일 것이다. 상기 바람직한 실시예는 설명적인 것이며 한정적인 것으로 생각되어서는 아니 된다. 본 발명의 요지는 전술한 발명의 상세한 설명보다는 첨부한 클레임에 의해 주어지며, 클레임들의 범위 내에 놓이는 모든 변형들 및 등가물들은 그 내에 수용되는 것으로 의도되어진다.
본 발명의 가스 분산 시스템은, 공정 챔버 안의 다수개의 구역으로 운반되는 공정 공급 가스의 분율을 실시간으로 조절하는 능력을 제공할 수 있어서, 공정 챔버 안에 중성 가스 조성을 만들어서 전체 웨이퍼에 걸쳐 균일한 공정 (예를 들어 식각) 결과를 얻는 것을 돕는다. 이것은 집적 회로 제조에 있어서 대구경의 웨이퍼가 사용되고 소자 상의 선폭이 지속적으로 축소되는, 진보된 반도체 공정 설비에 특히 유용한 특징이다.

Claims (29)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 복수개의 가스 공급부;
    상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관(manifold);
    혼합 가스를 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인으로서, 상기 챔버 안의 제1구역으로 상기 혼합 가스를 운반하는 제1 가스 공급라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함하는 가스 공급 라인;
    상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록, 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제1 컨트롤 밸브 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제2 컨트롤 밸브 또는 상기 제1 컨트롤 밸브와 상기 제2 컨트롤 밸브;
    상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제1 유량 측정 장치 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제2 유량 측정 장치 또는 상기 제1 유량 측정 장치와 상기 제2 유량 측정 장치; 및
    상기 제1 유량 측정 장치 및 제2 유량 측정 장치 중 하나 이상에 의해 측정된 상기 유량에 응답하여 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상을 작동시키는 컨트롤러를 포함하고,
    상기 가스 분산 시스템은 상기 제1 및 상기 제2 컨트롤 밸브, 및 상기 제1 및 상기 제2 유량 측정 장치를 포함하며, 상기 제1 컨트롤 밸브와 제1 유량 측정 장치는 상기 제1 가스 공급 라인 상에 위치하고 상기 제2 컨트롤 밸브와 제2 유량 측정 장치는 상기 제2 가스 공급 라인 상에 위치하는 것을 특징으로 하는 반도체 기판 처리 공정에 이용되는 반응 챔버에 유용한 가스 분산 시스템.
  5. 삭제
  6. 복수개의 가스 공급부;
    상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관(manifold);
    혼합 가스를 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인으로서, 상기 챔버 안의 제1구역으로 상기 혼합 가스를 운반하는 제1 가스 공급라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함하는 가스 공급 라인;
    상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록, 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제1 컨트롤 밸브 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제2 컨트롤 밸브 또는 상기 제1 컨트롤 밸브와 상기 제2 컨트롤 밸브;
    상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제1 유량 측정 장치 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제2 유량 측정 장치 또는 상기 제1 유량 측정 장치와 상기 제2 유량 측정 장치; 및
    상기 제1 유량 측정 장치 및 제2 유량 측정 장치 중 하나 이상에 의해 측정된 상기 유량에 응답하여 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상을 작동시키는 컨트롤러를 포함하고,
    상기 제1 컨트롤 밸브는 상기 혼합 가스를 제1 비율로 상기 제1 가스 공급 라인으로 운반하고 상기 혼합 가스를 제2 비율로 상기 제2 가스 공급 라인으로 운반하게 작동시킬 수 있는 가변 분배(splitter) 밸브를 포함하는 것을 특징으로 하는 반도체 기판 처리 공정에 이용되는 반응 챔버에 유용한 가스 분산 시스템.
  7. 제6항에 있어서, 상기 가스 분산 시스템은 제1 및 제2 컨트롤 밸브 및 제1 및 제2 유량 측정 장치를 포함하고, 상기 컨트롤러는 상기 제1 및 제2 유량 측정 장치에 의해 측정된 상기 유량에 응답하여 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브를 작동시키는 것을 특징으로 하는 가스 분산 시스템.
  8. 복수개의 가스 공급부;
    상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관(manifold);
    혼합 가스를 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인으로서, 상기 챔버 안의 제1구역으로 상기 혼합 가스를 운반하는 제1 가스 공급라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함하는 가스 공급 라인;
    상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록, 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제1 컨트롤 밸브 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제2 컨트롤 밸브 또는 상기 제1 컨트롤 밸브와 상기 제2 컨트롤 밸브;
    상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제1 유량 측정 장치 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제2 유량 측정 장치 또는 상기 제1 유량 측정 장치와 상기 제2 유량 측정 장치; 및
    상기 제1 유량 측정 장치 및 제2 유량 측정 장치 중 하나 이상에 의해 측정된 상기 유량에 응답하여 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상을 작동시키는 컨트롤러를 포함하고,
    상기 가스 분산 시스템은 상기 제1 가스 공급 라인 상에 위치한 제1 컨트롤 밸브와 상기 제2 가스 공급 라인 상에 위치한 제2 컨트롤 밸브를 포함하고, 상기 컨트롤러는 완전히 개방된 위치에 있도록 상기 제1 컨트롤 밸브를 작동시키고 상기 제1 가스 공급 라인 안에서보다 상기 제2 가스 공급 라인 안에서 더 낮은 유량을 제공하도록 상기 제2 컨트롤 밸브를 작동시키는 것을 특징으로 하는 반도체 기판 처리 공정에 이용되는 반응 챔버에 유용한 가스 분산 시스템.
  9. 복수개의 가스 공급부;
    상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관(manifold);
    혼합 가스를 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인으로서, 상기 챔버 안의 제1구역으로 상기 혼합 가스를 운반하는 제1 가스 공급라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함하는 가스 공급 라인;
    상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록, 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제1 컨트롤 밸브 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 제2 컨트롤 밸브 또는 상기 제1 컨트롤 밸브와 상기 제2 컨트롤 밸브;
    상기 제1 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제1 유량 측정 장치 또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 제2 유량 측정 장치 또는 상기 제1 유량 측정 장치와 상기 제2 유량 측정 장치; 및
    상기 제1 유량 측정 장치 및 제2 유량 측정 장치 중 하나 이상에 의해 측정된 상기 유량에 응답하여 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상을 작동시키는 컨트롤러를 포함하고,
    상기 가스 분산 시스템은 상기 제1 가스 공급 라인 상에 위치한 제1 컨트롤 밸브와 상기 제2 가스 공급 라인 상에 위치한 제2 컨트롤 밸브를 포함하고, 상기 컨트롤러는 완전히 개방된 위치에 있도록 상기 제1 컨트롤 밸브를 작동시키고 상기 제1 가스 공급 라인을 통해 더 많은 유량을 가하도록 상기 제2 컨트롤 밸브를 작동시키는 것을 특징으로 하는 반도체 기판 처리 공정에 이용되는 반응 챔버에 유용한 가스 분산 시스템.
  10. 제4항에 있어서, 상기 가스 공급부와 상기 혼합 분기관 사이에 상기 가스 공급부로부터 상기 혼합 분기관으로 공급되는 가스의 유량을 조절하는 질량 유량 조절기를 더 포함하는 것을 특징으로 하는 가스 분산 시스템.
  11. 제4항에 있어서, 상기 챔버는 상기 혼합 가스를 플라즈마 상태로 에너지화시키는 데에 RF 에너지가 사용되는 플라즈마 식각 챔버를 포함하는 것을 특징으로 하는 가스 분산 시스템.
  12. 복수개의 가스 공급부, 상기 복수개의 가스 공급부로부터 공급되는 가스가 혼합되는 혼합 분기관, 상기 혼합 가스를 챔버 안의 서로 다른 구역으로 운반하는 복수개의 가스 공급 라인으로서, 상기 챔버 안의 제1 구역으로 상기 혼합 가스를 운반하는 제1 가스 공급 라인과 상기 챔버 안의 제2 구역으로 상기 혼합 가스를 운반하는 제2 가스 공급 라인을 포함하는 가스 공급 라인, 상기 제1 및 제2 가스 공급 라인 안에서 상기 혼합 가스의 원하는 유량 비율이 달성되도록 상기 제1 및/또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 조절하는 적어도 하나의 컨트롤 밸브, 상기 제1 및/또는 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하는 적어도 하나의 유량 측정 장치, 및 상기 적어도 하나의 유량 측정 장치에 의해 측정된 상기 유량에 응답하여 상기 적어도 하나의 컨트롤 밸브를 작동시키는 컨트롤러를 포함하는 가스 분산 시스템의 반응 챔버에서 기판을 처리하는 방법으로서,
    상기 반응 챔버에 반도체 기판을 제공하는 단계;
    상기 제1 유량 측정 장치 및 제2 유량 측정 장치 중 하나 이상으로 상기 제1 가스 공급 라인 및 제2 가스 공급 라인 중 하나 이상 안의 혼합 가스의 유량을 측정하는 단계; 및
    상기 제1 유량 측정 장치 및 제2 유량 측정 장치 중 하나 이상에 의해 측정된 상기 유량에 응답하여 상기 컨트롤러에 의해 상기 제1 컨트롤 밸브 및 상기 제2 컨트롤 밸브 중 하나 이상을 조정한 상태에서, 상기 혼합 가스를 상기 제1 및 제2 구역으로 공급함으로써 상기 기판을 처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  13. 제12항에 있어서, 상기 기판을 처리하는 동안에 상기 제1 가스 공급 라인 안의 상기 혼합 가스 유량이 제1 설정치에서 제2 설정치로 변화되도록 상기 컨트롤러가 상기 제1 컨트롤 밸브를 작동시키는 것을 특징으로 하는 기판 처리 방법.
  14. 제12항에 있어서, 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 상기 유량을 측정하고, 상기 제1 컨트롤 밸브가 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 상기 유량을 조절하도록 조정하는 것을 특징으로 하는 기판 처리 방법.
  15. 제12항에 있어서, 상기 가스 분산 시스템은 상기 제1 가스 공급 라인 안의 제1 컨트롤 밸브와 상기 제2 가스 공급 라인 안의 제2 컨트롤 밸브를 포함하고, 상기 방법은 상기 제1 및 제2 가스 공급 라인 안의 상기 혼합 가스의 상기 유량을 측정하고 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상이 상기 제1 및 제2 가스 공급 라인 안의 상기 혼합 가스의 상기 유량을 조절하도록 조정하는 단계를 포함하는 특징으로 하는 기판 처리 방법.
  16. 제12항에 있어서, 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 상기 유량을 측정하는 단계, 상기 제1 컨트롤 밸브가 상기 제1 가스 공급 라인 안의 상기 혼합 가스의 상기 유량을 조절하도록 조정하는 단계, 및 상기 제2 가스 공급 라인 상의 유량 제한 소자를 통해 상기 혼합 가스를 향하게 하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  17. 제12항에 있어서, 상기 제1 컨트롤 밸브는 가변 분배 밸브를 포함하고, 상기 방법은 상기 가변 분배 밸브가 상기 혼합 가스를 제1 비율로 상기 제1 가스 공급 라인으로 운반하고 상기 혼합 가스를 제2 비율로 상기 제2 가스 공급 라인으로 운반하도록 작동시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  18. 제17항에 있어서, 상기 제1 유량 측정 장치는 상기 제1 및 제2 가스 공급 라인 안의 상기 혼합 가스의 유량을 측정하고, 상기 측정된 유량에 응답하여 상기 제1 컨트롤 밸브를 작동시키는 것을 특징으로 하는 기판 처리 방법.
  19. 제12항에 있어서, 상기 반도체 기판은 실리콘 웨이퍼를 포함하고, 상기 방법은 상기 웨이퍼 상의 유전체막, 반도체막 또는 도전막을 건식 식각하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  20. 제12항에 있어서, 상기 방법은 반도체 기판 상에 물질막을 증착하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  21. 제12항에 있어서, 상기 가스 공급부로부터 상기 혼합 분기관 안으로 공급되는 가스의 유량을 조절하기 위하여 상기 가스 공급부와 상기 혼합 분기관 사이에서 질량 유량 조절기를 작동시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  22. 제12항에 있어서, 상기 챔버는 RF 에너지가 상기 챔버 안으로 유도 결합되는 플라즈마 식각 챔버를 포함하고, 상기 방법은 상기 플라즈마로 상기 기판을 식각하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  23. 제12항에 있어서, 상기 챔버는 상기 챔버 안에서 플라즈마가 생성되는 플라즈마 식각 챔버를 포함하고, 상기 방법은 상기 플라즈마로 상기 기판 상의 실리콘 이산화막, 알루미늄막 또는 다결정 실리콘막을 식각하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  24. 제12항에 있어서, 상기 챔버는 상기 챔버 안에서 플라즈마가 생성되는 플라즈마 식각 챔버를 포함하고, 상기 방법은 Cl2, HCl3 및 HBr로부터 선택된 하나 이상의 할로겐 가스와 O2, N2, 또는 CHF3와 CF4로부터 선택된 불화탄화수소를 상기 혼합 분기관 안에서 혼합하는 단계와, 상기 플라즈마로 상기 기판을 식각하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  25. 제12항에 있어서, 상기 챔버는 상기 챔버 안에서 플라즈마가 생성되는 플라즈마 식각 챔버를 포함하고, 상기 방법은 불화탄화수소를 O2 또는 C2H4 와 혼합하는 단계, 상기 혼합 가스를 플라즈마 상태로 에너지화시키는 단계와, 상기 플라즈마로 상기 기판을 식각하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  26. 제12항에 있어서, 상기 컨트롤러는 상기 혼합 분기관으로 상기 가스 공급부에 의해 공급되는 총 가스 유량을 모니터하고 상기 가스 공급 라인 중의 하나 안에서 측정된 가스 유량과 상기 총 유량을 상기 제2 가스 공급 라인을 위한 목표 유량과 비교하고, 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상은 상기 제1 및 제2 가스 공급 라인 안의 상기 원하는 유량 비율을 달성하도록 상기 컨트롤러에 의해 반복적으로 조정되는 것을 특징으로 하는 기판 처리 방법.
  27. 제26항에 있어서, 제1 및 제2 질량 유량 조절기를 이용하고, 상기 제1 질량 유량 조절기는 완전히 개방된 위치로 작동시키고, 상기 제2 질량 유량 조절기 설정치는 반복적으로 조정하는 것을 특징으로 하는 기판 처리 방법.
  28. 제26항에 있어서, 제1 및 제2 질량 유량 조절기를 이용하고, 현재 유량 실측치에 상기 현재 및 목표 유량 사이의 차의 배수를 더한 것에 기초한 새로운 설정치를 상기 질량 유량 조절기에 반복적으로 적용함으로써 상기 분배 가스 유량 정착 시간을 감소시키는 것을 특징으로 하는 기판 처리 방법.
  29. 제4항에 있어서, 상기 컨트롤러는 상기 챔버 내에서 반도체 기판을 처리하는 동안에 상기 복수개의 가스 공급 라인 중의 하나 이상으로 운반되는 상기 혼합 가스의 비율이 제1 설정치에서부터 제2 설정치로 변화되도록 상기 제1 컨트롤 밸브 및 제2 컨트롤 밸브 중 하나 이상을 작동시키는 컴퓨터 또는 프로그램할 수 있는 논리 소자를 포함하는 것을 특징으로 하는 가스 분산 시스템.
KR1020037004813A 2000-10-06 2001-09-26 반도체 처리 공정을 위한 가스 분산 장치 KR100725615B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/680,319 US6333272B1 (en) 2000-10-06 2000-10-06 Gas distribution apparatus for semiconductor processing
US09/680,319 2000-10-06
PCT/US2001/030178 WO2002031858A2 (en) 2000-10-06 2001-09-26 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
KR20040004391A KR20040004391A (ko) 2004-01-13
KR100725615B1 true KR100725615B1 (ko) 2007-06-07

Family

ID=24730618

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037004813A KR100725615B1 (ko) 2000-10-06 2001-09-26 반도체 처리 공정을 위한 가스 분산 장치

Country Status (8)

Country Link
US (2) US6333272B1 (ko)
EP (1) EP1323178A2 (ko)
JP (2) JP4838971B2 (ko)
KR (1) KR100725615B1 (ko)
CN (1) CN100358080C (ko)
AU (1) AU2001296338A1 (ko)
TW (1) TW522483B (ko)
WO (1) WO2002031858A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP2020535666A (ja) * 2017-09-26 2020-12-03 ラム リサーチ コーポレーションLam Research Corporation パルス幅変調されたドーズ制御のためのシステムおよび方法

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
JP4451566B2 (ja) 1998-10-30 2010-04-14 バーネットエックス インコーポレーティッド 保証されたシステム可用性を有する安全な通信のためのアジル・ネットワーク・プロトコル
US7418504B2 (en) 1998-10-30 2008-08-26 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6826616B2 (en) 1998-10-30 2004-11-30 Science Applications International Corp. Method for establishing secure communication link between computers of virtual private network
US10511573B2 (en) 1998-10-30 2019-12-17 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6502135B1 (en) 1998-10-30 2002-12-31 Science Applications International Corporation Agile network protocol for secure communications with assured system availability
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE10057824A1 (de) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Vorrichtung und Verfahren zur Anpassung einer Ionenstrahlfleckgröße in der Tumorbestrahlung
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20030013212A1 (en) * 2001-07-10 2003-01-16 Mitchell Bradley Dale System and method for removing deposited material from within a semiconductor fabrication device
JP3961262B2 (ja) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線発生装置
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
KR100825103B1 (ko) * 2002-05-16 2008-04-25 삼성전자주식회사 액정 표시 장치 및 그 구동 방법
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6948508B2 (en) 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7809473B2 (en) 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6843139B2 (en) * 2003-03-12 2005-01-18 Rosemount Inc. Flow instrument with multisensors
JP4454964B2 (ja) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
CN100545303C (zh) * 2003-08-20 2009-09-30 维高仪器股份有限公司 用于竖流型转盘式反应器的烷基挤出流
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050075685A1 (en) * 2003-10-02 2005-04-07 Forsberg John W. Medical device programmer with infrared communication
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US20060065523A1 (en) * 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
JP2006253696A (ja) * 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7535688B2 (en) * 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
TWI402098B (zh) * 2005-06-22 2013-07-21 Advanced Tech Materials 整合式氣體混合用之裝置及方法
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
EP2813294A1 (en) 2005-08-30 2014-12-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
KR100766132B1 (ko) * 2005-08-31 2007-10-12 코바렌트 마테리얼 가부시키가이샤 가스 분산판 및 그 제조방법
JP4911982B2 (ja) * 2006-02-06 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US8067061B2 (en) 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US20090137192A1 (en) * 2007-11-28 2009-05-28 Mks Instruments, Inc. Multi-zone pressure control system
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
WO2009085866A2 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Gas transport delay resolution for short etch recipes
US20090178714A1 (en) * 2008-01-14 2009-07-16 Tokyo Electron Limited Flow control system and method for multizone gas distribution
WO2009102762A2 (en) 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
WO2010062345A2 (en) * 2008-10-31 2010-06-03 Lam Research Corporation Lower electrode assembly of plasma processing chamber
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
JP3178295U (ja) 2009-09-10 2012-09-13 ラム リサーチ コーポレーション プラズマ処理装置の交換式上部チャンバ部品
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
KR101772723B1 (ko) * 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
CN102091544A (zh) * 2010-12-09 2011-06-15 中国人民解放军军事医学科学院 一种气体调配装置
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US10002747B2 (en) * 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
JP6017170B2 (ja) * 2012-04-18 2016-10-26 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
CN103591458B (zh) * 2012-08-17 2017-04-12 诺发系统公司 气体分配网络中的流量平衡
US9004107B2 (en) 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10114389B2 (en) * 2013-06-28 2018-10-30 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feedback
US10108205B2 (en) * 2013-06-28 2018-10-23 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feed-forward adjustment
JP6193679B2 (ja) * 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR102122113B1 (ko) 2014-10-17 2020-06-29 램 리써치 코포레이션 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
JP2016122346A (ja) * 2014-12-25 2016-07-07 株式会社東芝 空気供給システム
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
DE102015100762A1 (de) * 2015-01-20 2016-07-21 Infineon Technologies Ag Behälterschalteinrichtung und Verfahren zum Überwachen einer Fluidrate
KR101652469B1 (ko) * 2015-02-27 2016-08-30 주식회사 유진테크 다중 가스 제공 방법 및 다중 가스 제공 장치
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US20190047840A1 (en) * 2016-02-23 2019-02-14 Electro Controles Del Noroeste S.A. De C.V. Modular fluid-dosing system and its processes
US10269600B2 (en) * 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
JP6543228B2 (ja) * 2016-08-11 2019-07-10 Ckd株式会社 ガス分流制御システム
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102066776B1 (ko) * 2017-12-11 2020-01-15 임용일 통합 분석 제어기에 의한 질량 유량 제어기 최적화 통합 시스템
EP3521816A1 (fr) * 2018-02-06 2019-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Méthode de contrôle sur site de la qualité des gaz livrés sur un site industriel consommateur utilisant la technique de la conductivité thermique
US10591934B2 (en) * 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US10698426B2 (en) * 2018-05-07 2020-06-30 Mks Instruments, Inc. Methods and apparatus for multiple channel mass flow and ratio control systems
US10801109B2 (en) * 2018-08-29 2020-10-13 Lam Research Corporation Method and apparatus for providing station to station uniformity
CN111341689B (zh) * 2018-12-18 2023-03-10 中微半导体设备(上海)股份有限公司 气体流量控制装置和控制方法及应用该装置的半导体设备
JP7024740B2 (ja) * 2019-01-16 2022-02-24 株式会社デンソー 半導体製造装置
JP7500584B2 (ja) * 2019-02-05 2024-06-17 アプライド マテリアルズ インコーポレイテッド マルチチャネルスプリッタスプール
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
US11289308B2 (en) 2019-09-27 2022-03-29 Samsung Electronics Co., Ltd. Apparatus and method for processing substrate and method of manufacturing semiconductor device using the method
EP4062250A1 (en) * 2019-11-19 2022-09-28 Linde GmbH Smart gas mixer
CN111162004B (zh) * 2019-12-27 2022-08-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 半导体设备内部环境的调整方法、装置和电子设备
SG10202101459XA (en) * 2020-02-25 2021-09-29 Kc Co Ltd Gas mixing supply device, mixing system, and gas mixing supply method
KR20210123128A (ko) * 2020-04-02 2021-10-13 삼성전자주식회사 반도체 장치의 제조에 사용되는 장치
JP2021179739A (ja) * 2020-05-12 2021-11-18 株式会社堀場エステック 流量比率制御システム、成膜システム、異常診断方法、及び異常診断プログラム
CN113205995B (zh) * 2021-05-08 2022-04-08 长鑫存储技术有限公司 气体分配装置、等离子体处理装置、方法及半导体结构
US20230088457A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. Energy efficiency improvement with continuous flow modulation in cluster tool
WO2023132916A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Composition mixture control of efem environment
CN115193277A (zh) * 2022-06-17 2022-10-18 深圳市德明利光电有限公司 一种用于氧化制程的气体混合装置及处理设备
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH06101423B2 (ja) * 1986-07-25 1994-12-12 東京エレクトロン株式会社 半導体ウエハ処理装置
JP2668687B2 (ja) * 1987-11-27 1997-10-27 富士通株式会社 C v d 装 置
US4949670A (en) * 1988-11-04 1990-08-21 Tegal Corporation Method and apparatus for low pressure plasma
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
DE69227575T2 (de) * 1991-12-30 1999-06-02 Texas Instruments Inc Programmierbarer Multizonen-Gasinjektor für eine Anlage zur Behandlung von einzelnen Halbleiterscheiben
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH0628040A (ja) * 1992-07-10 1994-02-04 Sony Corp マスフローコントローラー
US5997950A (en) * 1992-12-22 1999-12-07 Applied Materials, Inc. Substrate having uniform tungsten silicide film and method of manufacture
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JPH11330468A (ja) * 1998-05-20 1999-11-30 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6058729A (en) * 1998-07-02 2000-05-09 Carrier Corporation Method of optimizing cooling capacity, energy efficiency and reliability of a refrigeration system during temperature pull down
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
TW448503B (en) * 1999-03-11 2001-08-01 Toshiba Corp Method for dry etching
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP2020535666A (ja) * 2017-09-26 2020-12-03 ラム リサーチ コーポレーションLam Research Corporation パルス幅変調されたドーズ制御のためのシステムおよび方法
JP7421482B2 (ja) 2017-09-26 2024-01-24 ラム リサーチ コーポレーション パルス幅変調されたドーズ制御のためのシステムおよび方法

Also Published As

Publication number Publication date
JP2004511905A (ja) 2004-04-15
CN1468441A (zh) 2004-01-14
WO2002031858A3 (en) 2002-09-06
EP1323178A2 (en) 2003-07-02
US20020042205A1 (en) 2002-04-11
CN100358080C (zh) 2007-12-26
TW522483B (en) 2003-03-01
JP4838971B2 (ja) 2011-12-14
JP2011233905A (ja) 2011-11-17
AU2001296338A1 (en) 2002-04-22
WO2002031858A2 (en) 2002-04-18
KR20040004391A (ko) 2004-01-13
US6508913B2 (en) 2003-01-21
US6333272B1 (en) 2001-12-25

Similar Documents

Publication Publication Date Title
KR100725615B1 (ko) 반도체 처리 공정을 위한 가스 분산 장치
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US7169231B2 (en) Gas distribution system with tuning gas
KR102688484B1 (ko) 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들
US8313611B2 (en) Gas switching section including valves having different flow coefficients for gas distribution system
US20040050326A1 (en) Apparatus and method for automatically controlling gas flow in a substrate processing system
KR101113776B1 (ko) 반도체 제조 가스 유량 분할기 시스템 및 방법
US20040112540A1 (en) Uniform etch system
US20040103844A1 (en) [gas distributing system for delivering plasma gas to a wafer reaction chamber]
CN116472361A (zh) 使用下游压力感测的升华控制
US20230002901A1 (en) Pressure batch compensation to stabilize cd variation for trim and deposition processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130509

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140514

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150512

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160513

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee