DE4025396A1 - Einrichtung fuer die herstellung eines plasmas - Google Patents

Einrichtung fuer die herstellung eines plasmas

Info

Publication number
DE4025396A1
DE4025396A1 DE4025396A DE4025396A DE4025396A1 DE 4025396 A1 DE4025396 A1 DE 4025396A1 DE 4025396 A DE4025396 A DE 4025396A DE 4025396 A DE4025396 A DE 4025396A DE 4025396 A1 DE4025396 A1 DE 4025396A1
Authority
DE
Germany
Prior art keywords
electrode
sheet
tubes
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE4025396A
Other languages
English (en)
Inventor
Siegfried Dipl Phy Beisswenger
Barbara Dipl Phys Dr Beichler
Michael Dipl Phys Dr Geisler
Stefan Dipl Phys Dr Reineck
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Balzers und Leybold Deutschland Holding AG
Original Assignee
Leybold AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Leybold AG filed Critical Leybold AG
Priority to DE4025396A priority Critical patent/DE4025396A1/de
Priority to US07/603,795 priority patent/US5102523A/en
Publication of DE4025396A1 publication Critical patent/DE4025396A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Description

Die Erfindung betrifft eine Einrichtung für die Herstellung eines Plasmas nach dem Ober­ begriff des Patentanspruches 1.
Bei der Herstellung mikroelektronischer Bauelemente werden auf hochreine Substrate, z. B. reinstes Aluminiumoxid, Al₂O₃ oder hochreine Silizium-Einkristallscheiben isolieren­ de halbleitende oder leitende Schichten aufgetragen. Als Beschichtungsverfahren kommen hierbei in Frage: das Aufdampfen, die Molekularstrahlepitaxie, das Aufsputtern oder Auf­ stäuben und die chemische Dampfabscheidung, auch CVD (= chemical vapour deposition) genannt. Diese Beschichtungsverfahren können durch Ionenbeschuß oder durch die Ein­ wirkung eines Gasentladung modifiziert werden. Man spricht dann von ionen- oder plas­ magestützten Auftragungsverfahren.
Die Gasentladung kann mittels einer Diodenentladung durchgeführt werden, wobei das zu beschichtende Substrat zwischen zwei Elektroden liegt, die ihrerseits an Gleich- oder Wechselspannung angeschlossen sind. Ein Trägergas, das in den Raum zwischen die Elek­ troden eingebracht wird, wird durch das elektromagnetische Feld zwischen den Elektroden ionisiert, so daß sich aus einem Plasma geladene Teilchen auf dem Substrat niederschlagen können.
Es ist auch bekannt, statt zwei Elektroden drei Elektroden vorzusehen. In diesem Fall spricht man von Triodenätzverfahren. Kennzeichnend für die bekannten Dioden- und Trio­ denverfahren ist, daß die einzelnen Elektroden im wesentlichen gleich groß sind (S. J. Fo­ nash: Advances in Dry Etching Processes - A Review, Solid State Technology, 1985, S. 150-158, Fig. 2; US-PS 45 00 563). Es sind weiterhin Trioden bekannt, bei denen wenig­ stens eine Elektrode anders ausgebildet ist als die beiden anderen Elektroden (EP 01 39 835; US-PS 45 85 516). Diese Trioden arbeiten in der Regel mit zwei verschiedenen Span­ nungen, die sich frequenzmäßig voneinander unterscheiden (Rainer Postl: Plasmaätzen in Drei-Elektroden-Zwei-Frequenzen-Reaktor, Productronic 11, 1987, S. 95 bis 98). In der Regel eignen sich die Drei-Elektroden-Verfahren jedoch wenig für die großflächige Auf­ bringung einer SiOx-Struktur auf einem Substrat. Bei diesen Strukturen handelt es sich nämlich um elektrische Nichtleiter, welche die elektrische Ladungen der auftretenden Teilchen nicht abführen können. Es kommt hierdurch zu einer Aufladung des mit gelade­ nen Teilchen beschossenen Substrats. Durch das darin entstehende elektrische Gegenfeld werden die geladenen Teilchen abgebremst, und der erwünschte Oberflächenbeschuß kommt zum Erliegen.
Liegen die Elektroden an hochfrequenten Wechselfeldern, so nimmt das nach außen hin neutrale Plasma gegenüber der beschossenen Elektrode positives Potential an, weil die Elektronen gegenüber den Ionen die höhere Beweglichkeit besitzen. Es gelangen hierdurch mehr Elektronen als Ionen während einer Halbwelle der anliegenden Wechselspannung auf die Elektrode (J. Waldorf, H. Oechsner, H.-J. Füßer: Generation of surface layers and mi­ crostructures with a low energy plasma beam source, Thin Solid Films, 174, 1989, S. 39 bis 44). Damit die Quasi-Neutralität des Plasmas erhalten bleibt, nimmt das Plasma als Ganzes selbst ein positives Potential an, das in etwa der Amplitude der Hochfrequenz- Spannung entspricht.
Um elektrisch schlecht- oder nichtleitende Strukturen großflächig auf einem Substrat nie­ derschlagen zu können, ist bereits eine Ionenquelle bekannt, bei der ein Plasmapotential aus einem Niederdruckplasma auf eine an Hochfrequenzspannung liegende Gitterelektrode beschleunigt wird, deren Öffnungen kleiner als die Dicke der Raumladungsschicht zwi­ schen der Elektrode und dem Plasma ist (DE-PS 37 08 717).
Eine Gegenelektrode erfüllt dabei die Bedingung, daß ihre dem Plasma zugeordnete Fläche ein Mehrfaches der Fläche der extrahierenden Gitterelektrode beträgt. Sie kann dazu in Form eines Metalltopfes mit einer dem Plasma zugewandten Öffnung ausgeführt werden. Nachteilig ist hierbei, daß die kinetische Energie der Ionen nicht variiert werden kann, weil diese Energie einerseits von der anliegenden Hochfrequenzleistung und andererseits vom Verhältnis der Elektrodenflächen zueinander abhängt und zumindest das Flächenverhältnis der Elektroden fest vorgegeben ist.
Der Erfindung liegt die Aufgabe zugrunde, die kinetische Energie der ein Substrat treffenden Ionen unabhängig von der Entladungsleitung einstellen zu können.
Diese Aufgabe wird gemäß den Merkmalen des Patentanspruchs 1 gelöst.
Der mit der Erfindung erzielte Vorteil besteht insbesondere darin, daß durch die Einstel­ lung der Teilchenenergie die Schichtqualität der auf einem Substrat aufgetragenen Schich­ ten verbessert wird. Während für die Optimierung der Depositionsrate die Leistung der zu­ geführten Ionisierungsspannung ein wichtiger Parameter ist, ist die Teilchenenergie für die Schichtqualität ausschlaggebend. Je nach Substrat und Anforderungsprofil der gewünsch­ ten Beschichtung kann vor oder auch während der Beschichtung gezielt das Flächenver­ hältnis der Elektroden verändert werden und damit gleichzeitig die Energie der Ionen, die zum Substrat gelangen. Es ist zum Beispiel möglich, bei empfindlichen Substraten mit einem sanften Prozeß zu beginnen und entweder stufenweise oder kontinuierlich zu stärke­ rem Ionenbeschuß überzugehen, um eine möglichst dichte und harte Schicht aufwachsen zu lassen. Ein weiterer Vorteil der variablen Schichtbehandlung besteht darin, daß die negativen Effekte stark unterschiedlicher Ausdehnungskoeffizienten zwischen Substrat und PECVD-Schicht kompensiert werden können und dickere Schichten - bis etwa 5 µm problemlos haften.
Ein Ausführungsbeispiel der Erfindung ist in der Zeichnung dargestellt und wird im fol­ genden näher beschrieben. Es zeigt
Fig. 1 eine an sich bekannte Diodenanordnung, bei der zwischen zwei an einem Hoch­ frequenzgenerator liegenden Elektroden ein Plasma entsteht;
Fig. 2 eine vereinfachte Darstellung einer Diodenanordnung, bei welcher eine Elek­ trode größer ist als die andere;
Fig. 3 eine seitliche Schnittdarstellung einer erfindungsgemäßen Einrichtung mit einer Elektrode von variabler Oberfläche, die einer Elektrode mit fester Oberfläche gegenüberliegt,
Fig. 4 eine Ansicht von unten auf ein Elektroden-Blech mit mehreren Durch­ bohrungen;
Fig. 5 eine seitliche Schnittdarstellung einer Vorrichtung gemäß Fig. 3, bei welcher ein Gaszuführungsblech als Hohlraum ausgebildet ist.
In der Fig. 1 ist eine an sich bekannte Diodenanordnung zum Beschichten eines Substrats aus einem Plasma dargestellt. Hierbei befinden sich in einer Vakuumkammer 1 zwei ein­ ander gegenüberliegende Elektroden 2, 3, von denen die untere Elektrode 3 als Träger für ein zu beschichtendes Substrat 4 ausgebildet ist. Beide Elektroden 2, 3 sind mit einer hoch­ frequenten Spannungsquelle 5 verbunden, die beispielsweise mit einer Frequenz von 13,56 MHz arbeitet. Durch einen Einlaßstutzen 6 wird ein Gas in die Vakuumkammer 1 einge­ führt und zwischen den Elektroden 2, 3 mit Hilfe der Hochfrequenzspannung ionisiert, so daß sich dort ein Plasma 7 ausbildet. Aus diesem Plasma 7 gelangen Ionen auf das Substrat 4 und werden dort deponiert oder dienen zum Ätzen. Überschüssige Gaspartikel werden über einen Absaugstutzen 8 von einer Pumpe 13 abgesaugt, die auch das notwendige Vakuum in der Kammer 1 aufrechterhält. Die elektrischen Zuleitungen 9, 10 der Spannungsquelle 5 sind durch Isolierungen 11, 12 an die Elektroden 2, 3 herangeführt.
Wie bereits oben erwähnt, bildet sich mit der Zeit zwischen dem Plasma 7 und der Elektro­ de 3 eine Gleichspannung U= heraus, die auf Grund der größeren Beweglichkeit der Elek­ tronen im Vergliech zu den Ionen entsteht. Dem Wechselfeld zwischen den Elektroden 2, 3 ist somit ein Gleichfeld überlagert. Für die Erzeugung des Plasmas 7 ist in erster Linie die Amplitude der anliegenden Wechselspannung 5 verantwortlich. Je höher diese Ampli­ tude ist, um so stärker werden die geladenen Gaspartikel beschleunigt und um so mehr Ionen werden durch den Zusammenstoß mit neutralen Partikeln erzeugt. Maßgebend für den Ionisierungsgrad ist somit die Amplitude der anliegenden Wechselspannung. Die Energie, mit denen die geladenen Teilchen auf dem Substrat 4 auftreffen, hängt von der Geschwindigkeit ab, mit der die auf dem Substrat auftreffen. Diese Geschwindigkeit wird bei den positiv geladenen Ionen in erster Linie durch die Gleichspannung U= bestimmt, und zwar nach der Formel
wobei m die Masse eines geladenen Teilchens, v seine Geschwindigkeit, e seine Ladung und U die zwischen dem Plasma 7 und der Elektrode 3 anstehende Gleichspannung U= ist. Die für die Beschleunigung der Ionen bestimmende Gleichspannung U= entspricht bei ge­ eigneter Wahl des Flächenverhältnisses der Elektrode 2 zur Elektrode 3 der Amplitude der Hochfrequenz-Spannungsquelle 5. Die Ionenenergie kann also auch durch Variation der Amplitude der Spannungsquelle 5 geändert werden.
In der Fig. 2 sind die beiden Elektroden 2 und 3 noch einmal ohne die Vakuumkammer 1 dargestellt, wobei jedoch die Elektrode 2 eine wesentlich größere Oberfläche als die Elek­ trode 3 aufweist. Teilt man die zwischen den beiden Elektroden 2, 3 bzw. am Plasma­ widerstand abfallende Spannung in zwei Teilspannungen U1∼ bzw. U2∼ auf, so gilt
Diese Formel wurde von Maissel und König abgeleitet (Brian Chapman: "Glow discharge processes", 1980, Wiley & Sons).
Wird also die Fläche der Elektrode 2 größer als die der Elektrode 3 gewählt, so fällt prak­ tisch die gesamte Hochfrequenzsspannung zwischen dem Plasma 7 und der Elektrode 3 ab, wodurch auch die Gleichspannung U= groß wird, d. h. die zwischen der Elektrode 2 und dem Plasma 7 entstehende Gleichspannung zwischen dem Plasma 7 und der Elektrode 3 vernachlässigbar klein. Hierdurch wird ein gezieltes Ionenbombardement auf die Elektrode 3 erreicht, das entweder einen Ätzprozeß (Sputtern) in Gang setzt, oder eine wachsende Schicht verdichten kann, wenn auf dieser Elektrode ein Substrat befestigt ist und aus der Gasphase beschichtet wird (CVD). Ferner wird vermieden, daß die Elektrode 2 aus dem Plasma 7 heraus beschichtet oder geätzt wird.
Eine Flächenvariation hat nur dann einen Sinn, wenn mit Frequenzen gearbeitet wird, die so hoch sind, daß die Ionen innerhalb einer Periodenhälfte nicht zur Elektrode gelangen können. Nur so kann die unterschiedliche Beweglichkeit der Ionen und Elektroden genutzt werden und sich an den Elektroden ein dem Flächenverhältnis entsprechendes Potential aufbauen.
In der Fig. 3 ist eine erfindungsgemäße Vorrichtung 20 dargestellt, mit welcher die Elek­ trodenflächen und damit die zwischen Plasma und Elektrode abfallende Gleichspannung verändert werden kann. Diese Vorrichtung 20 weist in einem Gehäuse 21 eine Elektrode 22 auf, die ein Substrat 23 trägt und die über einen Isolator 24 mit dem Gehäuse 21 in Ver­ bindung steht. Die Elektrode 22 ist elektrisch mit einem Hochfrequenzgenerator 25 ver­ bunden, wobei die elektrische Zuleitung 26 durch einen Isolator 27 im Gehäuse 21 geführt ist. Eine Gegenelektrode 28 liegt an dem zweiten Anschluß des Hochfrequenzgenerators 25, dessen Zuleitung 29 ebenfalls durch einen Isolator 30 im Gehäuse 21 geführt ist. Die Gegenelektrode 28 hat im Querschnitt die Form eines rechteckigen Kastens, der mittels zweier Stangen 31, 32 heb- und senkbar ist, die über Dichtungen 33, 34 aus dem Gehäuse 21 ragen. Mit einem Hohlraum 35 der Gegenelektrode 28 ist ein Absaugstutzen 36 über einen Balg 37 isoliert verbunden. Mittels einer nicht dargestellten Pumpe wird über den Absaugstutzen 36 und den Balg 37 das Vakuum im Hohlraum 35 aufrechterhalten. In dem Boden 38 der Gegenelektrode 28 sind mehrere Zuführungsrohre 39 bis 45 eingelassen, die mit ihrem einen Ende in den Hohlraum 35 hineinragen und mit ihrem anderen Ende dem Substrat 23 gegenüberliegen.
In einem Abstand von der Gegenelektrode 28 ist ein feststehendes Blech 46 angeordnet, durch das Rohre 39 bis 45 geführt sind. Oberhalb dieses Blechs 46 befindet sich ein Gas­ zuführungsstutzen 47, über den Reaktivgas von außen in den Raum zwischen Blech 46 und Boden 38 der Gegenelektrode 28 eingeführt wird. Dieses Gas kann durch Öffnungen 48 bis 54 zwischen den Außenwänden der Zuführungsrohre 39 bis 45 in den Raum zwischen Blech 46 und Substrat 23 bzw. Elektrode 22 gelangen. Das Blech 46 liegt über eine elektrische Zuleitung 55, die durch einen Isolator 56 in den Innenraum des Gehäuses 21 geführt ist, an demselben Potential wie die Gegenelektrode 28. Somit besteht die der Elektrode 22 gegenüberliegende Elektrode eigentlich aus der Gegenelektrode 28, den mit dieser elektrisch verbundenen Rohren 39 bis 45 und dem Blech 46.
Das Plasma, welches mit dem Plasma 7 gemäß Fig. 1 vergleichbar ist, entsteht im Raum 57 zwischen Elektrode 22 und Blech 46. Die Beschleunigungsspannung für die Ionen kann nun in erfindungsgemäßer Weise dadurch variiert werden, daß die Gegenelektrode 28 über die Stutzen 31, 32 angehoben oder gesenkt wird. Hierdurch werden auch die Rohre 39 bis 45 angehoben oder gesenkt, was eine Veränderung der Elektrodenoberfläche zur Folge hat, denn diese Oberfläche wird durch das Blech 46 und die aus dem Blech 46 nach unter her­ ausragenden Außenflächen der Rohre 39 bis 45 gebildet. Ein Absenken der Gegen­ elektroden 28 bewirkt demzufolge eine Vergrößerung der Elektrodenfläche, während ein Anheben dieser Gegenelektrode 28 eine Verkleinerung der Elektrodenfläche zur Folge hat. Durch die Hohlräume 58 bis 64 der Rohre 39 bis 45 wird das Vakuum im Prozeßraum auf­ rechterhalten, der sich zwischen Blech 46 und Elektrode 22 befindet. Damit das über den Einlaßstutzen 47 einströmende Gas trotz der Vertikalbewegung der Gegenelektrode 28 nicht nach oben entweicht, sind Abdichtungen 65, 66 zwischen der Gegenelektrode 28 und seitlichen Auflagen 67, 68 vorgesehen, die ihrerseits mit dem Gehäuse 21 in Verbindung stehen.
Das Substrat 23 kann eine relativ große Fläche aufweisen. Eine Flächenbegrenzung könnte allenfalls dann erforderlich sein, wenn die Substratlänge in die Größenordnung der Wellenlänge gelangt, die bei 13,56 MHz ca. 22,11 m beträgt. Deshalb werden große Elek­ troden, d. h. Elektroden ab 2 bis 3 m Länge, unterteilt und mit getrennten Hochfrequenz­ quellen gespeist. Der Absaugstutzen 70 ist normalerweise nicht erforderlich; es muß ledig­ lich gewährleistet sein, daß die Dichtung 66 so ausgeführt ist, daß bei einer Verschiebung des Elektrodenkörpers 28 keine Leckage auftritt. Der Absaugstutzen 70 ist folglich eine Sicherheitsmaßnahme, um bei eventuellen Leckagen noch absaugen zu können.
In der Fig. 4 ist ein Schnitt IV-IV durch das Gehäuse 21 der Fig. 3 dargestellt. Man er­ kennt hierbei die Rohre 39 bis 45 mit ihren Durchbohrungen 58 bis 64 und den die Rohre 39 bis 45 umgebenden Durchtritt 48 bis 54 für das Reaktivgas. Während bei der Vorrich­ tung gemäß Fig. 3 die Gegenelektrode 28 mit den Rohren 39 bis 45 vertikal beweglich und das Blech 46 starr sind, können bei einer Variation der Erfindung selbstverständlich die Verhältnisse umgekehrt werden, ohne daß hierdurch der Effekt der Erfindung verloren­ geht. Hierzu werden beispielsweise am Boden des Gehäuses elektrisch isolierende Ele­ mente vorgesehen, die mit teleskopartig nach oben ragenden Stößeln mit dem Blech 46 in Verbindung stehen. Bei feststehender Gegenelektrode kann dann das Blech 46 nach oben oder nach unten bewegt werden.
Durch die erfindungsgemäße Vorrichtung ist es nicht nur möglich, das Verhältnis der Fläche der Elektrode 22 zur Fläche der wirkamen Gegenelektrode und damit die Spannungsaufteilung im Plasmaraum zu verändern, sondern es kann auch eine gleich­ mäßigere Verteilung des Reaktivgases erzielt werden. Insbesondere bei großflächigen Substraten, z. B. Kraftfahrzeugfenstern, die beschichtet werden sollen, wurden durch die über die ganze Fläche der Elektroden verteilten Reaktivgase gleichmäßigere Beschichtun­ gen erreicht.
Wie sich aus der Fig. 1 ergibt, kann sich das durch den einzigen Einlaßstutzen 6 einströ­ mende Gas nicht gleichmäßig zwischen den Elektroden 2 und 3 verteilen. Dagegen wird das Reaktivgas, welches beim Ausführungsbeispiel der Fig. 3 über den Stutzen 47 einge­ lassen wird, zunächst in den Raum zwischen Blech 46 und Gegenelektrode 28 gegeben, bevor es auf Grund eines vorhandenen Überdrucks durch die Öffnungen 48 bis 54 gleich­ mäßig in den Raum zwischen Substrat 23 und Blech 46 gelangt.
Die große Bedeutung der Erfindung liegt in der großflächigen statischen Beschichtung. Bei einer solchen Beschichtung muß eine hohe Gleichmäßigkeit von Schichtdicke und -eigenschaft gewährleistet sein. Durch die spezielle Anordnung von Gaseinlaß- und -absaugöffnungen wird dieses Ziel erreicht. Gegenüber bekannten Einrichtungen, bei denen der Gaseinlaß über eine perforierte Elektrode erfolgt und das Gas an den Rändern der Elektrode abgepumpt wird, hat die Erfindung den Vorteil, daß eine Verarmung oder Anreicherung des Prozeßgases auf dem Weg zu den Elektrodenrändern vermieden wird und Randeffekte kompensiert werden. Die obere Elektrode ist gewissermaßen in einzelne Matrixelemente unterteilt, die jedes für sich ein geschlossenes Gasführungssystem mit einem Saugstutzen und zugeordneten Gaseinlässen beinhalten und so ein gleichmäßiges Gasangebot und eine kontrollierte Verweilzeit der Prozeßgase in einem definierten Plasmavolumen gewährleisten. Es wird also verhindert, daß lokal unterschiedliche Kon­ zentrationen von verschiedenen Prozeßgasfragmenten auftreten, die für die Eigenschaften der aufzubauenden Schicht von entscheidender Bedeutung sind. Dies ist wichtig bei der großflächigen Herstellung elektronischer Schichtprozesse, z. B. bei der Herstellung von Solarzellen aus amorphem Silizium oder von Dünnfilmdisplays. Mit der Erfindung wird somit nicht nur eine gleichmäßige Schichtdecke, sondern auch eine außergewöhnlich gute Schichtqualität über große Flächen erreicht, und sie bietet durch Hinzufügen weiterer Matrixelemente eine problemlose Skalierbarkeit.
Statt eines dünnen und massiven Blechs 46, wie es in der Fig. 3 dargestellt ist, kann auch ein Hohlblech verwendet werden, wie es die Fig. 5 zeigt. Dort ist die untere Wand 75 die­ ses Hohlblechs mit Öffnungen 76 bis 83 versehen, durch die das aus der Zuführung 47 strömende Gas in den Plasmaraum 57 geleitet wird. Oberhalb der Wand 75 befindet sich eine Wand 84, die mit der Wand 75 das Hohlblech bildet. Der Vorteil dieser Variante be­ steht darin, daß die Toleranzen der Bohrungen im Blech für die Rohre 39 bis 45 kleiner sein können und das Gas durch die Öffnungen 76 bis 83 besser ausströmt. Der Abstand zwischen den Wänden 75, 84 beträgt in der Praxis 10 bis 20 mm. Im Bedarfsfall können die Öffnungen 76 bis 83 auch noch mit zusätzlichen Düsen versehen werden, so daß die Zuführung des Gases in den Raum 57 noch gezielter erfolgt. Das aus den Düsen strömende Gas wird verwirbelt und erst später über die Rohröffnungen 58 bis 64 abge­ saugt.
Die Größe des Raums 35 ist durch die Prozeßparameter vorgegeben. Um eine homogene Absaugung zu erreichen, muß ein Druckgradient innerhalb des Raums vom zentralen Pumpanschluß 37 zu den Elektrodenrändern vermieden werden, d. h. der Leitwert dieses Raums parallel zur Elektrodenfläche muß groß sein. Typischerweise liegt der Abstand zwischen der oberen und der unteren Begrenzung des Raums 35 in der Größenordnung von ca. 5 bis 50 cm.
Wenn das Blech 46 (Fig. 3) als Hohlkörper (Fig. 5) ausgebildet ist, besteht eine Vakuum­ situation, bei welcher Prozeßdruck und Prozeßgas im Entladungsraum 57 und im Zwischenraum zwischen Blech 84 und Elektrode 28 herrschen, während im Pufferraum 35 Vakuum herrscht. Dagegen liegt im Hohlraum hinter der Elektrode 28, wenn keine Pumpe angeschlossen ist, Atmosphärendruck vor. Hierdurch wird eine zusätzliche Dunkelraum­ abschirmung des Elektrodenkörpers 28 nach hinten vermieden, die wegen der damit ver­ bundenen Verluste durch Blindströme nicht wünschenswert ist. In diesem Hohlraum kann gegebenenfalls ein Anpassungsnetzwerk für die HF-Speisung der Elektrode vorgesehen werden.
Der Balg 37, der von der Elektrode 28 über den Stutzen 36 durch das geerdete Gehäuse 21 geht, ist isoliert, damit die Hochfrequenz nicht nach außen gelangt. Weiterhin müssen die seitlichen Auflagen 67, 68 isoliert sein, damit im Hohlraum zwischen dem Blech 46 und der Elektrode 28 kein Plasma brennt.

Claims (12)

1. Einrichtung für die Herstellung eines Plasmas sowie zum Aufbringen von geladenen oder ungeladenen Teilchen auf einem Substrat, mit wenigstens zwei an einer Spannungs­ quelle liegenden flächigen Elektroden und einer Gaszuführung, dadurch gekennzeichnet, daß die Oberfläche wenigstens einer der Elektroden variabel ist.
2. Einrichtung nach Anspruch 1, dadurch gekennzeichnet, daß eine Elektrode (22) orts­ fest ist, eine vorgegebene Oberfläche aufweist und an einem Anschluß einer Spannungs­ quelle (25) liegt.
3. Einrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Elektrode mit der vari­ ablen Oberfläche ein Blech (46) mit Durchbohrungen (48 bis 54) aufweist, wobei durch diese Durchbohrungen (48 bis 54) Rohre (39 bis 45) schiebbar sind, die von dem Plasma­ bereich (57) eine räumliche Verbindung zu einem Vakuumbereich (35) herstellen, und wo­ bei das Blech (46) und die Rohre (39 bis 45) an dem anderen Anschluß der Spannungs­ quelle (25) liegen.
4. Einrichtung nach Anspruch 3, dadurch gekennzeichnet, daß die Rohre in eine Gegen­ elektrode (28) eingelassen sind, deren Abstand zur anderen Elektrode (22) veränderbar ist.
5. Einrichtung nach Anspruch 4, dadurch gekennzeichnet, daß die Gegenelektrode (28) einen Hohlraum (35) aufweist, der über einen Balg (37) mit einer Pumpvorrichtung in Ver­ bindung steht.
6. Einrichtung nach Anspruch 4, dadurch gekennzeichnet, daß die Gegenelektrode (28) mit Hebevorrichtungen (31, 32) in Verbindung steht.
7. Einrichtung nach Anspruch 4, dadurch gekennzeichnet, daß die Elektrode (22) und die Gegenelektrode (28) in einem Gehäuse (21) untergebracht sind, wobei der Innenraum die­ ses Gehäuses (21) durch eine Gasdichtung (65, 66) in einen oberen und einen unteren Be­ reich unterteilt ist und in den unteren Bereich Reaktivgas einströmen kann.
8. Einrichtung nach Anspruch 4, dadurch gekennzeichnet, daß zwischen Blech (46) und Gegenelektrode (28) ein Gaseinlaß (47) vorgesehen ist.
9. Einrichtung nach Anspruch 3, dadurch gekennzeichnet, daß zwischen den Außenwän­ den der Rohre (39 bis 45) und den Innenwänden der Durchbohrungen (48 bis 54) im Blech (46) jeweils ein hinreichend großer Spalt vorgesehen ist, der den Durchtritt von Gasen aus dem Raum zwischen Gegenelektrode (28) im Blech (46) in den Raum zwischen Blech (46) und Elektrode (22) ermöglicht.
10. Einrichtung nach Anspruch 3, dadurch gekennzeichnet, daß das Blech (46) ortsfest ist, während die Rohre (39 bis 45) verschiebbar sind.
11. Einrichtung nach Anspruch 3, dadurch gekennzeichnet, daß das Blech (45 ver­ schiebbar ist, während die Rohre (39 bis 45) ortsfest sind.
12. Einrichtung nach Anspruch 3, dadurch gekennzeichnet, daß das Blech als Hohlblech (75, 84) ausgebildet ist und Ausströmungsöffnungen (76 bis 83) für ein Gas besitzt.
DE4025396A 1990-08-10 1990-08-10 Einrichtung fuer die herstellung eines plasmas Withdrawn DE4025396A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE4025396A DE4025396A1 (de) 1990-08-10 1990-08-10 Einrichtung fuer die herstellung eines plasmas
US07/603,795 US5102523A (en) 1990-08-10 1990-10-25 Arrangement for the production of a plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE4025396A DE4025396A1 (de) 1990-08-10 1990-08-10 Einrichtung fuer die herstellung eines plasmas

Publications (1)

Publication Number Publication Date
DE4025396A1 true DE4025396A1 (de) 1992-02-13

Family

ID=6412021

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4025396A Withdrawn DE4025396A1 (de) 1990-08-10 1990-08-10 Einrichtung fuer die herstellung eines plasmas

Country Status (2)

Country Link
US (1) US5102523A (de)
DE (1) DE4025396A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0786795A3 (de) * 1996-01-17 1998-05-27 Canon Kabushiki Kaisha Dünnfilmherstellungsverfahren und Beschichtungsgerät

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US7264850B1 (en) 1992-12-28 2007-09-04 Semiconductor Energy Laboratory Co., Ltd. Process for treating a substrate with a plasma
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
US5571577A (en) * 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5611426A (en) * 1995-10-12 1997-03-18 Point Group Corporation Packaging assembly for compact discs
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
JP2000260598A (ja) * 1999-03-12 2000-09-22 Sharp Corp プラズマ発生装置
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
JP5165825B2 (ja) * 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100526007B1 (ko) * 2000-12-28 2005-11-08 엘지.필립스 엘시디 주식회사 플라즈마 에칭장치
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
US6764658B2 (en) * 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
DE10239083B4 (de) * 2002-08-26 2009-09-03 Schott Ag Vorrichtung zum Versorgen einer Prozesskammer mit fluiden Medien und deren Verwendung
US7465407B2 (en) * 2002-08-28 2008-12-16 Panasonic Corporation Plasma processing method and apparatus
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7387738B2 (en) * 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US7897029B2 (en) * 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US8361340B2 (en) * 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US20090165716A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for plasma enhanced chemical vapor deposition
JP5211332B2 (ja) * 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
JP5648349B2 (ja) * 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
JP2014209406A (ja) * 2011-07-20 2014-11-06 キヤノンアネルバ株式会社 イオンビーム発生装置、およびイオンビームプラズマ処理装置
KR101498378B1 (ko) 2013-03-22 2015-03-03 서울대학교산학협력단 멀티-스파크 방전 발생기 및 이를 이용한 나노입자 구조체 제조방법
WO2014148727A1 (ko) * 2013-03-22 2014-09-25 재단법인 멀티스케일 에너지시스템 연구단 멀티-스파크 방전 발생기 및 이를 이용한 나노입자 구조체 제조방법
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
JP6210159B2 (ja) * 2014-08-08 2017-10-11 株式会社島津製作所 粒子荷電装置
JP2018038988A (ja) * 2016-09-09 2018-03-15 株式会社島津製作所 粒子濃縮装置
WO2018115335A1 (de) * 2016-12-23 2018-06-28 Plasmatreat Gmbh Düsenanordnung und vorrichtung zur erzeugung eines atmosphärischen plasmastrahls
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
EP0019370A1 (de) * 1979-05-18 1980-11-26 Tegal Corporation Plasma-Reaktor und Verfahren zum Plasma-Ätzen eines Werkstücks in einem solchen Reaktor
US4342901A (en) * 1980-08-11 1982-08-03 Eaton Corporation Plasma etching electrode
DE2241229C2 (de) * 1972-08-22 1983-01-20 Leybold-Heraeus GmbH, 5000 Köln Vorrichtung zum Ätzen von Substraten durch eine Glimmentladung
DE3204911A1 (de) * 1981-10-26 1983-05-05 W.R. Grace & Co., 10036 New York, N.Y. Dimensionsbestaendiger polyurethanschwamm und vorpolymeres fuer die herstellung dieses schwammes
EP0066088B1 (de) * 1981-06-02 1986-11-26 International Business Machines Corporation Perforierte Anode für eine reaktive Ionenätzungsvorrichtung
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
EP0327253A2 (de) * 1988-02-01 1989-08-09 MITSUI TOATSU CHEMICALS, Inc. Filmherstellung, zum Beispiel von amorphem Silizium

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2082505A5 (de) * 1970-03-18 1971-12-10 Radiotechnique Compelec
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
DE3708717A1 (de) * 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
US4961812A (en) * 1988-09-13 1990-10-09 Intel Corporation Etch-back apparatus for integrated circuit failure analysis

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2241229C2 (de) * 1972-08-22 1983-01-20 Leybold-Heraeus GmbH, 5000 Köln Vorrichtung zum Ätzen von Substraten durch eine Glimmentladung
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
EP0019370A1 (de) * 1979-05-18 1980-11-26 Tegal Corporation Plasma-Reaktor und Verfahren zum Plasma-Ätzen eines Werkstücks in einem solchen Reaktor
US4342901A (en) * 1980-08-11 1982-08-03 Eaton Corporation Plasma etching electrode
EP0066088B1 (de) * 1981-06-02 1986-11-26 International Business Machines Corporation Perforierte Anode für eine reaktive Ionenätzungsvorrichtung
DE3204911A1 (de) * 1981-10-26 1983-05-05 W.R. Grace & Co., 10036 New York, N.Y. Dimensionsbestaendiger polyurethanschwamm und vorpolymeres fuer die herstellung dieses schwammes
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
EP0327253A2 (de) * 1988-02-01 1989-08-09 MITSUI TOATSU CHEMICALS, Inc. Filmherstellung, zum Beispiel von amorphem Silizium

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Amsterdam oxford New York Tokyo, 1985, S.37-40 *
MORGAN, Russ A.: Plasma Etching in Semicon- ductor Fabrication. In: Verlag ELSEVIER *
VOSSEN, J.L: Glow Discharche Phenomena in Plasma Etching and Plasma Deposition. In: J.Electrochem. Soc. SSST, Bd.126, No.2, 1979, S.319-324 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0786795A3 (de) * 1996-01-17 1998-05-27 Canon Kabushiki Kaisha Dünnfilmherstellungsverfahren und Beschichtungsgerät

Also Published As

Publication number Publication date
US5102523A (en) 1992-04-07

Similar Documents

Publication Publication Date Title
DE4025396A1 (de) Einrichtung fuer die herstellung eines plasmas
DE4109619C1 (de)
EP0205028B1 (de) Vorrichtung zum Aufbringen dünner Schichten auf ein Substrat
EP0003020B1 (de) Hochfrequenz-Zerstäubungsvorrichtung
EP0235770B1 (de) Vorrichtung zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung
DE3322680C2 (de)
EP0478909B1 (de) Verfahren zur Herstellung einer Diamantschicht und Anlage hierfür
EP0588992B1 (de) Vorrichtung zur plasmaunterstützten bearbeitung von substraten
WO1986007391A1 (en) An apparatus for coating substrates by plasma discharge
DE4039930A1 (de) Vorrichtung fuer plasmabehandlung
DE1790094B1 (de) Verfahren zum aufbringen von duennen nichtleitendenschichten
DE3709175A1 (de) Verfahren und vorrichtung zum aufstaeuben hochohmiger schichten durch katodenzerstaeubung
DE4020816A1 (de) Verfahren und vorrichtung zur duennschichterzeugung
DE4230291C2 (de) Mikrowellenunterstützte Zerstäubungsanordnung
DE3706698A1 (de) Verfahren und anordnung zum zerstaeuben eines materials mittels hochfrequenz
EP0090067B1 (de) Reaktor für das reaktive Ionenätzen und Ätzverfahren
DE4233895C2 (de) Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
DE2241229C2 (de) Vorrichtung zum Ätzen von Substraten durch eine Glimmentladung
DE1765127B2 (de) Vorrichtung zum Dünnfilmaufsprühen mit hochfrequenzangeregter Glimmentladung
DE3241391A1 (de) Hochfrequenz-aetztisch mit elektrisch vorgespanntem einfassungteil
DE102005049266B4 (de) Vorrichtung und Verfahren zur Plasmabehandlung von Objekten
DE102013107659B4 (de) Plasmachemische Beschichtungsvorrichtung
EP1352417B1 (de) Vorrichtung zur plasmagestützten bearbeitung von oberflächen planarer substrate
DE3000451A1 (de) Vakuumbedampfungsanlage
DE4223987C2 (de) Vorrichtung für großflächiges Ionenätzen

Legal Events

Date Code Title Description
OM8 Search report available as to paragraph 43 lit. 1 sentence 1 patent law
8127 New person/name/address of the applicant

Owner name: BALZERS UND LEYBOLD DEUTSCHLAND HOLDING AG, 63450

8141 Disposal/no request for examination