DE4039930A1 - Vorrichtung fuer plasmabehandlung - Google Patents

Vorrichtung fuer plasmabehandlung

Info

Publication number
DE4039930A1
DE4039930A1 DE4039930A DE4039930A DE4039930A1 DE 4039930 A1 DE4039930 A1 DE 4039930A1 DE 4039930 A DE4039930 A DE 4039930A DE 4039930 A DE4039930 A DE 4039930A DE 4039930 A1 DE4039930 A1 DE 4039930A1
Authority
DE
Germany
Prior art keywords
electrode
edge
substrate
hollow
dark room
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE4039930A
Other languages
English (en)
Inventor
Michael Dr Geisler
Michael Jung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Balzers und Leybold Deutschland Holding AG
Original Assignee
Leybold AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Leybold AG filed Critical Leybold AG
Priority to DE4039930A priority Critical patent/DE4039930A1/de
Priority to US07/659,817 priority patent/US5399254A/en
Publication of DE4039930A1 publication Critical patent/DE4039930A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes

Description

Vorrichtung zur Plasmabehandlung von Substraten in ei­ ner durch Hochfrequenz angeregten Plasmaentladung zwischen zwei durch eine Hochfrequenzquelle versorgten Elektroden, von denen die erste als Hohlelektrode aus­ gebildet ist und die zweite, eine ein Substrat tragende Elektrode dem Hohlraum der ersten Elektrode vorgelagert und an dieser vorbeibewegbar ist, wobei die Hohl­ elektrode von einer Dunkelraumabschirmung umgeben ist und einen in Richtung der zweiten Elektrode zeigenden Rand sowie zwischen dem Rand liegende Vorsprünge auf­ weist, die auf dem gleichen Potential wie die zweite Elektrode liegen.
Üblicherweise enthalten Vorrichtungen zur Plasmabe­ handlung von Substraten mittels Hochfrequenz zwei Elektroden, von denen die eine durch die Vakuumkammer und/oder durch den Substrathalter gebildet wird, die beide aus metallischen Werkstoffen bestehen. Es ist be­ kannt, daß sich dabei jeweils an derjenigen Elektrode eine gegenüber dem Plasma überwiegend negative Spannung ausbildet, die in bezug auf die als Gegenelektrode wir­ kenden, Oberflächen die kleinere wirksame Oberfläche aufweist. Die negativ vorgespannte Elektrode wird daher auch hier regelmäßig als "Kathode" bezeichnet.
Bei Verwendung eines plattenförmigen Substrathalters, der mit der Vakuumkammer auf gleichem Potential liegt und damit einerseits die eine Elektrode bildet und andererseits bei Verwendung einer plattenförmigen, dem Substrathalter gegenüberliegenden Elektrode, bildet sich aufgrund der hierdurch notwendigerweise vorge­ gebenen Flächenverhältnisse an der zuletzt genannten Elektrode das negative Potential aus. Dadurch wird auf dieser Elektrode (=Kathode) befindliches Material (=Target) zerstäubt und auf dem Substrat nieder­ geschlagen. Will man in einer solchen Vorrichtung die Substrate ätzen, so müssen Substrate und Target sinngemäß vertauscht werden.
Bei Verwendung von Gleichspannung ist die Polarität der Elektroden durch ihre Verbindung mit dem jeweiligen Pol der Gleichspannungsquelle zwingend vorgegeben. Durch die DE OS 21 15 590 ist es beispielsweise bekannt, eine Hohlkathode mit einem in Richtung auf den Substratträ­ ger vorspringenden Rand zu verwenden, um die Gleichmä­ ßigkeit der Schichtdickenverteilung zu verbessern. Die­ ser vorspringende Rand führt aber bei Verwendung von Gleichspannung nicht zu einer Umkehrung der Polarität.
Durch die DE PS 22 41 229 ist eine Vorrichtung bekannt, bei der durch Verwendung von Hochfrequenz erreicht wird, daß die hohle Elektrode wegen ihres Randes relativ zu der dem Hohlraum unmittelbar zugekehrten Oberfläche der anderen Elektrode (des Substrathalters) die größere Oberfläche aufweist und hierdurch die Funktion einer Anode erhält, so daß also gewissermaßen eine Umkehrung der Verhältnisse bezüglich der Vor­ spannung erfolgt. Dies läßt sich vereinfacht so er­ klären daß bei ausreichend geringem Abstand zwischen dieser anderen Elektrode und dem Rand der Hohlelektrode (Spalt S;) der Rand der Hohlelektrode einen Entladungs­ raum eingrenzt, relativ zu welchem die außerhalb­ liegenden metallischen Teile der Vorrichtung keine Elektrodenfunktion mehr ausüben, so daß die Verhält­ nisse ausschließlich durch die einander zugekehrten Oberflächenteile der Hohlelektrode einerseits und den Substrathalter andererseits bestimmt werden. Man kann dies als "Randeffekt" bezeichnen.
Die bekannte Lösung führt jedoch im Falle einer Anwen­ dung dieses Prinzips bei bestimmten Vorrichtungen mit kontinuierlichem Substrattransport zu einigen Schwie­ rigkeiten: Wird nämlich der Substrathalter mit dem Substrat entfernt, so wirkt als Gegenelektrode min­ destens die metallische Grundplatte der Vakuumkammer, die in der Regel eine größere Fläche als die hohle Elektrode besitzt und an Masse gelegt ist. In diesem Fall bildet sich in völliger Umkehrung der Poten­ tialverhältnisse die negative Spannung auf der Hohl­ elektrode aus, die nunmehr als Kathode wirkt.
Daraus ergibt sich, daß die Größe des Spaltes zwischen dem Rand der Hohlelektrode einerseits und dem Substrat bzw. Substrathalter andererseits von wesentlicher Be­ deutung für die Ausbildung der Potentialverteilung im Bereich des vom Plasma erfüllten Raumes ist. Da der er­ wähnte Spalt die Verbindung des Plasmas zu dessen Umgebung darstellt, entscheidet die Spaltgröße darüber, ob die Hohlelektrode als Anode oder als Kathode der An­ ordnung wirkt. Durch Versuche wurde festgestellt, daß eine Vergrößerung des genannten Spaltes über einen Betrag von etwa 2 mm hinaus den Einfluß vergrößert, und zwar mit der Konsequenz der Ausbildung einer negativen Vorspannung auf der Hohlelektrode anstatt auf dem Sub­ strat. Derartige Spaltvergrößerungen sind nun aber bei kontinuierlichen Vorrichtungen, bei denen die Substrate auf beweglichen Substrathaltern angeordnet sind, prak­ tisch unvermeidbar, insbesondere dann, wenn derartige Substrathalter mit Abständen zueinander an der Öffnung der Hohlelektrode vorbeigeführt werden. Auch kann der betreffende Spalt nicht beliebig klein gehalten werden, da dies nicht nur eine außerordentlich präzise Führung der Substrathalter erforderlich macht, sondern weil auch die gegebenenfalls auf der Oberfläche des Sub­ strathalters liegenden Substrate bei ihrem Durchlauf durch die Anlage die Spaltweite verändern, wenn man nicht den sehr aufwendigen Weg geht, für jede Sub­ stratform und -größe unterschiedliche Substrathalter einzusetzen, in denen die Substrate vertieft und bündig mit der Oberfläche des Substrathalters angeordnet sind. Damit wird die Anwendungsbreite des bekannten Prinzips stark eingeschränkt.
Ferner ist eine Vorrichtung der eingangs genannten Art bekannt (DE OS 36 06 959 A1), die zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung zwischen zwei durch eine Hochfrequenz­ quelle versorgten Elektroden dient, von denen die erste als Hohlelektrode ausgebildet ist und die zweite, ein Substrat tragende Elektrode, dem Hohlraum der ersten Elektrode vorgelagert und an dieser vorbeibewegbar ist. Bei dieser bekannten Anordnung ist die Hohlelektrode von einer Dunkelraumabschirmung und von einem in Richtung der zweiten Elektrode zeigenden Rand umgeben. Ferner befinden sich zwischen dem Rand Vorsprünge, die auf dem gleichen Potential wie die Elektrode liegen. Da bei der bekannten Anordnung keine Entkopplung zwischen Radiofrequenzleistung und dem Gleichspannungsanteil von Substrat und Plasma (Selfbias=Substratvorspannung) mög­ lich ist, kann die (Selfbias) Substratvorspannung nur durch Veränderung der Radiofrequenzleistung beeinflußt werden. Es wird aber auch bei kleiner werdender Radio­ frequenzleistung die Abscheidrate kleiner und die Qua­ lität des Substrats in vielen Fällen ungünstig beein­ flußt.
Hier will die Erfindung Abhilfe schaffen. Die Erfin­ dung, wie sie in den Ansprüchen gekennzeichnet ist, löst die Aufgabe, neben einer optimalen Gasversorgung und Entsorgung und unter Beibehaltung einer annähernd konstanten Radiofrequenzleistung bestimmte Eigenschaf­ ten der auf dem Substrat anwachsenden Schicht gezielt einzustellen, die von der Substratvorspannung (selfbias) abhängen.
Hierzu ist es vorteilhaft, daß die Radiofrequenzlei­ stung von der Substratvorspannung (selfbias) abge­ koppelt wird. Hierdurch kann das Schichtwachstum in vorteilhafter Weise drastisch verbessert werden. Dies ist insbesondere bei der Abscheidung von aSi-Schichten von großem Vorteil. Durch die Entkopplung von der Radiofrequenzspannung und der Substratvorspannung (selfbias) zwischen Plasma und Substrat ist es auf einfache Weise möglich, bestimmte Eigenschaften der Schicht sehr genau einzustellen. Es ist beispielsweise möglich, den Wasserstoffgehalt zwischen 8% und 40% und die Dichte der Schicht zwischen 1,7 und 0,8 g/cm3 einzustellen. Es hat sich gezeigt, daß bei unabhängiger Biasspannung und Radiofrequenzleistung das Permeations­ verhalten von Gasen durch eine aC : H-Schicht gezielt und weitgehend unabhängig von der jeweils zu erzielenden Depositionsrate optimal eingestellt werden kann, wobei das erfindungsgemäße Verfahren sehr wirtschaftlich ist. Ferner ist es möglich, daß hierdurch ein diffu­ siv-adhäsiver Verbund zwischen einer aC : H Oberschicht (Topocoat) und einer darunterliegenden Schicht ein­ gestellt wird.
Das Plasma soll ferner unabhängig von der Stellung des Targets und anderen eventuell beweglichen, veränder­ lichen Einbauten sein, z. B.: Effekt des Mitschleppens des Plasmas durch den an der stationären Elektrode vorbeiwandernden Substrathalter zu vermeiden, um auf diese Weise gleichmäßige, reproduzierbare Beschich­ tungsprozesse durchführen zu können.
Gemäß einem besonderen Merkmal der erfindungsgemäßen Lösung ist nämlich vorgesehen, daß die Flächeninhalte der ersten und der zweiten Elektrode durch Einsätze veränderbar sind, mit dem Ziel, das Verhältnis des Flächeninhaltes der ersten Elektrode gegenüber dem Flächeninhalt der zweiten Elektrode durch diese Ein­ sätze veränderbar zu machen. Durch die damit gegebene Möglichkeit, die Substratvorspannung (selfbias) bei einer konstanten Radiofrequenzleistung zu variieren, läßt sich auf einfache Weise die Herstellung von z. B. amorphen Siliziumschichten oder Membranschichten ver­ bessern und somit die Schicht vorteilhaft beeinflussen. Ferner bietet die erfindungsgemäße Anordnung die Mög­ lichkeit, die Plasmaquelle auch bei Großflächenanlagen einzusetzen.
Die Fläche der ersten Elektrode 3 ist mit F1, die der zweiten mit F2 bezeichnet.
Soll die negative Substratvorspannung relativ zum Hohl­ elektrodenpotential maximal sein, so muß F1/F2 maximal sein. Dazu liegen die Einsätze auf dem gleichen Poten­ tial wie die Hohlelektrode und es ist wichtig, daß der Abstand zwischen der Kante des Randes letzterer und dem ihr zugewandten Rand der Einsätze kleiner oder gleich 1 mm beträgt (entspricht DR; vergl. Definition von DR auf Seite 26). Der Dunkelraum ist vorzugsweise 1 bis 2 mm groß, wobei zwischen dem Einsatz und dem Plasma­ volumen kein Sichtkontakt bestehen soll. Beträgt der Abstand S2 2 mm wird sich die mit dieser Anordnung maximal mögliche negative Substratvorspannung UB= -Umax einstellen. Wird eine Substratvorspannung UB < -Umax gewünscht, so müssen die Einsätze 9′ auf das Potential der zweiten Elektrode, in den meisten Fällen also auf Erdpotential, gelegt werden.
Solange dabei F1/F2 < 1 erfüllt ist, bleibt die Sub­ stratvorspannung UB ϑ -10 V, d. h. die Anordnung ist so gerichtet, daß die Prozesse auf der Substratoberfläche durch schnelle Ionen unterstützt werden (verstärkt ionenunterstützter Prozeß).
Die Einsätze können in einfacher Weise durch Steckvor­ richtungen oder leicht zugängliche Schrauben an der Hohlelektrode bzw. an der Erdabschirmung befestigt werden. Zum Zwecke der Reinigung des Reaktors können die Einsätze also ebenso einfach und schnell demontiert werden wie die Hohlelektrode. Hierdurch wird ferner die Möglichkeit gegeben, die Verschmutzung der kalten, geerdeten Elektroden und des Rezipienten auf einen möglichst kleinen Raum einzugrenzen. Hierdurch ergibt sich eine hohe Standzeit der Anordnung bzw. der Anlage, sowie eine weitgehende Pinhole-Freiheit der aufgebrach­ ten Schichten.
In dem Fall, daß die Einsätze auf Erdpotential liegen, also Teil der zweiten Elektrode sind und F1/F2 kleiner als 1 ist, ist die Substratvorspannung UB -10 V. Für den Fall, daß die Prozesse auf der Substratoberfläche ohne verstärkte Ionenunterstützung ablaufen sollen, kann der Abstand S2 auch deutlich größer als 2 mm ge­ wählt werden. Ein Abstand S2 von z. B. ca. 30 mm hat den Vorteil einer relativ unbehinderten Gasver- und -ent­ sorgung. Außerdem kann bei Entladungsdrücken < 10 Pa gearbeitet werden und damit bei relativ niedrigem Grad der Anregung bzw. Fragmentation der Gasmoleküle. Dar­ über hinaus können kompliziertere dreidimensional­ geformte Substratoberflächen beschichtet bzw. behandelt werden.
Schließlich stellte sich heraus, daß durch den geringen Abstand zwischen der ersten Elektrode und der zweiten Elektrode eine sehr gute Lokalisation des Plasmas bewirkt wird. Das kann ausschlaggebend sein, wenn ein zu enger Plasmakontakt der Substratoberfläche ver­ mieden werden soll. Als weitere Ursache für diese gute Plasmalokalisation erwies sich die für die erfindungs­ gemäße Anordnung der Oberflächen der Einsätze zuein­ ander, die einander zugewandt sind und die zusammen mit der Hohlelektrode einen prismatisch geformten zur Substratseite hin offenen Raum umschließen.
Zu einer weiteren Ausgestaltung der Erfindung können die Einsätze mit Vorsprüngen versehen werden, die in etwa den relativ kurzen, parallel verlaufenden Vor­ sprüngen der Hohlelektrode entsprechen. Ferner ist es vorteilhaft, daß die an dem Rand der Dunkelraumab­ schirmung sich anschließenden Flächen und somit auf ihnen ggf. angebrachte, ebenfalls auf Erdpotential lie­ genden Einsätze von dem Rand ausgehend zum Substrat hin trichterförmig erweitert sind.
Bei der Arbeit mit dieser Vorrichtung zeigte sich, daß dieselbe auch in der Lage war, in einer Längsrichtung ausgedehnte gleichmäßige Glimmentladungen auch bei höheren Entladungsdrücken < 10 Pa zu erlangen. Damit kann die Vorrichtung in der Großflächenbeschichtungs­ technik eingesetzt werden.
Tatsächlich ist die in diesem Abschnitt besprochene Version der Vorrichtung (mit Abstand S2 » DR) sehr erfolgreich bei der oberflächlichen Oxidation von 2 m langen Polypropylenformteilen eingesetzt worden, wobei gerade die gute Gasver- und -entsorgung, der niedrige Sauerstoffanregungsgrad, Formteiltauglichkeit der Vor­ richtung sowie gute Lokalisation und Großflächigkeit der Glimmentladung erfolgsentscheidend waren.
Hierdurch wird ferner die Möglichkeit gegeben, die Verschmutzung der "kalten", geerdeten Elektroden und des Rezipienten auf einen möglichst kleinen Raum einzu­ grenzen. Hierdurch ergibt sich eine hohe Standzeit der Anordnung bzw. der Anlage, sowie eine weitgehende Lunkerfreiheit der aufgebrachten Schichten. Durch die erfindungsgemäße Anordnung wird ferner die Filter­ bildung der "heißen", nicht geerdeten Elektrode ver­ ringert. Ferner werden mit diesem Verfahren die Aus­ gangsmonomere im Plasma kaum fragmentiert.
Wesentlich ist, daß die Vorsprünge kürzer als der Rand der Hohlelektrode sind, wobei die Vorsprünge, der Rand und die Einsätze auf dem gleichen Potential liegen.
Der Rand der Hohlelektrode zusammen mit dem Einsatz ist in etwa gleich lang wie der äußere Rand der Dunkelraum­ abschirmung.
Von besonderer Bedeutung ist für die vorliegende Erfin­ dung, daß der Abstand des Einsatzes zur Außenober­ fläche der ersten Elektrode in etwa eins bis drei Millimeter groß ist.
In weiterer Ausgestaltung der Erfindung ist es vor­ teilhaft, daß die Hilfselektrode einen Abstand zur Oberfläche der ersten Elektrode aufweist, der in etwa dem Dunkelraumabstand (DR) entspricht. Vorteilhaft ist es ferner, daß das äußere Ende des Randes der Hohl­ elektrode mit Abstand zum äußeren Ende des Randes der Dunkelraumabschirmung angeordnet ist.
Im Zusammenhang mit der Anordnung der Elektrode ist es von Vorteil, daß gemäß Anspruch 14 der Abstand zwischen dem Einsatz und der Oberfläche der zweiten Elektrode veränderbar ist; insbesondere durch die Abstandsver­ änderung kann die Schichteigenschaft auf einfache Weise verändert bzw. auf eine bestimmte Stärke oder Qualität eingestellt werden.
Eine andere Weiterbildung der erfindungsgemäßen Vor­ richtung ist, daß in den Wandflächen der Hohlelektrode und in der Dunkelraumabschirmung Öffnungen für einen Gasdurchtritt vorgesehen sind, in denen plasma­ undurchlässige, labyrinthartige Kanäle bildende Abdeck­ vorrichtungen vorgesehen sind.
Um eine optimale Gasver- und -entsorgung zu gewähr­ leisten, sind in den Wandflächen der Hohlelektrode und in der Dunkelraumabschirmung Öffnungen vorgesehen, die einen unterschiedlich großen Durchmesser aufweisen. Hierzu ist es ferner vorteilhaft, daß die innenliegen­ den Stirnkanten der Öffnungen mit den außenliegenden Stirnkanten der Abdeckvorrichtung einen labyrintharti­ gen Kanal bilden.
Ferner ist es vorteilhaft, daß die Vorsprünge der ersten Elektrode auswechselbar und in ihrer Höhe veränderbar sind.
Weitere Merkmale der Erfindung sind in der Beschreibung der Figuren dargestellt, wobei bemerkt wird, daß alle Einzelmerkmale und alle Kombinationen von Einzelmerk­ malen erfindungswesentlich sind.
Es zeigen:
Fig. 1 bis 3 einen vertikalen Axialschnitt durch eine vollständige Vorrichtung für den Durchlauf plattenförmiger Substrathal­ ter,
Fig. 4 eine Unteransicht der Hohlelektrode aus der Ebene II-II in Fig. 1,
Fig. 5 eine perspektivische Darstellung einer Hohlelektrode mit einem Koppelelement, aufgeschnitten entlang einer Symmetrie­ ebene der Hohlelektrode,
Fig. 6a ein Detail aus Fig. 5 in perspekti­ vischer Darstellung in vergrößertem Maßstab,
Fig. 6b einen Teilschnitt eines zweiten Ausführungsbeispiels einer Abdeckvor­ richtung,
Fig. 7 eine Anordnung analog Fig. 5, jedoch mit einem walzenförmigen Substrathalter für die Plasmabehandlung von flexiblen, bandförmigen Substraten.
In Fig. 1 ist eine Vakuumkammer 1 dargestellt, die über einen Saugstutzen 2 auf einem für derartige Plasmaprozesse üblichen Druck evakuierbar ist. In die Decke der Vakuumkammer 1 ist eine Hohlelektrode (Elektrode) 3 eingesetzt, die über eine elektrisch leitende Tragvorrichtung 4 und einen Kondensator 5 und i.a. ein hier nicht gezeigtes Anpassungsnetzwerk mit einer Hochfrequenzquelle 6 verbunden ist. Unterhalb der Hohlelektrode 3 befindet sich eine zweite, ein Sub­ strat 7 tragende Elektrode 8, die einen der Substrat­ halter bildet. Die Hohlelektrode 3 besitzt auf ihrem gesamten, hier als rechteckig gemeinten Umfang einen in Richtung auf die Elektrode 8 vorgezogenen und auf gleichem Potential wie die Hohlelektrode 3 liegenden Rand 9, der gegenüber der zweiten Elektrode 8 allseitig einen Spalt "S1" von ca. 2 mm bildet. Der Rand 9 kann durch einen zweiten Rand 9′ ergänzt werden.
Die Flächeninhalte der als Gegenelektrode (Elektrode 8) zu Elektrode 3 wirkenden Metallflächen lassen sich ver­ ändern, indem man Teile bzw. Einsätze einsetzt. Sie liegen auf dem gleichen Potential wie die Elektrode 8. Sie sind über in der Zeichnung nicht dargestellte Befestigungselemente gesichert und liegen auf Erd­ potential.
Das Potential, das sich zwischen dem Plasma und der Elektrode 8 und der Elektrode 8 in der Position 8a einstellt, bestimmt die Energie, mit der die positiven Ionen auf die Substrate 7 beschleunigt werden, das heißt, das Schichtwachstum wird wesentlich davon beein­ flußt, mit welcher Energie die Ionen auf das Substrat 7 aufprallen. Durch den vorteilhaften Einbau der Ein­ sätze kann die Ionenenergie unabhängig von anderen Parametern beeinflußt werden. Bisher ließ sich die Elektronenenergie wesentlich nur dadurch beeinflussen, daß man die Radiofrequenzleistung veränderte. Wollte man beispielsweise die Ionenenergie bei gleichblei­ bendem Entladungsdruck verringern, verringerte man die Radiofrequenzleistung. Dadurch wurden auch Abscheid­ rate und Produktivität kleiner und infolgedessen in vielen Fällen auch die Qualität der Beschichtung.
Ferner ist es besonders vorteilhaft, wenn ebenfalls der Rand 15 der Dunkelraumabschirmung 14 durch Einsätze 15′ und 15′′ verlängert wird. Hierdurch wird sichergestellt, daß das Plasma keine unerwünschte Gegenelektrode findet (vergl. Fig. 1) und es wird auf einfache Weise er­ reicht, daß das Plasma an Stellen brennt, die erwünscht sind. An der unteren Stirnkante des Randes 9 kann (gemäß Fig. 1) ein kleiner Vorsprung 33 vorgesehen sein, der über den Einsatz 9′ rast, so daß keine Sicht­ verbindung zwischen dem Einsatz 15′ und dem Plasma­ volumen entsteht.
Die Hohlelektrode 3 umschließt infolgedessen einen Hohlraum 10, dessen innere Oberfläche 11 durch Vor­ sprünge 12 vergrößert ist, die durch parallele Rippen gebildet werden (siehe auch Fig. 2). Für den Abstand dieser Vorsprünge gilt, daß dieser wesentlich größer sein muß, als der Dunkelraumabstand bei dem angewandten Vakuum, was bei Vakua von ca. 2×10-2 mbar zu einem praktisch brauchbaren Abstand der Vorsprünge voneinan­ der und vom Rand 9 von etwa 20 mm führt. Die Höhe der Rippen ist hierbei nicht beschränkt, sie sollte jedoch im Interesse einer guten Homogenität der Gaszusammen­ setzung im Bereich des Substrats 7 nicht größer sein als etwa die Hälfte der Höhe des Randes 9. Diese Ver­ hältnisse sind in Fig. 1 etwa maßstäblich dargestellt.
Die untere umlaufende, rechteckige Kante des Randes 9, ergänzt durch Rand 9′, die gleichzeitig den Spalt mit der Weite "S1" definiert, ist die Offnung der Hohl­ elektrode 3.
Die Hohlelektrode 3 ist mit Ausnahme der unteren Öffnung allseitig von der quaderförmigen Dunkelraum­ abschirmung 14 umgeben, deren Rand 15, ergänzt durch die Ränder 15′, 15′′, gegenüber der zweiten Elektrode 8 einen Spalt "S1, S2" mit einer Breite von ca. 1 mm bil­ den kann. Der Ein- und Austritt der nichtverbrauchten Reaktionsprodukte der zugeführten Gase erfolgt über die Spalte S1 und S2.
Die Dunkelraumabschirmung 14 ist über eine weitere Tragvorrichtung 16 mit der Vakuumkammer 1 elektrisch leitend verbunden und liegt infolgedessen mit der Vakuumkammer 1 auf Massepotential.
Am jeweils linken und rechten Ende ist die Vakuumkammer 1 mit je einer hier nicht dargestellten Vakuumschleuse verbunden, durch die die als Substrathalter dienenden Elektroden 8 sequentiell in die Anlage eingeführt und aus dieser wieder herausgeführt werden. Die Elektrode 8 ist auf ihrem Wege in zwei weiteren Positionen 8a und 8b gestrichelt dargestellt.
In Fig. 2 und 3 sind zwei weitere Varianten der Elek­ troden 3 dargestellt, und zwar sind die Einsätze 9′ herausgenommen und die Elektrode 3 weist zur Elek­ trode 8 einen wesentlich größeren Abstand als die Elek­ trode 8 gemäß Fig. 1 auf. Der Abstand ist so gewählt, daß er deutlich größer ist, als die mittlere freie Weglänge der Elektronen und Ionen. Hierdurch wird sichergestellt, daß das Plasma vorwiegend zwischen den Flächen der Einsätze 15′ brennt.
Im Ausführungsbeispiel gemäß Fig. 3 sind die Ein­ sätze 15′′′ nach außen hin abgebogen, wobei sie in etwa denselben Abstand zu dem Substrat 7 aufweisen wie die Einsätze 15′. Mit der in Abbildung 3 gezeigten Anord­ nung wurde auf ein 400 mm breites, kontinuierlich an der Quelle vorbeibewegtes Substrat eine ca. 400 Å dicke Schicht aus amorphem Kohlenstoff abgeschieden. Bei dem durchgeführten Versuch war die Anordnung 400 mm lang (quer zur Bewegungsrichtung), wobei die Hohlelektrode 3 100 mm breit (in Bewegungsrichtung) war. Die Schicht­ dicke wurde ellipsometrisch vermessen. Das Ergebnis dieser Messung zeigt, daß die Schichtdicken-Gleich­ mäßigkeit über eine Länge längs zur Substratbewegungs­ richtung von 360 mm erzielt wurde, das entspricht 90% der Elektrodenlänge. Daraus ergibt sich insbesondere eine Eignung dieses Verfahrens für Großflächen­ beschichtung.
Ein Vorteil dieser Anordnung ist die Temperaturunemp­ findlichkeit des vorgestellten Gerätes, insbesondere bei der Herstellung von Si2N3 und amorphen Silizium­ schichten. Damit hebt sich dieses erfindungsgemäße Ver­ fahren sehr vorteilhaft vom Radiofrequenz-Magnetron ab, das mit teueren, temperaturempfindlichen Dauermagneten sowie prinzipiell anfälligen Wasserkühleinrichtungen bestückt ist.
Bei einer Reihe von PECVD-Prozessen, die auch für die Großflächenbeschichtung geeignet sein sollen, ist ein geringer Grad der Monomerfragmentation- bzw. -anregung in der Glimmentladung angestrebt. Beispiele sind Mem­ branherstellung und die Oberflächenmodifikation auf Kunststoffen. Anbieten würde sich hier das Radio­ frequenz-Magnetron, dessen gute Skalierbarkeit bekannt ist (analog zum Sputtermagnetron) sowie aus ähnlichen Gründen die ECR PECVD-Plasmaquelle. Jedoch wird infolge des bei diesen Werkzeugen vorliegenden Plasma­ einschlusses im Magnetfeld ein besonders hoher Grad der Monomerfragmentation erreicht, der für die besprochene Anwendung unerwünscht ist. Aus diesem Grund sind die rf-Magnetron- und ECR PECVD-Quellen hier nicht ein­ setzbar. Mit der erfindungsgemäßen rf-Hohlelektroden­ anordnung kommt man jedoch ohne Magnetfeldunter­ stützung aus und erreicht damit in einem besonders ge­ ringen Grad eine Monomerfragmentation bzw. -anregung.
Fig. 5 zeigt eine entlang ihrer einen Symmetrieebene aufgeschnittene Hohlelektrode 3 mit Vorsprüngen 12 und einer Dunkelraumabschirmung 14 in völliger Analogie zu Fig. 1. Auf dem Umfang der Dunkelraumabschirmung 14, die gleichfalls entlang ihrer einen Symmetrieebene aufgeschnitten dargestellt ist, befindet sich ein vor­ bekanntes elektrisches Koppelelement 17, das in Form eines rechteckigen, ebenen Rahmens ausgebildet ist, der aus Metall besteht und elektrisch leitend mit der Dunkelraumabschirmung 14 verbunden ist. Die Rahmen­ breite b1 und b2 liegt dabei zwischen etwa 4 und 12 cm. Die Anordnung ist dabei so getroffen, daß das Koppel­ element 17 exakt bündig mit der offenen Unterseite bzw. Kante der Einsätze 15′ der Dunkelraumabschirmung 14 ab­ schließt. Die das Substrat 7 tragende Elektrode 8 wird im Abstand des Spaltes S2 parallel zum Koppelelement 17 in Richtung der beiden Pfeile 18 an der Öffnung der Hohlelektrode 3 vorbeigeführt, wobei es zum Zwecke einer völlig ausreichenden elektrischen Ankopplung der Elektrode 8 bereits genügt, wenn diese Elektrode drei Umfangseiten des Koppelelements 17 überdeckt.
Fig. 6 zeigt in vergrößertem Maßstab Ausschnitte aus Wandungsteilen, nämlich aus den Rändern der Hohlelek­ trode 3 und der Dunkelraumabschirmung 14. Die miteinan­ der fluchtenden Öffnungen 19 und 19a sind mit einer plasmaundurchlässigen, metallenen Abdeckvorrichtung 20 versehen. Entsprechend angepaßt an die Form der Hohl­ elektrode 3, besitzt diese Abdeckvorrichtung 20 prisma­ tische Gestalt. Die Abdeckvorrichtung 20 kann aus zwei Abschnitten bestehen, die eine unterschiedlich große Breite aufweisen. Die innenliegenden Stirnkanten 30 der Öffnungen 19, 19a bilden mit den außenliegenden Stirn­ kanten 29 der Abdeckvorrichtungen 20 einen labyrinth­ artigen Kanal 31. Die Abdeckvorrichtung 20 ist mittels einer Halterung 32 mittelbar an der Elektrode 3 gesichert.
Dadurch wird das Plasma in gleicher Weise wie durch eine feste Wand im Hohlraum 10 eingeschlossen, wobei jedoch der Gastransport so wenig wie möglich behindert wird.
Für die vorzugsweise zu verwendende Frequenz von 13,56 MHz gemäß Fig. 6b muß die lichte Weite zwischen den Kanälen einige Zehntel- bis ca. 1 Millimeter groß sein. Der Abstand dieses Abschirmelements 21 von der zugeordneten Oberfläche der Hohlelektrode 3 beträgt etwa 5 mm. Das Abschirmelement 21 kann aus porösen Sintermetall bestehen.
Fig. 7 zeigt eine der Varianten des Gegenstandes nach Fig. 5, bei der die Elektrode 22 aus einer metallischen Kühlwalze besteht, über die ein band­ förmiges Substrat 23 geführt wird, das von einer Vorratsrolle 24 kommt und zu einer Aufwickelrolle 25 geführt wird. Die übrigen, dazwischenliegenden Walzen sind entweder Führungs- oder Kühlwalzen für weitere Behandlungen, die jedoch hier nicht weiter interes­ sieren. Von der Hohlelektrode 3 ist hier nur die Dunkelraumabschirmung 14 dargestellt, die ebenso wie die nicht gezeigte Hohlelektrode der zylindrischen Kontur der Elektrode 22 angepaßt ist. Um hierbei die axiale Ausdehnung der Anordnung gering zu halten, ist das elektrische Koppelelement 17 aus mehreren Teilen zusammengesetzt. Im Bereich der Zylinderfläche der Elektrode 22 besitzt das Koppelelement 17 auf jeder Seite ein zylindrisches Teilstück 17a, von dem in Fig. 7 nur das vordere zu sehen ist. Im Bereich der kreisförmigen Stirnflächen der zweiten Elektrode 22 besteht das Koppelelement 17 aus zwei ebenen Teilstü­ cken 17b, von denen ebenfalls nur das vordere sichtbar ist. Die Teilstücke 17a und 17b genügen jedoch sämtlich der Forderung, daß sie im Abstand des Spaltes S2 im wesentlichen parallel zur benachbarten Oberfläche der Elektrode 22 verlaufen.
Bezugszeichenliste
 1 Vakuumkammer
 2 Saugstutzen
 3 1. Elektrode=Hohlelektrode
 3′ Einsatz
 4 Tragvorrichtung
 5 Kondensator
 6 Hochfrequenzquelle
 7 Substrat
 8 2. Elektrode=Gegenelektrode
 8a Position der 2. Elektrode
 8b Position der 2. Elektrode
 9 Rand
 9′ Einsatz bzw. Hilfselektrode oder 2. Elektrode
10 Hohlraum
11 Oberfläche
12 Vorsprung
13 Gaszuleitung
14 Dunkelraumabschirmung
15 Rand
15′, 15′′, 15′′′ Einsatz
16 Tragvorrichtung
17 Koppelelement
17a Teilstück
17b Teilstück
18 Pfeil
19 Öffnung
19a Öffnung
20 Abdeckvorrichtung
21 Abschirmelement
22 Elektrode
23 Substrat
24 Vorratsrolle
25 Aufwickelrolle
29 Stirnkante
30 Stirnkante
31 Kanal
32 Halterung
33 Vorsprung
Definition:
Substratvorspannung=Selfbias bedeutet:
Gleichspannungsanteil der hochfrequent (hier: 13.56 MHz, aber Frequenzen zwischen etwa 0,5 MHz und 100 MHz möglich) modulierten Spannung zwischen positiver Säule der Glimmentladung und der Substratoberfläche.
PCVD Physical Chemical Vapour Deposition,
PVD Physikalisches Aufdampfen oder Aufstäuben.
Die Herstellung derartiger Schichten erfolgt u. a. durch sogenannte reaktive Prozesse, bei denen auf dem Substrat die chemische Reaktion erfolgt.

Claims (18)

1. Vorrichtung zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung zwischen zwei durch eine Hochfrequenzquelle versorgten Elektroden (3, 8), von denen die erste als Hohlelektrode (3) ausgebildet ist und die zweite, ein Substrat (7) tragende Elektrode (8), dem Hohlraum (10) der ersten Elek­ trode (3) vorgelagert und an dieser vorbei­ bewegbar ist, wobei die Hohlelektrode (3) von einer Dunkelraumabschirmung (14) umgeben ist und einen in Richtung der zweiten Elektrode (8) zeigenden Rand (9, 15) sowie zwischen dem Rand (9) liegende Vorsprünge (12) aufweist, die auf dem gleichen Potential wie die erste Elektrode (3) liegt, dadurch gekennzeichnet, daß die Radio­ frequenzleistung von der Substratvorspannung (selfbias) abgekoppelt wird, wobei der Ab­ stand S2 zwischen der ersten und zweiten Elek­ trode (3 und 8) veränderbar ist.
2. Vorrichtung nach Anspruch 1, dadurch gekenn­ zeichnet, daß der Flächeninhalt der ersten Elek­ trode (3) durch Einsätze (9′) veränderbar ist.
3. Vorrichtung nach Anspruch 1, dadurch gekenn­ zeichnet, daß das Verhältnis des Flächeninhalts der ersten Elektrode (3) und/oder Teil des Flächeninhalts der Dunkelraumabschirmung (14) gegenüber dem Flächeninhalt der zweiten Elektrode (8) durch Einsätze (9′) veränderbar ist, der von der Elektrode (8) nicht abgeschirmt wird.
4. Vorrichtung nach Anspruch 1, dadurch gekenn­ zeichnet, daß das Verhältnis des Flächeninhaltes der ersten Elektrode (3) und/oder der Flächen­ inhalt der Dunkelraumabschirmung (14) gegenüber dem Flächeninhalt der zweiten Elektrode (8) durch Einsätze (9′) im Bereich der ersten der Substrat­ ebene am nächsten gelegenen Vorderkante des Einsatzes (15′ bzw. 15′′) veränderbar ist.
5. Vorrichtung nach Anspruch 1 oder 2, dadurch ge­ kennzeichnet, daß der Flächeninhalt der ersten Elektrode durch Einsätze (9′) veränderbar ist, die als Verlängerungsteile des Randes (9) der ersten Elektrode (3) ausgebildet sind und mit der Elektrode (3) lösbar verbindbar sind.
6. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß die Vorsprünge (12) kürzer als der Rand (9) bzw. der durch die Einsätze (9′) ergänzte Rand (9) der Hohlelektrode (3) sind, wobei die Vorsprünge (12), der Rand (9) und die Einsätze (9′) auf dem gleichen Potential liegen.
7. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß der Rand (9) der Hohlelektrode (3) zusammen mit dem Einsatz (9′) in etwa gleich lang wie der äußere Rand (15, 15′) der Dunkelraumabschirmung (14) ist.
8. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß sich an den Rand bzw. den Einsatz (15′) der Dunkelraumabschirmung (14) eine Hilfselektrode (15) bzw. ein zweiter Einsatz (15′′) anschließt, die in etwa parallel zur Oberfläche der zweiten Elektrode (8) verläuft.
9. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß der Abstand (S₁) der Hilfselektrode bzw. des Ein­ satzes (15′′) zur Substratoberfläche (7) in etwa eins bis drei Millimeter groß ist.
10. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß der an den Rand (15) bzw. an die Hilfselektrode (15′) angeschlossene zweite Einsatz (15′′′) sich vom Rand ausgehend nach außen trichterförmig erweitert.
11. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß die an dem Rand (15) angeschlossene Hilfselek­ trode bzw. der zweite Einsatz (15′′) einen Abstand zur Oberfläche der ersten Elektrode (3) aufweist, der in etwa dem Dunkelraumabstand entspricht.
12. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß das äußere Ende des Randes (9) der Hohlelektrode (3) mit Abstand zum äußeren Ende des Randes (15) der Dunkelraumabschirmung (14) angeordnet ist.
13. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß das äußere Ende des Randes (9) der Hohlelek­ trode (3) einen Abstand zu den Stirnkanten der Vorsprünge aufweist, der gleich groß oder größer als die Höhe eines Vorsprungs (12) der Hohlelek­ trode (3) ist.
14. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß der Abstand zwischen dem Einsatz (15′′) und der Oberfläche des Substrats (7) veränderbar, insbe­ sondere stufenlos veränderbar ist.
15. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß in den Wandflächen der Hohlelektrode (3) und in der Dunkelraumabschirmung (14) Öffnungen (19, 19a) für einen Gasdurchtritt vorgesehen sind, in denen sich mindestens eine plasmaundurchlässige, laby­ rinthartige Kanäle (31) bildende Abdeckvorrich­ tung (20) befindet.
16. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß in den Wandflächen der Hohlelektrode (3) und in der Dunkelraumabschirmung (14) Öffnungen (19, 19a) vorgesehen sind, die einen unterschiedlich großen Durchmesser aufweisen.
17. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß die innenliegenden Stirnkanten (30) der Öffnungen (19, 19a) mit den außenliegenden Stirnkanten (29) der Abdeckvorrichtungen (20) einen labyrinth­ artigen Kanal (31) bilden.
18. Vorrichtung nach einem oder mehreren der vorher­ gehenden Ansprüche, dadurch gekennzeichnet, daß die Vorsprünge auswechselbar und in ihrer Höhe veränderbar sind.
DE4039930A 1990-12-14 1990-12-14 Vorrichtung fuer plasmabehandlung Withdrawn DE4039930A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE4039930A DE4039930A1 (de) 1990-12-14 1990-12-14 Vorrichtung fuer plasmabehandlung
US07/659,817 US5399254A (en) 1990-12-14 1991-02-22 Apparatus for plasma treatment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE4039930A DE4039930A1 (de) 1990-12-14 1990-12-14 Vorrichtung fuer plasmabehandlung

Publications (1)

Publication Number Publication Date
DE4039930A1 true DE4039930A1 (de) 1992-06-17

Family

ID=6420303

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4039930A Withdrawn DE4039930A1 (de) 1990-12-14 1990-12-14 Vorrichtung fuer plasmabehandlung

Country Status (2)

Country Link
US (1) US5399254A (de)
DE (1) DE4039930A1 (de)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0607787A2 (de) * 1993-01-19 1994-07-27 Leybold Aktiengesellschaft Vorrichtung zum Beschichten oder Ätzen von Substraten
DE102007019718B3 (de) * 2007-04-26 2008-11-13 Vtd Vakuumtechnik Dresden Gmbh Großflächige Plasmaquelle für die Plasmapolymerisation und Verfahren zum Betreiben der Plasmaquelle
DE102007046214A1 (de) * 2007-09-27 2009-04-09 Maschinenfabrik Reinhausen Gmbh Vorrichtung zur Plasmabehandlung
WO2009141304A1 (en) * 2008-05-20 2009-11-26 Helianthos B.V. Vapour deposition process and device
DE102010060762A1 (de) * 2010-11-24 2012-05-24 Roth & Rau Ag Plasmabearbeitungsvorrichtung

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556474A (en) * 1993-12-14 1996-09-17 Nissin Electric Co., Ltd. Plasma processing apparatus
JPH09199431A (ja) * 1996-01-17 1997-07-31 Canon Inc 薄膜形成方法および薄膜形成装置
US6066826A (en) * 1998-03-16 2000-05-23 Yializis; Angelo Apparatus for plasma treatment of moving webs
KR100271770B1 (ko) * 1998-09-03 2001-02-01 윤종용 반도체장치 제조를 위한 플라즈마 공정챔버
EP1001449A1 (de) * 1998-10-16 2000-05-17 Canon Kabushiki Kaisha Schicht bildendes Apparat und Verfahren
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US20080017501A1 (en) * 2006-07-21 2008-01-24 Makoto Inagawa Cooled dark space shield for multi-cathode design
KR20110025163A (ko) * 2007-11-08 2011-03-09 어플라이드 머티어리얼스, 인코포레이티드 이동 가능한 실드를 갖는 전극 배열체
JP4999737B2 (ja) * 2008-03-14 2012-08-15 富士フイルム株式会社 成膜装置
TWI641292B (zh) 2008-08-04 2018-11-11 Agc北美平面玻璃公司 電漿源
EP2324687B1 (de) * 2008-08-20 2016-01-27 Vision Dynamics Holding B.V. Einrichtung zum erzeugen einer plasmaentladung zur strukturierung der oberfläche eines substrats
JP5767819B2 (ja) * 2011-02-02 2015-08-19 株式会社Ihi プラズマ処理装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
EP2762607B1 (de) * 2013-01-31 2018-07-25 Applied Materials, Inc. Abscheidungsquelle mit einstellbarer Elektrode
EP2762608B1 (de) * 2013-01-31 2019-10-02 Applied Materials, Inc. Gastrennung durch einstellbare Trennwand
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
EP2784176B1 (de) 2013-03-28 2018-10-03 Applied Materials, Inc. Abscheidungsplattform für flexible Substrate
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
CN107615888B (zh) 2014-12-05 2022-01-04 北美Agc平板玻璃公司 利用宏粒子减少涂层的等离子体源和将等离子体源用于沉积薄膜涂层和表面改性的方法
MY192286A (en) 2014-12-05 2022-08-17 Agc Glass Europe S A Hollow cathode plasma source
EP3136419B1 (de) * 2015-08-31 2018-04-18 Total S.A. Plasmaerzeugungsvorrichtung und verfahren zur herstellung von strukturierten vorrichtungen mittels räumlich aufgelöster plasmaverarbeitung
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
KR20220010562A (ko) 2019-06-24 2022-01-25 트럼프 휴팅거 에스피 제트 오. 오. 플라즈마에 전력을 공급하는 전력 공급부의 출력 전력을 조정하는 방법, 플라즈마 장치 및 전력 공급부

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2115590A1 (en) * 1971-03-31 1972-10-05 Leybold Heraeus Gmbh & Co Kg Cathode sputtering device - has cathode with projecting rim
DE2241229C2 (de) * 1972-08-22 1983-01-20 Leybold-Heraeus GmbH, 5000 Köln Vorrichtung zum Ätzen von Substraten durch eine Glimmentladung
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2115590A1 (en) * 1971-03-31 1972-10-05 Leybold Heraeus Gmbh & Co Kg Cathode sputtering device - has cathode with projecting rim
DE2241229C2 (de) * 1972-08-22 1983-01-20 Leybold-Heraeus GmbH, 5000 Köln Vorrichtung zum Ätzen von Substraten durch eine Glimmentladung
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0607787A2 (de) * 1993-01-19 1994-07-27 Leybold Aktiengesellschaft Vorrichtung zum Beschichten oder Ätzen von Substraten
EP0607787A3 (de) * 1993-01-19 1995-03-15 Leybold Ag Vorrichtung zum Beschichten oder Ätzen von Substraten.
US5498291A (en) * 1993-01-19 1996-03-12 Leybold Aktiengesellschaft Arrangement for coating or etching substrates
DE4301188C2 (de) * 1993-01-19 2001-05-31 Leybold Ag Vorrichtung zum Beschichten oder Ätzen von Substraten
DE102007019718B3 (de) * 2007-04-26 2008-11-13 Vtd Vakuumtechnik Dresden Gmbh Großflächige Plasmaquelle für die Plasmapolymerisation und Verfahren zum Betreiben der Plasmaquelle
DE102007046214A1 (de) * 2007-09-27 2009-04-09 Maschinenfabrik Reinhausen Gmbh Vorrichtung zur Plasmabehandlung
DE102007046214B4 (de) * 2007-09-27 2012-05-31 Maschinenfabrik Reinhausen Gmbh Vorrichtung zur Plasmabehandlung
WO2009141304A1 (en) * 2008-05-20 2009-11-26 Helianthos B.V. Vapour deposition process and device
DE102010060762A1 (de) * 2010-11-24 2012-05-24 Roth & Rau Ag Plasmabearbeitungsvorrichtung
DE102010060762A9 (de) * 2010-11-24 2012-09-20 Roth & Rau Ag Plasmabearbeitungsvorrichtung
DE102010060762B4 (de) 2010-11-24 2019-05-23 Meyer Burger (Germany) Gmbh Plasmabearbeitungsvorrichtung

Also Published As

Publication number Publication date
US5399254A (en) 1995-03-21

Similar Documents

Publication Publication Date Title
DE4039930A1 (de) Vorrichtung fuer plasmabehandlung
EP0235770B1 (de) Vorrichtung zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung
DE4109619C1 (de)
EP0205028B1 (de) Vorrichtung zum Aufbringen dünner Schichten auf ein Substrat
EP0279895B1 (de) Enrichtung zum Herstellen eines Plasmas und zur Behandlung von Substraten darin
DE3521318A1 (de) Verfahren und vorrichtung zum behandeln, insbesondere zum beschichten, von substraten mittels einer plasmaentladung
DE4025396A1 (de) Einrichtung fuer die herstellung eines plasmas
EP0603587B1 (de) Plasmaerzeugungsvorrichtung
EP0285745B1 (de) Verfahren und Vorrichtungen zum Vakuumbeschichten mittels einer elektrischen Bogenentladung
DE3709175A1 (de) Verfahren und vorrichtung zum aufstaeuben hochohmiger schichten durch katodenzerstaeubung
EP0489239A1 (de) Anordnung zum Beschichten von Substraten mit Magnetronkathoden
DE19722624C2 (de) Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
DE4123274C2 (de) Vorrichtung zum Beschichten von Bauteilen bzw. Formteilen durch Kathodenzerstäubung
DE3706698C2 (de) Verfahren und Anordnung zum Zerstäuben eines Materials mittels Hochfrequenz
DE1515300A1 (de) Vorrichtung zur Herstellung hochwertiger duenner Schichten durch Kathodenzerstaeubung
DE4233895C2 (de) Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
WO2008155087A2 (de) Plasmareaktor und verfahren zur herstellung einkristalliner diamantschichten
DE2115590A1 (en) Cathode sputtering device - has cathode with projecting rim
DE3241391A1 (de) Hochfrequenz-aetztisch mit elektrisch vorgespanntem einfassungteil
DE4304581A1 (de) Vorrichtung zum Beschichten eines Substrats
DE102013107659B4 (de) Plasmachemische Beschichtungsvorrichtung
DE3837487A1 (de) Verfahren und vorrichtung zum aetzen von substraten mit einer magnetfeldunterstuetzten niederdruck-entladung
DE4414083A1 (de) Vorrichtung zum Herstellen dünner Schichten auf Kunststoff-Substraten und zum Ätzen solcher Substrate
EP0563609B1 (de) Vorrichtung zum Erzeugen eines Plasmas mittels Kathodenzerstäubung und Mikrowelleneinstrahlung
DE3000451A1 (de) Vakuumbedampfungsanlage

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8136 Disposal/non-payment of the fee for publication/grant