TW522483B - Gas distribution apparatus for semiconductor processing - Google Patents

Gas distribution apparatus for semiconductor processing Download PDF

Info

Publication number
TW522483B
TW522483B TW090124415A TW90124415A TW522483B TW 522483 B TW522483 B TW 522483B TW 090124415 A TW090124415 A TW 090124415A TW 90124415 A TW90124415 A TW 90124415A TW 522483 B TW522483 B TW 522483B
Authority
TW
Taiwan
Prior art keywords
gas
gas supply
supply line
flow
control valve
Prior art date
Application number
TW090124415A
Other languages
English (en)
Inventor
Brian K Mcmillin
Robert Knop
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW522483B publication Critical patent/TW522483B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

522483 A7 B7 五、發明説明(1 ) 發明範圍 本發明有關於用於處理半導體基板,如積體電路晶圓的 反應室,以及特別指定的,使用於這些反應室的氣體分配 系統之改善。 發明背景 半導體製程包括沈積製程如金屬、介電質、與半導體材 料之化學氣相沈積(CVD )、這種層的蝕刻、光阻光罩層的 灰化等等,這些半導體製程一般是在真空室中完成,其中 製程氣體是用以處理基板,如半導體晶圓,平面面板顯示 基板等等,製程用氣體可藉由氣體分配系統如蓮蓬頭,氣 體分配環,氣體喷嘴等,供應至真空室的内部,在美國專 利 Nos· 5, 1 34, 965 ; 5, 415, 728 ; 5, 522, 934 ; 5, 614, 055 ;5, 772, 771 ; 6, 013, 155 ;以及 6, 042, 687 中有提及具有眾 多氣體分配系統的反應室。 在蝕刻的情況中,傳統上會用電漿蝕刻來蝕刻金屬,介 電質,以及半導體材料,一電漿蝕刻反應室通常包括在下 電極上支撐矽晶圓的臺座,一能量來源,可激發製程氣體 至電漿激發狀態,以及一製程用氣體來源,供應製程氣體 至處理室。 積體電路製造中常見的需求是開口的蝕刻,如介電材料 中的接觸與通道,此介電材料包括經佈植的矽氧化物如氟 矽氧化物(FSG)、未經佈植的矽氧化物如二氧化矽、矽酸鹽 玻璃如硼磷矽酸鹽玻璃(BPSG),以及磷矽酸鹽玻璃(PSG) ,經佈植或未經佈植,熱生長的秒氧化物,經佈植或未經 -4- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂
522483 A7 B7 五、發明説明(2 ) 佈植,以TEOS沈積的石夕氧化物等等,介電植入物包括爛、 磷與/或坤,介電質可覆蓋傳導或半導體層如多晶矽、金屬 如鋁.、銅、鈦、鎢、鉬或他們的合金,氮化物如氮化鈦、 金屬石夕化物如鈥碎化物、話♦化物、鎢碎化物、翻碎化物 等等,一種電漿蝕刻技術,在美國專利第5, 〇 13, 398中有提 到:其中用於银刻氧切中開口的平行板㈣反應室。 美國專利第5, 736, 457號有敘述單與雙“波紋” (damascene)金屬化製程,在,,單波紋,,方法中,通道與導體 在分開的步驟中形成,其中導體與通道之一的金屬化圖^ ,被姓刻人介電|,一金屬層被填入介電層中經姓刻的溝 槽或通道孔,而多餘的金屬則由化學機械平坦化(CMp)製程 或回蝕刻製程移除之,在”雙波紋,,方法中,在介電層中蝕 刻通道與導體的金屬化圖案,而蝕刻的溝槽與通道開口則 以單獨金屬填充及多餘金屬去除製程加以填滿。 一般希望能均句地將電漿分配至晶圓的表面,以便得到 晶圓整個表面上均勾的蝕刻速率,現今氣體分配室的設計 包括多重供給線與多重質量流控制器(MFCs)進料於室中的 不同區域,然而,現今氣體分佈室的設計需要許多元件, 設計的複雜性以及高成本,因此,一般希望能減少複雜性 與成本,以製造這種氣體分配的配置。 發明概述 ' 本發明提供一種氣體分配系統,可用於半導體基板製程 所用的反應室,其包含眾多氣體供應器,一混合歧管,= 中混合了來自眾多氣體供應器的氣體,眾多氣體供^線輪 -5-
本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 522483 A7 B7 五、發明説明(3 送該混合氣體至室中不同區域,氣體供應線包括一第一氣 體供應線,輸送混合氣體至室中的第一區,而第二氣體供 應線輸送混合氣體至室中的第二區,至少一控制閥控制在 第一與/或第二氣體供應線中的混合氣體之流速,如此則在 第一與第二氣體供應線中的混合氣體流速可達到預期的比 例,至少一流量量測裝置可量測第一與/或第二氣體供應線 中混合氣體之流速,以及一控制器運作該至少一控制閥, 以回應該至少一流量量測裝置所量得之流速。 根據較佳具體實施例,控制器包含一電腦或可程式邏輯 裝置,可運作該至少一控制閥,使得傳輸到至少眾多氣體 供應線之一的部份混合氣體,在室中半導體基板的製程中 ,從第一設定點改變至第二設定點,在一具體實施例中, 至少一流量量測裝置包含第一與第二控制閥,而該至少一 流量量測裝置包含第一與第二流量量測裝置,第一控制閥 與第一量測裝置位於第一氣體供應線沿線,而第二控制閥 與第二流量量測裝置位於第二氣體供應線沿線,在另一具 體實施例中,該至少一控制閥包含一單一流量量測裝置, 位於第一或第二氣體供應線沿線,反應室可包含一真空室 如電漿蝕刻室或一CVD室。 本發明也提供一方法,在反應室中處理一基板,該製程 包含供給半導體基板至反應室,以至少一個流量量測裝置 量測第一與/或第二氣體供應線中混合氣體之流速,以及藉 由供給混合氣體至第一與第二區,處理該基板,該至少一 控制閥由控制器調整,以回應由該至少一流量量測裝置量 -6- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂 線 522483 A7 B7五、發明説明(4 ) 得之流速,在一較佳具體實施例中,控制器監控供應至混 合歧管之氣體的總氣流量,並將總氣流與氣體供應線之一 所量得的氣流,與第二氣體供應線的目標氣流比較,至少 一控制閥重複地被控制器調整以達到第一與第二氣體供應 線中流速的預期比例,半導體基板可包含一矽晶圓,藉由 沈積材料層於其上或電漿蝕刻介電層、半導體或傳導層材 料於其上處理之。 附圖的簡短敘述 本發明的目的與優點,藉由閱讀以下詳細敘述,並配合 附圖,更容易被瞭解,其中: 圖1說明依據本發明第一具體實施例安排的氣體分配; 圖2為依據本發明第一具體實施例,控制反應室中氣體 分佈過程的流程圖; 圖3說明依據本發明第二具體實施例兒排的氣體分配; 圖4為依據本發明第二具體實施例,控制反應室中氣體 分佈過程的流程圖; 圖5說明依據本發明第三具體實施例兒排的氣體分配; 圖6說明依據本發明第四具體實施例兒排的氣體分配; 圖7 A說明依據本發明第五具體實施例兒排的氣體分配; 圖7B說明依據本發明第六具體實施例兒排的氣體分配;及 圖8說明氣體分配的安排,其中固定的孔被用以分離進 給到電漿反應室中的氣體。 較佳具體實施例之詳細敘述 為了更瞭解本發明,以下詳細敘述可參照本發明,其中 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂
線 五、發明説明(5 說明並敘述本發明較佳的示範具體實施例,此外,用以確 認途中類似元件的參考編號,從頭到尾都是一樣的。 依據本發明,一氣體供應配置供給預期部分的混合製程 用氣體,至處理室中的眾多區域,氣體供應配置可用於任 一種半導體製程裝置,其中一般希望能選擇性地在半導體 基板上分配製程氣體,這種裝置包括CVD系統、灰化爐、電 容耦合電漿反應室、電感耦合電漿反應室、ECR反應室等等 〇 依據本發明之第一具體實施例,混合的製程氣體,透過 一氣體分配系統輸送至半導體處製程室中的多重區域,例 如反應電漿蝕刻爐,或其他真空製程反應室,同時基於給 定之設定點,與至少一流動速率讀數,同步迴授控制輸送 至每一區的製程氣體之部分,在蝕刻半導體晶圓上的二氧 化矽、鋁、或多晶矽薄膜時,一般希望供給受控制比例的 混合製程氣體,至靠近晶圓中心與邊緣的區域,最佳氣體 進給空間分配有助於達到整個晶圓上均勻的蝕刻結果,儘 管預期的比例取決於應用上,例如,對某種應用,進給如 75%製程氣體至晶圓的邊緣,並進給25%製程氣體至晶圓 的中心是有優點的,在不同的蝕刻應用上,可用同樣工具 執行者,進給如10%製程氣體至晶圓的邊緣,並供給90% 至晶圓的中心,此外,一般希望改變複雜的多步驟蝕刻說 明書中,以步進方法輸送至兩區中製程氣體的比例,在先 前技藝配置中,基於利益的用途與蝕刻均勻性的需求上之 交易,通常會選擇氣體進給的固定空間分配(如,特定孔位 -8- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 522483
、發明説明(6 圖案的蓮蓬頭結構)。 ,發明之氣體分配系統可提供及時 關-能力’該氣體在製程室中被輸送至多重區,以:: 製程罜中的中性氣體成分,並夢 夕 勾的製程_)結果,對進==整個晶圓上均 4 σ μ 野進隖的丰導體製程設備而言, =疋特別有料特性,其中積體電路製t中,用的是較大 直徑的晶圓,元件的尺寸則持續縮小。 本發明之氣體分配系統可在真空室中執行,其製程氣體 供應精由進給氣體供應線、壓力調節器、質流控制器(MFCs) 、各種:斷閥以及奶合歧管,依據本發明之氣體供應配置 貫際上位於混合歧管與真空製程(㈣或⑽室,並可將混 合氣流分離成多管線,輸送至室中的多重區域,由氣二 中輸送至製程室的氣流,最好由電腦控制,-般為用於: 制室中製程/操作參數之相同電腦,在操作上,使用者可文 定氣體分配系統’以特定的流速流動一或多種氣體,以進 給混合製程氣體至反應室,以蝕刻該晶圓,例如,在鋁蝕 刻用途上’使用者可以在主要蚀刻步驟中,分別以刚、 'S/Cm的流速流動Ch、Bcl3、以及&的混合氣體,製 程氣體元件的流速可由MFCs控制並監控。 在-設計當中,本發明可包括至少一流量量測裝置,一 流量控制裝置,以及一迴授控制系統,用以控制輸送至室 中至少兩區的混合氣流之比例’迴授控制系統可以包括在 可成是邏輯裝置或電腦上的類比電路與/或數位控制演算。 圖1顯示依據本發明之氣體分配系統之第—具體實施例 -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 522483 A7 B7 五、發明説明(7 ) 之圖示,其中製程室10經由氣體供應線12 (可提供製程氣體 至蓮蓬頭或其他安排在製程室上半部的氣體供應配置)與 氣體供應線14 (可供應製程氣體至製程是下半部如,至環繞 基板固定座的氣體分配環或透過安排在基板支撐座之氣體 出口)供應製程氣體,然而,一交替的雙氣體進給配置,可 供應氣體至室頂的中心與周圍,製程氣體透過氣體供應器 16、18、20供應至氣體線12、14,來自供應器16、18、20 的製程氣體分別被供應至質流控制器22、24、26,質流控 制器22、24、26供應製程氣體至混合歧管28,之後混合氣 體經過選用的流量計30,可一次導引混合製程氣體至流動 線12、14,流動線12可包括選用的流量限制元件32且流動 線14可包含一流量量測元件3 4與迴授控制閥3 6,控制系統 40監控流量量測元件34,並有效地控制質流控制器22、24 、2 6與迴授控制閥3 6,此迴授控制系統允許輸送至製程室 中兩區的混合氣體之比例可被調整,選用的流量限制元件 32可以是固定孔或針閥之類的。 操作上,使用者可選擇氣體盒中每一進給氣體流的設定 點,且可選擇輸送至製程室每一區的混合氣流的部分,例 如,使用者可選擇一氣流為100 CI2/2OO BCl3/4 seem 〇2 ,其75%經管線12輸送,25%經管線14輸送,混合氣流在個 別輸送線的部分之控制,是藉由管線14中迴授控制閥的反 覆調整,基於管線14中相關於其目標流量所量得的實際流 量,將總流量,此處為總和氣體盒中質流控制器22、24、 26流量讀數而量得,與室中輸送線12中流量計量得的流量 -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 522483 A7 B7 五、發明説明(8 ) 比較,控制器可調整管線14中閥36之節流程度,以達到預 期的流量分配,交替地,可在混合歧管28的下游處設立一 選用的總流量計,以量測混合氣體的總流量,而非以總和 氣流盒中質流控制器22、24、26之讀數決定總流量。 在總二f疋由總和氣流盒中質流控制器的讀數所決定 時’這些量得的流速可以被轉換成等效的參考氣體如氮氣 之每分鐘多少標準立方公分(seems),已提供一般情形準確 且有彈性的控制,其中每一過程的氣體混合物不同,因此 ’可用一計算轉換混合氣流成’’等效氮氣流,,,且管線14中 的流量量測元件可被校正以量測,,等效氮氣流,,,始能將所 有流量量測至於同一基準點,如一例中,在一般熱基準質 流計中,100 seem的 C12等效於 116· 5 seem的 n2,200 seem 的BCI3等效於444· 4 seem的氮氣,4 seem的〇2等效於4.08 seem的N2 ,因此,在上述例子中,混合氣體的‘‘等效氮氣 流”為564· 98 seem,以迴授控制閥經管線輸送25%,控制 迴路可調整閥以達到此例中,流量讀數為 〇· 25*564. 98 = 141.2 seem的氮氣,注意在穩定狀態下,氣 月豆益中全部混合氣流,最終會到達室中,因為管線1 2中選 用的流量限制器,不會在該過程中被調整,而壓力會在混 合歧管中自然形成,直到流入氣流總量等於流出氣流總量 〇 圖2顯示一流程圖,總結用於以圖丨支裝置控制分離氣體 進給的方法,此流程可被重複地以卜5〇〇 Hz之間(例如5〇 Hz)的速率,在一給定過程中執行,以便調整說明書條件的 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 522483
改變’與即時基準的流動,如圖2所示,流程圖說明了步驟 ’其中S1為輸人分流設定點,如供應至製程室之特別部分 的流速百分比的步騾,S2為讀取或決定供應至製程室之總 混合氣體流速的步驟,S3說明基於分流設定點與總流速, 計算管線14之目標流量之步驟,S4說明在管線咐讀取氣 體$速的步驟,S5說明計算管線14中流量誤差的步驟,及 被定義為f線14爭目標與實際流速的差別,以及S6說明用 比例(P)比例積分(P〗)以及比例—積分-微分(pI〕)互補, 調整閥的控制設定點(如開放的百分比),…咸少管線財 流量誤差的步驟。 圖3說明依據本發明氣體流動配置之第二與最佳具體實 施例,其中管線12中之選用的流量限制器,可用流量計42 與迴杈控制節流閥44之組合加以取代,例如一設計,其中 製程進給氣體是用兩節流閥與兩流量計分離,_或兩節流 閥之開放孔’可被基於比較使用者選擇的分流與流量計讀 數而加以調整,傳統上,流量計與節流閥(包含在虛線盒 中)之組合,可用傳統質流控制器加以完成,其中控制系統 傳迗個別H動設定點控制至每—支柱,以達到使用者選 擇的分%,由#可主動調整及控制每一氣體輸送線之傳導 性,此設計提供較圖丨者稍有彈性,例如,這允許在任一管 線中從0-100%調整分流的能力,相反地,圖丨的設計不允許 100:流動經由管線14輸送,而不需某些(手動)調整選用的 流量限制器32’此外,圖3之設計提供每_混合氣體輸送線 中氣流-即時的量測,可允許在生產處理晶圓_,即時偵 -12- 本紙乐尺度適用中國國豕標準(CNS) Α4規格(210X297公爱)
裝 η
線 B7 五、發明説明(1〇 ) 測錯誤(例如,偵測在給定管線中的流動障礙或氣體盒中質 流控制器,正的漂移),在操作中,-或兩節流閥之開放孔 足凋正,疋基於使用者選定分流設定點與實際取決於管線 12或14之量得的泥量與總流量(由總和管線12與14中個 別流量計讀數所量得)比例的實際分流部分,便利地,管線 12與14足一或兩者中流量計與節流閥(虛線盒所包含)之組 口可以傳統質流控制器完成,其中控制系統輸送個別流 動設定點控制製管線12與14中之每一質流控制器,以達到 使用者所選定的分流。 在管線12與14中使用傳統質流控制器的情況下,一般而 ^ 負流L制器最好在另一質流控制器被控制電路或微 處理器(或等效者)上的演算主動控制時,收到全開的指令 ,以達到使用者選定的分流,確保總混合氣流等同於流出 的總混合氣流’因此,在管線12與14中質流控制器的氣流 上游,不會有非必要的或多餘的囤積,這會影響室中最終 的製程結果,此外,這可消除這些質流控制器主動與其他 脱爭可用的氣體供應之可能性,其可能導致控制上的不穩 定,假設管線12與14中之質流控制器一致(例如,相同流量 校正與容積,相同壓降等等),且具最大需求設定點之管線 中質流控制器被正常地控制為全開狀態,同時在另一管線 中足質流控制器,被基於設定點與個別流量讀數主動控制 ,以達到在該管線中較低的流量,這可以如下加以解釋, 如果質流控制器一致且都被重為全開狀態,那麼在每_管 線中的流動部分為50%,為達到管線12中超過5〇% ,必須減 -13- 本纸張尺度適用中g g家標準(CNS) ^規格(21GX297公爱了 522483 A7 ______B7^____ 五、發明説明(11 ) 少管線14中的流動傳導性(藉由部分關閉管線14節流閥), 此動作會引起混合歧管壓力輕微增加,會引起經過管線j 2 的流量增加,因為給定管線中之流量是與該管線中壓降成 正比的’為了說明,控制至全開狀態的質流控制器是指” 主動”質流控制器,而被主動控制的質流控制器指的是,,被 動”質流控制器。 , 貝際上’因為製造上的誤差,對兩質流控制器而言,有 一致的壓降是不尋常的,儘管流量控制與校正可能為一致 的,事實上,在某些情況下,使用不同的質流控制器範圍 以達到預期的控制效能是有用的,例如,可用1 〇〇〇 sccm 與250 seem的質流控制器組合,以提供4倍優於以25〇 sccm 質流控制器對管線中低流量之流量控制準確度,以較低範 圍的質流控制器,可達到管線中較佳的解析度,因為質流 控制器通常僅控制流量降至完整範圍的少數百分比,(質流 控制器的積分PID調整將會彌補這些差別),因此,對給定 的分流設定點,選擇何者為主動質流控制器,何者為被動 質流控制器,一般是基於可用兩質流控制器被控制至全開 狀態下,量測特定質流控制器的自然分流所決定,此外, 除了所使用質流控制器(例如,1 000與25〇 sccm質流控制器 對1000與1 000質流控制器)的相關範圍與流速之外,此自然 分流可以是流動的氣體型態之函數,所已有必要使用查詢 表,以選擇給定狀況下,適當的主動與被動質流控制器, 此外,控制運算可包括控制迴路的檢查,以確定是否選對 了主動(全開的)質流控制器,並基於使用者選定之分流誤 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公楚) 522483 A7 B7 發明説明(12 差等,依需要碉整主動的選擇。 此,又汁的正個挺制運算(主動/被動檢查特性)總結於圖 ^所不的心私圖,其顯不一基本功能流程圖,用以獨立控制 氣流至製程室之至少兩不同區域,其中sl〇為輸入總氣流混 合氣體分離設定點的步驟,Su則是讀取每一氣體輸送管線 (如被處理的晶圓中心與邊緣)的實際流量,並決定總流量 的步驟,S12為基於分流設定點與總流速,計算每一氣體輸 送線之目標流量之步驟,S13為選擇主動與被動(被控制) 流量控制器的步驟,S14為計算被動流量控制器設定點,以 符合目標流量(此步驟可藉由計算當時分流誤差,並以piD 補償新設定點之計算,加速達到目標流量)之步驟,以及s工5 為寫下設定點至每一氣體輸送線流量控制器(如被處理的 晶圓中心與邊緣)之步驟,分流進給控制的反應時間,可藉 由加入PID補償至被動質流控制器之計算的目標流量,進一 步加以改善,例如,加入比例補償於新被動設定點,可藉 由以下列方程式計算新設定點來達成: 新被動MFCX流量設定點=被動質流控制器目標流量+比 例增益* (被動質流控制器目標流量-被動質流控制器實際 流量)。 圖5顯示本發明另一有區別的設計,其中製程進給氣體 是用”一進二出’’(one-input-two-output)節流閥46加以分 離,其中控制設定點基於預定的閥校正,選擇由每—支柱 排出氣流的部分,此設計之一限制為,閥分流部分的校正 是與氣體組成及流量有關的,若無流量計以監控每一輸送 -15- 本紙張尺度適用中®國家標準(CNS) A4規格(210X297公釐)
裝 訂
線 522483 A7 B7 五、發明説明(13 ) 線之相關流量,在給定氣流中既定氣體混合物之分流的精 確度,會因為不同製程條件而有差別,缺乏管線内流量計 也可防止如‘氣流障礙或校正的漂移等情況的錯誤偵測,其 可導致晶圓製程結果上製程的漂移,本設計之另一缺點為 目前比較起廣泛可得的商用質流計,流量控制閥,以及質 流控制器,商用可得的一進二出節流閥的短缺。 圖6顯示藉由提供至少一流量計42、34於氣體輸送線中 ,擴大圖5之設計,以允許分流閥之迴授控制,與錯誤偵測 能力,如果圖6終止使用一流量計,總流量量測將取決於總 和氣體盒中質流控制器之流量讀數,如果使用兩流量計, 那麼總流量可取決於總和由管線12與14中流量計量得的流 量° 圖7A顯示依據本發明第5具體實施例之氣體噴射配置, 其中來自氣體混合歧管28之氣體被分離以供應上氣體進給 線12與周圍氣體進給線14,兩者均包括一流量計42、34, 以及一迴授控制節流閥44、36,在顯示的具體實施例中, 美國專利第4, 948, 458號(本文參考並包含其内容)中所示 室中之介電窗口中心,可具有上氣體進給,而窗口下的氣 體還可具有周圍氣體進給。 圖7B顯示依據本發明第六具體實施例之氣體喷射系統 ,其中氣體進給供應給兩區蓮蓬頭,此例在常見的美國申 請書第09/343,690 (P510)號中有敘述,本文中參考並包含 其内容,如所示氣體噴射系統由氣體混合歧管28至中心充 實通道氣體進給線50,以及配置為由中心充滿50向外的環 -16- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 522483 五 A7 B7 、發明説明(14 ) 狀外部充實通道氣體進給線52,中心充實可具有不同的組 態如較外部空間直徑小的環型空間。 圖8顯示一種配置,其中在混合歧管下游的一或多個固 定孔或氣流孔,被用以分離進給到處理室中眾多位置的氣 體,圖8的配置已經用於平面面板顯示蝕刻工具的設計中, 其中使用中央與邊緣氣流噴射,中心氣體進給線有一固定 孔,插at於進給至單一中心氣體進給噴射器的管線中,同 時邊緣進給氣體並無固定孔,而會進給眾多邊緣喷射器, 在中心噴射器的固定孔之目的為,限制氣體供應至室中心 ,亦即,若無固定孔,流入室中心的氣流部分會比預期來 得大。 依據本發明之氣體分佈系統可用於高密度電漿反應室 ,這種電漿反應室一般有使用RF能量的高能量來源、微波 能量、磁場等等,以製造高密度電漿,例如,高密度電漿 可在變壓耦合電漿中生成,其也稱為感應耦合電漿反應室 、電子-粒子迴旋加速器共振電漿反應室、螺旋電漿反應室 或之類的,在一般擁有的美國專利第5, 820, 723中有敘述可 提供高密度電漿的高流量電漿反應室之一例,本文中有引 用並參考之。 依據本發明之氣體分配系統可用於電漿蝕刻製程,其中 由先前具體實施例之第一與第二氣體供應器供應之製程氣 體,可相對於彼此,如以蝕刻一溝槽為例,氬氣、氧氣與 氟碳化物(如,CHF3與C4F8)的混合氣體可被供應,而在通道 的蝕刻中,送至晶圓中心區域的氧氣流,會被藉由減少供 -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 玎
522483 A7 B7 五、發明説明(15 應至中心區域之混合氣體的流速而減少’在蝕刻低介電係 數私層時,製程氣體可包括一種碳氫化合物如,且 在晶圓的中心與周圍的碳氫化合物/氧氣流速比例,徑向上 可改變以達到均勻的蝕刻,如此,則依據本發明供應至 晶圓中心與邊緣的混合氣體量,可被調整以補償電㈣中 的邊緣快速蚀刻與中心快速蚀刻,例如,在傳統電聚蚀刻 益中’邊緣快速姓刻條件直到光阻在中心快速蚀刻發生後 被腐I虫’才會發生,有了依據本發明之氣體分配裝置,告 晶圓有-光阻層時,更多的氧氣可被供應至中心,反之: 二:層=姓殆盡時’會減少至中心的氧氣流量,結果: =仙邊緣快速及中心快速姓刻條件,可達到更均勻的 本發明已經參考較佳具體實施例 該r者顯而易見的是,亦可能“定Π 線 僅供說明用,不應在任何方向上有圭具體實施例 附加申請專利範圍所定,而非先前本發明之範圍由 範圍内的所有變化與等效者,將包本;:其而落在申請專利 -18- 297公复)
本紙張尺度相中目g家裙準(cns)鐵格㈣X

Claims (1)

  1. 522483 A BCD 々、申請專利範圍 1. 一種用於半導體基板製程中反應室之氣體分配系統,其 •包含: 多個氣體供應源; 一混合歧管,來自眾多氣體供應源的氣體在該混合歧 管内混合; 多個氣體供應線,輸送混合氣體至室中不同區,氣體 供應線包括第一氣體供應線,輸送混合氣體至室中第一 區,以及第二氣體供應線,輸送混合氣體至室中第二區 至少一控制閥,控制在第一與/或第二氣體供應線中 的混合氣體流速,使得在第一與第二氣體供應線中可達 預期的混合氣體流速比例; 至少一流量量測裝置,可量測第一與/或第二氣體 供應線中的混合氣體流速;以及 一控制器,控制至少一控制閥,以回應藉由該至少 一流量量測裝置所量得之流速。 2. 如申請專利範圍第1項之氣體分配系統,其中該控制器 包含一電腦或可程式邏輯裝置,可操作該至少一控制閥 ,使得輸送至該多個氣體供應線之至少一條之混合氣體 部分,可在該室中半導體基板的製程中,由第一設定點 改變至第二設定點。 3. 如申請專利範圍第1項之氣體分配系統,其中控制閥與 流量量測裝置位於第一氣體供應線沿線。 4. 如申請專利範圍第1項之氣體分配系統,其中該至少一 -19 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    522483 A8 · B8 C8 ' --------- D8 *、申請專利範^ ' - --- 控制閥包含第一與第二控制閥,且至少一流量量測裝置 包含第一'與第二泥量量測裝置,第一控制閥與第一流量 量測裝置位於第一氣體供應線沿線,第二控制閥與第二 泥量量測裝置則位於第二氣體供應線沿線。 5·如申請專利範圍第丨項之氣體分配系統,其中該至少一 控制閥與至少一流量量測裝置包含位於第一氣體供應 線沿線之單一控制闕與單一流量量測裝置,該裝置進一 步包括位於第二氣體供應線沿線的流量限制裝置。 6·如申請專利範圍第1項之氣體分配系統,其中控制閥包 含一可變分流閥,可操作而將混合氣體之第一部份輸送 至第一供應線,並將混合氣體之第二部份輸送至第二供 應線。 " 7·如申請專利範圍第6項之氣體分配系統,其中該至少一 流量量測裝置包含第一與第二流量量測裝置,第一=量 量測裝置測量第一氣體供應線中的混合 流量量測裝置測量第二氣體供應線中的混合 二且孩控制器操作該至少一控制閥,以回應藉由第一與 第二流量量測裝置所測得之流速。 8·如申請專利範圍第1項之氣體分配系統,其中唁至少一 控制閥包含位於第一氣體供應線沿線之第一控制間7,與 位於第二氣體供應線沿線之第二控制閥,控制器操作第 一控制閥,以保持全開狀態,並主動操作第二抄制閥, 以提供第二氣體供應線中低^第—氣體供應線工中之流 速0 -20- 、申請專利範圍 9.如申請專利範圍第1項之氣體分配系統,其中該至少一 控制閥包含位於第一氣體供應線沿線之第一控制閥,與 位於第二氣體供應線沿線之第二控制閥,控制器運作第 一控制閥,以保持全開狀態,並主動控制第二控制閥, 以提供第二氣體供應線中低於第一氣體供應線中之流 速。
    10 ·如申請專利範圍第1項之氣體分配系統,進一步包含在 氣體供·應源與混合歧管之間的質流控制器,質流控制器 控制供應入混合歧管中的氣體流速。 11·如申請專利範圍第1項之氣體分配系統,其中該室包含 一電漿蝕刻室,其中RF能量被用於激發混合氣體至電漿 狀態。
    12. —種處理反應室中基板的方法,其中一氣體分配系統包 括多個氣體供應源、一混合歧管,來自多個氣體供應源 的氣體在該混合歧管内混合,多個氣體供應線輸送混合 氣體至該室中不同區,氣體供應線包括第一氣體供應線 ,輸送混合氣體至該室中第一區,以及第二氣體供應線 ,輸送混合氣體至該室中第二區,至少一控制閥,控制 在第一與/或第二氣體供應線中的混合氣體流速,使得 在第一與第二氣體供應線中可達到混合氣體的預期流 速比例,至少一流量量測裝置,測量第一與/或第二氣 體供應線中的混合氣體流速,以及一控制器,操作至少 一控制閥,以回應藉由至少一流量量測裝置測量得的流 速_,該製程包含: -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 々、申請專利範圍 供應半導體基板至該反應室; •以至少一流量量測裝置測量第一與/或第二氣體供應 線中的混合氣體流速;以及 藉由供給混合氣體至第一與第二區,處理基板,藉由 控制器調整該至少一控制閥,以回應由至少一流量量測 裝置所量得之流速。
    13.如申請專利範圍第1·2項之方法,其中控制器操作該至少 一控制.閥,使得第一氣體供應線中的混合氣體流速,在 基板的製程中,從第一設定點改變至第二設定點。 14·如申請專利範圍第12項之方法,其中第一氣體供應線中 之混合氣體流速可被測量,且該至少一控制閥被調整以 控制在第一氣體供應線中的混合氣體流速b
    15.如申請專利範圍第12項之方法,其中該至少一控制閥包 含在第一氣體供應線中的第一控制閥,與在第二氣體供 應線中的第二控制閥,該方法包括測量第一與第二氣體 供應線中的混合氣體流速,並調整第一與/或第二控制 閥,以控制在第一與第二氣體供應線中的混合氣體流速 16·如申請專利範圍第12項之方法,其進一步包含測量在第 一氣體供應線中之混合氣體流速,調整該至少一控制閥 ,以控制在第一氣體供應線中之混合氣體流速,以及導 引混合氣體通過在第二氣體供應線中之流量限制裝置。 17.如申請專利範圍第12項之方法,其中該至少一控制閥包 含一可變分流閥,該方法包括操作可變分流閥,以輸送 -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐).
    混合氣體之第—却々V $ @ 瓜十 M Y 邵伤至罘一供應線,並輸送混合氣體之 罘一邵分至第二氣體供應線。 18.如申請專利範圍第項 i /、 法,其中至少—流量量測裝 :、罘與第-氣體供應線中的混合氣體流速,且 控制閥被操作以回應測得的流速。 專利知圍第12項之方法,其中半導體基板包含一 叩圓忑方法包括在晶圓上乾蝕刻介電、半導體或傳 導材料.層。 別·如申請專利範圍第12項之方法,其中該方法包括沈積一 層材料在半導體基板上。 21. :申請專利範圍第12項之方法,其進一步包含在氣體供 〜源與混合歧管之間操作質流控制器,以控制供應入混 合歧管之氣體流速。 22. 如申請專利範圍第㈣之方法,纟中該*包含一電蒙蚀 刻室,其中RF能量感應耦合入該室中,該方法包括以電 漿蚀刻基板。 23.如申請專利範圍第12項之方法,其中該室包含一電漿蝕 刻室,其中電漿在該室中產生,該方法包括以電漿蝕刻 基板上的二氧化矽、鋁或多晶矽。 24·如申請專利範圍第丨2項之方法,其中該室包含一電衆餘 刻至’其中電漿在該室中產生,該方法包括在混合歧管 中混合至少一種選自eh、HCh與HBr的鹵素氣體,與選 自CHF3與CF4的〇2、N2或氟後化合物,以激發混合氣體至 電漿狀態,並以電漿蝕刻基板。 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 々、申請專利範圍 25.如申請專利範圍第12項之方法,其中該室包含一電漿蝕 刻室,其·中電漿產生於該室中,該方法包括混合氟碳化 合物與〇2或C2H4激發混合氣體至電漿激發狀態,並以電 漿蝕刻基板。.
    26·如申請專利範圍第12項之方法,其中該控制器監控由供 應至混合歧管之氣體供應的總氣流量,並將在氣體供應 線其中之一的總氣流量及測得之氣流量,與第二氣體供 應線之.目標氣流量比較,該至少一控制閥可由控制器重 複地調整,以達到在第一與第二氣體供應線中之預期流 速。 27.如申請專利範圍第26項之方法,其中使用第一與第二質 流控制器,第一質流控制器在全開狀態下操作,而第二 質流控制器之設定點被重複地調整。
    28·如申請專利範圍第26項之方法,其中使用第一與第二質 流控制器,且藉由基於當時的流量讀數,加上當時與目 標流量之間差別的倍數,重複地施加新設定點至受控制 的質流控制器,以將氣體分流的設定時間減少。 -24- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
TW090124415A 2000-10-06 2001-10-03 Gas distribution apparatus for semiconductor processing TW522483B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/680,319 US6333272B1 (en) 2000-10-06 2000-10-06 Gas distribution apparatus for semiconductor processing

Publications (1)

Publication Number Publication Date
TW522483B true TW522483B (en) 2003-03-01

Family

ID=24730618

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090124415A TW522483B (en) 2000-10-06 2001-10-03 Gas distribution apparatus for semiconductor processing

Country Status (8)

Country Link
US (2) US6333272B1 (zh)
EP (1) EP1323178A2 (zh)
JP (2) JP4838971B2 (zh)
KR (1) KR100725615B1 (zh)
CN (1) CN100358080C (zh)
AU (1) AU2001296338A1 (zh)
TW (1) TW522483B (zh)
WO (1) WO2002031858A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI613699B (zh) * 2015-02-27 2018-02-01 尤金科技有限公司 多重氣體供應方法及多重氣體供應裝置

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US7418504B2 (en) 1998-10-30 2008-08-26 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6826616B2 (en) 1998-10-30 2004-11-30 Science Applications International Corp. Method for establishing secure communication link between computers of virtual private network
US6502135B1 (en) 1998-10-30 2002-12-31 Science Applications International Corporation Agile network protocol for secure communications with assured system availability
AU765914B2 (en) 1998-10-30 2003-10-02 Virnetx Inc. An agile network protocol for secure communications with assured system availability
US10511573B2 (en) 1998-10-30 2019-12-17 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE10057824A1 (de) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Vorrichtung und Verfahren zur Anpassung einer Ionenstrahlfleckgröße in der Tumorbestrahlung
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
EP1399789A1 (en) * 2001-05-24 2004-03-24 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US20030013212A1 (en) * 2001-07-10 2003-01-16 Mitchell Bradley Dale System and method for removing deposited material from within a semiconductor fabrication device
JP3961262B2 (ja) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線発生装置
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
KR100825103B1 (ko) * 2002-05-16 2008-04-25 삼성전자주식회사 액정 표시 장치 및 그 구동 방법
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7809473B2 (en) 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6948508B2 (en) 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6843139B2 (en) * 2003-03-12 2005-01-18 Rosemount Inc. Flow instrument with multisensors
JP4454964B2 (ja) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
AU2003265542A1 (en) 2003-08-20 2005-03-10 Veeco Instruments Inc. Alkyl push flow for vertical flow rotating disk reactors
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050075685A1 (en) * 2003-10-02 2005-04-07 Forsberg John W. Medical device programmer with infrared communication
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060065523A1 (en) * 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7535688B2 (en) * 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
JP2008543563A (ja) * 2005-06-22 2008-12-04 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 一体型のガス混合装置およびプロセス
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
SG2014011944A (en) 2005-08-30 2014-08-28 Advanced Tech Materials Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
KR100766132B1 (ko) * 2005-08-31 2007-10-12 코바렌트 마테리얼 가부시키가이샤 가스 분산판 및 그 제조방법
JP4911982B2 (ja) * 2006-02-06 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US20090137192A1 (en) * 2007-11-28 2009-05-28 Mks Instruments, Inc. Multi-zone pressure control system
US8628616B2 (en) 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US8794267B2 (en) * 2007-12-27 2014-08-05 Lam Research Corporation Gas transport delay resolution for short etch recipes
US20090178714A1 (en) 2008-01-14 2009-07-16 Tokyo Electron Limited Flow control system and method for multizone gas distribution
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
JP5743895B2 (ja) * 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
CN202855717U (zh) * 2009-09-10 2013-04-03 朗姆研究公司 等离子体反应室的可替换上室部件
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
SG10201407637TA (en) * 2009-11-30 2015-01-29 Lam Res Corp An electrostatic chuck with an angled sidewall
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8397739B2 (en) * 2010-01-08 2013-03-19 Applied Materials, Inc. N-channel flow ratio controller calibration
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
CN102091544A (zh) * 2010-12-09 2011-06-15 中国人民解放军军事医学科学院 一种气体调配装置
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US10002747B2 (en) 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
JP6017170B2 (ja) * 2012-04-18 2016-10-26 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
CN103591458B (zh) * 2012-08-17 2017-04-12 诺发系统公司 气体分配网络中的流量平衡
US9004107B2 (en) 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10114389B2 (en) * 2013-06-28 2018-10-30 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feedback
US10108205B2 (en) * 2013-06-28 2018-10-23 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feed-forward adjustment
JP6193679B2 (ja) * 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR102122113B1 (ko) * 2014-10-17 2020-06-29 램 리써치 코포레이션 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
JP2016122346A (ja) * 2014-12-25 2016-07-07 株式会社東芝 空気供給システム
DE102015100762A1 (de) * 2015-01-20 2016-07-21 Infineon Technologies Ag Behälterschalteinrichtung und Verfahren zum Überwachen einer Fluidrate
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
WO2017146558A1 (es) * 2016-02-23 2017-08-31 Electro Controles Del Noroeste S.A. De C.V. Sistema modular dosificador de fluidos y su proceso
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) * 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
JP6543228B2 (ja) * 2016-08-11 2019-07-10 Ckd株式会社 ガス分流制御システム
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR20240046651A (ko) * 2017-09-26 2024-04-09 램 리써치 코포레이션 펄스 폭 조정된 도즈 제어를 위한 시스템들 및 방법들
KR102066776B1 (ko) * 2017-12-11 2020-01-15 임용일 통합 분석 제어기에 의한 질량 유량 제어기 최적화 통합 시스템
EP3521816A1 (fr) * 2018-02-06 2019-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Méthode de contrôle sur site de la qualité des gaz livrés sur un site industriel consommateur utilisant la technique de la conductivité thermique
US10591934B2 (en) * 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US10698426B2 (en) * 2018-05-07 2020-06-30 Mks Instruments, Inc. Methods and apparatus for multiple channel mass flow and ratio control systems
US10801109B2 (en) * 2018-08-29 2020-10-13 Lam Research Corporation Method and apparatus for providing station to station uniformity
CN111341689B (zh) * 2018-12-18 2023-03-10 中微半导体设备(上海)股份有限公司 气体流量控制装置和控制方法及应用该装置的半导体设备
JP7024740B2 (ja) * 2019-01-16 2022-02-24 株式会社デンソー 半導体製造装置
KR20210113406A (ko) 2019-02-05 2021-09-15 어플라이드 머티어리얼스, 인코포레이티드 다중 채널 분할기 스풀
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN114641748A (zh) * 2019-11-19 2022-06-17 林德有限责任公司 智能气体混合器
CN111162004B (zh) * 2019-12-27 2022-08-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 半导体设备内部环境的调整方法、装置和电子设备
SG10202101459XA (en) * 2020-02-25 2021-09-29 Kc Co Ltd Gas mixing supply device, mixing system, and gas mixing supply method
KR20210123128A (ko) * 2020-04-02 2021-10-13 삼성전자주식회사 반도체 장치의 제조에 사용되는 장치
JP2021179739A (ja) * 2020-05-12 2021-11-18 株式会社堀場エステック 流量比率制御システム、成膜システム、異常診断方法、及び異常診断プログラム
CN113205995B (zh) * 2021-05-08 2022-04-08 长鑫存储技术有限公司 气体分配装置、等离子体处理装置、方法及半导体结构
US20230088457A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. Energy efficiency improvement with continuous flow modulation in cluster tool
WO2023132916A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Composition mixture control of efem environment
CN115193277A (zh) * 2022-06-17 2022-10-18 深圳市德明利光电有限公司 一种用于氧化制程的气体混合装置及处理设备
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH06101423B2 (ja) * 1986-07-25 1994-12-12 東京エレクトロン株式会社 半導体ウエハ処理装置
JP2668687B2 (ja) * 1987-11-27 1997-10-27 富士通株式会社 C v d 装 置
US4949670A (en) * 1988-11-04 1990-08-21 Tegal Corporation Method and apparatus for low pressure plasma
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
DE69227575T2 (de) * 1991-12-30 1999-06-02 Texas Instruments Inc Programmierbarer Multizonen-Gasinjektor für eine Anlage zur Behandlung von einzelnen Halbleiterscheiben
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH0628040A (ja) * 1992-07-10 1994-02-04 Sony Corp マスフローコントローラー
US5997950A (en) * 1992-12-22 1999-12-07 Applied Materials, Inc. Substrate having uniform tungsten silicide film and method of manufacture
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH11330468A (ja) * 1998-05-20 1999-11-30 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6058729A (en) * 1998-07-02 2000-05-09 Carrier Corporation Method of optimizing cooling capacity, energy efficiency and reliability of a refrigeration system during temperature pull down
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
TW448503B (en) * 1999-03-11 2001-08-01 Toshiba Corp Method for dry etching
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI613699B (zh) * 2015-02-27 2018-02-01 尤金科技有限公司 多重氣體供應方法及多重氣體供應裝置

Also Published As

Publication number Publication date
US20020042205A1 (en) 2002-04-11
JP2004511905A (ja) 2004-04-15
EP1323178A2 (en) 2003-07-02
KR20040004391A (ko) 2004-01-13
WO2002031858A2 (en) 2002-04-18
JP2011233905A (ja) 2011-11-17
WO2002031858A3 (en) 2002-09-06
CN100358080C (zh) 2007-12-26
KR100725615B1 (ko) 2007-06-07
AU2001296338A1 (en) 2002-04-22
CN1468441A (zh) 2004-01-14
US6333272B1 (en) 2001-12-25
JP4838971B2 (ja) 2011-12-14
US6508913B2 (en) 2003-01-21

Similar Documents

Publication Publication Date Title
TW522483B (en) Gas distribution apparatus for semiconductor processing
TWI685579B (zh) 具有可調式氣流控制用氣體分離器之氣體供應輸送裝置
TWI336496B (en) Uniform etch system
TWI717374B (zh) 氣體傳輸系統
CN105428194B (zh) 抑制寄生等离子体和减少晶片内非均匀性的系统和方法
US20040112540A1 (en) Uniform etch system
TWI761337B (zh) 基板處理系統
US8313611B2 (en) Gas switching section including valves having different flow coefficients for gas distribution system
US9951423B2 (en) Systems and methods for measuring entrained vapor
JP2002129337A (ja) 気相堆積方法及び装置
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN112262464A (zh) 包括具有经冷却的面板的喷头的衬底处理室
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
CN112313787A (zh) 改善双重图案化工艺的方位关键尺寸不均匀性
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees