JP2004511905A - 半導体処理のためのガス供給装置 - Google Patents

半導体処理のためのガス供給装置 Download PDF

Info

Publication number
JP2004511905A
JP2004511905A JP2002535153A JP2002535153A JP2004511905A JP 2004511905 A JP2004511905 A JP 2004511905A JP 2002535153 A JP2002535153 A JP 2002535153A JP 2002535153 A JP2002535153 A JP 2002535153A JP 2004511905 A JP2004511905 A JP 2004511905A
Authority
JP
Japan
Prior art keywords
gas supply
gas
flow
supply line
control valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002535153A
Other languages
English (en)
Other versions
JP4838971B2 (ja
Inventor
マックミリン, ブライアン, ケー.
クノップ, ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004511905A publication Critical patent/JP2004511905A/ja
Application granted granted Critical
Publication of JP4838971B2 publication Critical patent/JP4838971B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Abstract

半導体基板処理のためのガス供給システムであって、複数のガス供給部と、複数のガス供給部からのガスを混合する混合マニホールドと、混合ガスをチャンバー内の異なったゾーンへ送る複数のガス供給ラインと、コントロールバルブとを含む。ガス供給ラインは、混合ガスをチャンバーの第1のゾーンへ送る第1のガス供給ラインと、混合ガスをチャンバーの第2のゾーンへ送る第2のガス供給ラインとを含む。コントロールバルブは、第1及び第2のガス供給ライン上で所望の混合ガス流量比を得るために、少なくとも第1のガス供給ライン及び第2のガス供給ラインのいずれかにおける混合ガスの流量を制御する。装置を利用する方法では、半導体基板を反応チャンバーに供給し、混合ガスを第1及び第2のゾーンへ供給し、第1及び第2のゾーンで所望の混合ガス流量比を作るために少なくとも第1のガス供給ライン上及び第2のガス供給ライン上のいずれかの混合ガス流量をコントロールバルブで調節することによって、基板を処理する。

Description

【0001】
【発明の属する技術分野】
本発明は、集積回路ウェーハのような半導体基板処理に用いられる反応チャンバーに関するものであって、特に、これらの反応チャンバーで用いられるガス供給システムの改良に関するものである。
【0002】
【発明の背景】
半導体製造は、金属,誘電体,半導体材料の化学蒸着(CVD)、それらの層のエッチング、フォトレジストマスク層のアッシングなどの蒸着プロセスを含む。典型的に半導体ウェーハ、フラットパネルディスプレイ基板などの基板を扱うためにプロセスガスを用いるそのような半導体プロセスは、真空チャンバー内で行われる。シャワーヘッド、ガス供給リング、ガスインジェクターなどのガス供給システムによって、プロセスガスを真空チャンバーの内部へ供給することができる。複数のガス供給システムを有する反応装置が、米国特許第5,134,965号、同第5,415,728号、同第5,522,934号、同第5,614,055号、同第5,772,771号、同第6,013,155号、同第6,042,687号にそれぞれ開示されている。
【0003】
エッチングの場合、プラズマエッチングは通常、金属、誘電体、半導体材料のエッチングに用いられている。プラズマエッチング反応装置は、典型的には、下部電極上のシリコンウェーハ支持台、プロセスガスをプラズマ状態にするエネルギーを与えるエネルギーソース、チャンバーにプロセスガスを供給するプロセスガスソースを含む。
【0004】
集積回路製造において共通して必要なものは、誘電体材料のコンタクトやビアのような開口のエッチングである。誘電体材料は、フッ化シリコン酸化物(fluorinated silicon oxide:FSG)のようなドープシリコン酸化物(doped silicon oxide)、二酸化ケイ素のようなアンドープシリコン酸化物(undoped silicon oxide)、ボロン燐ガラス(boron phosphate silicate glass:BPSG)やリンガラス(phosphate silicate glass:PSG)のようなケイ酸ガラス(silicate glass)、熱成長させたドープまたはアンドープシリコン酸化物(doped or undoped thermally grown silicon oxide)、ドープまたはアンドープTEOS蒸着シリコン酸化物(doped or undoped TEOS deposited silicon oxide)、などを含む。誘電体ドーパント(dielectric dopants)は、ボロン、リン、及び/またはヒ素を含む。誘電体は、多結晶シリコンや、アルミニウム、銅、チタン、タングステン、モリブデンまたはその合金などの金属や、窒化チタンのような窒化物や、ケイ化チタン、ケイ化コバルト、ケイ化タングステン、ケイ化モリブデンのような金属ケイ化物などの導電体または半導体層の上に重ねることができる。プラズマエッチング技術は、米国特許第5,013,398号のシリコン酸化物の開口エッチングのための平行プレートプラズマ反応装置、に開示されている。
【0005】
米国特許第5,736,457号では、シングル及びデュアル”ダマシン(damascene)”配線プロセスについて記載されている。”シングルダマシン(single damascene)”法においては、ビアと導電体が別々のステップにおいて形成され、導電体又はビアの何れかの配線パターンは、誘電体層にエッチングされる。また、金属層が誘電体層にエッチングされた溝やビアホールへ満たされ、余剰金属が化学機械平坦化(chemical mechanical planarization:CMP)又はエッチバックプロセス(etch back process)によって除去される。”デュアルダマシン(dual damascene)”法においては、ビアと導電体の配線パターンが誘電体層でエッチングされ、金属の充填と余分な金属を除去する単一のプロセスによりエッチングした溝とビア孔が金属で満たされる。
【0006】
ウェーハの表面全体で均一なエッチングレートを得るために、ウェーハの表面全体でプラズマが一様に分布することが望まれる。現在のガス供給チャンバーのデザインは、チャンバー内の個々の領域にガスを供給するために複数の供給ラインと複数のマスフローコントローラー(mass flow controllers : MFC)を含んでいる。しかしながら、現在のガス供給デザインには、多数の部品と、デザインの複雑さと、高いコストとが要求される。したがって、このようなガス供給装置を製造するための複雑さとコストとは削減されることが望ましい。
【0007】
【発明の概要】
本発明は、複数のガス供給部と、前記複数のガス供給部からのガスを混合する混合マニホールドと、チャンバー内の異なるゾーンへ混合ガスを供給する複数のガス供給ラインであって、チャンバー内の第1のゾーンへ混合ガスを送る第1のラインとチャンバー内の第2のゾーンへ混合ガスを送る第2のラインとを含むガス供給ラインと、第1及び第2のガス供給ライン上で混合ガスの所望の流量比を得るために、少なくとも第1のガス供給ライン上及び第2のガス供給ライン上のいずれかの混合ガス流量を制御する少なくとも1つのコントロールバルブと、少なくとも第1のガス供給ライン上及び第2のガス供給ライン上のいずれかの混合ガス流量を測定する少なくとも1つの流量測定装置と、少なくとも1つの流量測定装置によって測定された流量に応じて少なくとも1つのコントロールバルブを操作するコントローラーとを備える、半導体基板処理に用いる反応チャンバーに有用なガス供給システムである。
【0008】
好適な実施形態においては、コントローラーは、チャンバー内で半導体基板の処理中に、複数のガス供給ラインのうち少なくとも1つへ送られる混合ガス比を第1の設定値から第2の設定値へ変えるための少なくとも1つのコントロールバルブを操作するコンピュータ又はプログラマブルロジックデバイスを含む。1つの実施形態としては、少なくとも1つのコントロールバルブは第1及び第2のコントロールバルブを含み、少なくとも1つの流量測定装置は第1及び第2の流量測定装置を含む。そして第1のコントロールバルブと第1の流量測定装置は第1のガス供給ライン上にあり、第2のコントロールバルブと第2の流量測定装置は第2のガス供給ライン上にある。もう1つの実施形態としては、少なくとも1つのコントロールバルブは単一の流量測定装置を含み、少なくとも1つの流量測定装置は第1のまたは第2のガス供給ライン上のどちらかにある単一の流量測定装置を含む。反応チャンバーは、プラズマエッチングチャンバーやCVDチャンバーのような真空チャンバーを含んでもよい。
【0009】
本発明は反応チャンバーでの基板処理方法をも提供するものであり、当該方法は、反応チャンバーへ半導体基板を供給する工程と、少なくとも1つの流量測定装置により、少なくとも第1のガス供給ライン及び第2のガス供給ラインのいずれかにおける混合ガス流量を測定する工程と、第1及び第2のゾーンへ混合ガスを供給することにより基板を処理する工程であって、少なくとも1つの流量測定装置により測定された流量に応じてコントローラーにより少なくとも1つのコントロールバルブが調節される工程とを備える。好適な実施形態としては、コントローラーがガス供給部から混合マニホールドへ供給される全体のガス流量を監視し、全体のガス流量とガス供給ラインの1つで測定されたガス流量を第2のガス供給ラインの目標流量と比較し、第1及び第2のガス供給ライン上で所望のガス流量比を得るためにコントローラーが少なくとも1つのコントロールバルブを繰り返し調節する。半導体基板は、ウェーハ上への金属層の蒸着かまたは、ウェーハ上への誘電体、半導体、導電体層のプラズマエッチングによって作られたシリコンウェーハを含むことができる。
【0010】
本発明の目的及び利点は、以下における詳細な記述を図面と併せて読むことで理解されるであろう。
【0011】
【好適な実施形態の詳細な記述】
本発明をより良い理解のために、以下の詳細な記述においては、本発明の好適で典型的な実施形態を図示・記述する添付図面を引用する。なお、類似の要素を識別するために利用する参照番号は図面全体で一貫して使用するものとする。
【0012】
本発明においては、ガス供給装置は処理チャンバー内の複数のゾーンへ所望の比率で混合ガスを供給する。このガス供給装置は、半導体基板上に選択的にプロセスガスを供給するあらゆるタイプの半導体処理装置に使用することができる。そのような装置には、CVDシステム、アッシング装置(ashers)、容量結合プラズマ反応装置、誘導結合プラズマ反応装置、ECR反応装置などが含まれる。
【0013】
本発明の第1の実施形態によれば、反応プラズマエッチングチャンバーや他の真空プロセス反応装置のような半導体処理チャンバー内の多数のゾーンへ混合プロセスガスがガス供給システムを介して供給され、それと同時に、所定の設定値と少なくとも1つの流量測定値(at least one flow rate reading)に基づいて、各ゾーンへ供給されるプロセスガスの割合(the fraction of process gas)のフィードバック制御が行われる。半導体ウェーハ上の二酸化シリコン、アルミニウム、多結晶シリコン膜のエッチングにおいては、一般にウェーハの中心付近の領域と周辺部(エッジ)の両方に対して、比率の制御された混合ガスを供給することが望ましい。所望の比率はアプリケーションに依存するが、ガス供給の最適な空間分布は、ウェーハ全体で均一なエッチング結果をもたらす。例えば、あるアプリケーションでは、おおまかに言ってプロセスガスの75%をエッジに、25%をウェーハの中心部に供給するのが良いとされている。同じ装置を用いるエッチングの他のアプリケーションでは、プロセスガスの10%をエッジに、90%をウェーハの中心部に供給するのが良いとされている。さらに、複雑な多ステップを持つエッチング法では、ステップ毎に2つのゾーンへ送るプロセスガスの比を変えることが望ましい場合もある。従来の装置では、目的のアプリケーションとエッチングの均一性の要求とのトレードオフに基づいて、ガス供給の固定空間分布(a fixed spatial distribution of gas feed)(例えば、特定のホールパターンを持つシャワーヘッド)が、典型的に選ばれていた。
【0014】
本発明のガス供給システムは、処理チャンバー内におけるガス組成をニュートラルになるように調整し、ウェーハ全体で均一なプロセス(例えばエッチング)結果を得られるようにするために、処理チャンバー内の多数のゾーンへ供給するプロセスガスの割合をリアルタイムで制御する能力を持つ。これは、集積回路製造においてより直径の大きいウェーハが利用され、デバイスの形状(feature sizes on the devices)が縮小し続けるような、高度な半導体処理装置において特に有益な特徴である。
【0015】
本発明のガス供給システムは、ガス供給ライン、圧力レギュレーター、マスフローコントローラー(MFC)、さまざまなシャットオフバルブや配管、混合マニホールドによってプロセスガスを供給する真空チャンバーにおいて実現することができる。本発明に対応するガス供給装置は、混合マニホールドと真空プロセス(エッチングやCVD)チャンバーの間に物理的に位置し、チャンバー内の多数のゾーンへ送る多数のラインへ混合ガスを分配することができる。ガス室からチャンバーへ送られる流量はコンピュータによって制御されるのが好ましく、チャンバーの操作とプロセスパラメータの制御には、典型的には同じコンピュータが用いられる。操作において、ユーザーはガス供給システムに、ウェーハをエッチングする反応装置への混合プロセスガスを供給する1以上のガスの流量を設定する。例えばアルミニウムエッチングを行うアプリケーションの場合、ユーザーはメインエッチングステップの間、Cl、BCl、Nの流量をそれぞれ100、200、4sccmにして混合ガスを流す。プロセスガス成分のフローレート(the flow rates of the process gas components)は、MFCによって制御、監視される。
【0016】
1つの実現方法として、本発明は、少なくとも1つの流量測定装置と、1つの流量制御装置と、チャンバー内の少なくとも2つのゾーンへ供給される混合ガス流量比を制御するためのフィードバックコントロールシステムとを含むことができる。フィードバックコントロールシステムは、アナログ電子回路及び/またはプログラマブルロジックデバイスやコンピュータで実行されるデジタル制御アルゴリズムを含むことができる。
【0017】
図1は、本発明の第1の実施形態に対応するガス供給システムの図であり、プロセスチャンバー10には(シャワーヘッドやチャンバーの上部に付けられた他のガス供給装置へプロセスガスを与える)ガス供給ライン12と(例えば基板ホルダーの周りにあるガス供給リングや基板支持台にあるガス出口のような、チャンバーの下部へプロセスガスを供給する)ガス供給ライン14とを介してプロセスガスが供給される。しかし、選択的デュアルガス供給装置は、チャンバーの上部中心と上部周辺部にガスを供給することができる。ガス供給部16、18、20からガスライン12、14にプロセスガスが供給され、供給部16、18、20からマスフローコントローラー22、24、26へそれぞれプロセスガスが供給される。プロセスガスは、マスフローコントローラー22、24、26から混合マニホールド28へ供給され、その後、混合プロセスガスが任意のフローメーター30を介して分配され、フローライン12、14へ送られる。フローライン12は任意の流量制限装置32を含むことができ、フローライン14は流量測定装置34とフィードバックコントロールバルブ36を含むことができる。コントロールシステム40は流量測定装置34を監視し、マスフローコントローラー22、24、26とフィードバックコントロールバルブ36を制御する。このフィードバックコントロールシステムは、処理チャンバー内の2つのゾーンへ供給される混合ガス比を調節する。任意の流量制限装置32は、固定オリフィスやニードルバルブなどである。
【0018】
操作において、ユーザーは、ガス室の各供給ガス流量の設定値を選択し、処理チャンバーの各領域へ供給する混合流量の割合(the fraction of mixed flow)を選択する。例えばユーザーは、Cl、BCl、Oの流量をそれぞれ100、200、4sccmに選択し、その75%をライン12へ、25%をライン14へ送るように選択する。それぞれのラインに供給される混合流量の割合(the fraction of mixed flow)は、ライン14上で測定された実際の流量と目的の流量に基づいて、ライン14上のフィードバックコントロールバルブで繰り返し調節することで制御される。全体の流量を比較することで、この場合では、ガス室のマスフローコントローラー22、24、26の全ての流量読み取り値の合計と、チャンバーに送るライン12上で測定された流量とを比較することで、コントローラーは所望の供給流量を得るためにライン14上のバルブ36を絞る程度を調節することができる。または、ガス室のMFC22、24、26の読み取り値を合計して全体流量を決定する代わりに、混合ガスの全体流量を測定するために任意の全体流量測定器を混合マニホールド28の下流に取り付けることができる。
【0019】
ガス室のMFCの読み取り値を合計することで全体流量を決定する場合、ガスの組成がプロセスによって異なるような一般的な場合に正確で順応性のある制御を行うために、これらの流量は、窒素のような参照ガスの等価な標準立法センチメートル毎分(standard cubic centimeters per minute : sccm)に変換される。このように、混合ガス流量を”等価窒素流量(nitrogen equivalent flow)”に変換する計算が行われ、ライン14にあるライン上流量測定装置(in−line flow measurement device)が全ての流量測定値を同じ基準で測定するために”等価窒素流量”を計算する。一例として、典型的なサーマルベースのマスフロー測定器では、Clの100sccmは窒素の116.5sccmと等価であり、BClの200sccmは窒素の444.4sccmと等価であり、Oの4sccmは窒素の4.08sccmと等価である。従って、上の例の混合ガスの”等価窒素流量”は564.98sccmであり、フィードバックコントロールバルブで25%をラインへ送るためには、この例では読み取り値を0.25*564.98=141.2sccmの窒素流量になるようにコントロールループを調節する。定常状態では、ガス室からの供給される混合ガスの全体流量は最後にはチャンバーに到達することに注意する。それは、ライン12上の任意の流量制限装置はそのプロセスの間は調節を行わず、混合マニホールドにおいて全体の流入量と全体の流出量が等しくなるまで圧力が自然に増加するためである。
【0020】
図2は、図1の装置に用いられるガス供給を分割する制御方法のフローチャートである。この手続きは、所定のプロセスが実行されている間、状態と流量をリアルタイムで変えるために、おおよそ1−500Hz(例えば50Hz)で繰り返し実行される。図2のフローチャートに示したステップの中で、S1は処理チャンバーの特定の領域に供給する流量のパーセントのような、流量を分割するための設定値(flow splitting set point)を入力するステップであり、S2は処理チャンバーに供給される混合ガスの合計流量を読取るかまたは決定するステップであり、S3は流量分割設定値(flow split setpoint)と合計流量(total flow rate)に基づいてライン14上の目標流量を計算するステップを示し、S4はライン14上のガス流量を読み取るステップを示し、S5はライン14上の目標流量と実際の流量との差であるライン14上の流量誤差を計算するステップを示し、S6は、ライン14上での流量誤差を減らすために、比例(P)、比例と積分(PI)、または比例と積分と微分(PID)補償を用いることで、バルブの制御設定値(例えば、開き度合いのパーセント)を調節するステップを示す。
【0021】
図3は、本発明によるガスフロー装置の第2のそして最も好適な実施形態を示し、ライン12上の任意の流量制限装置は、フローメーター42とフィードバックコントローラースロットルバルブ44との組合せで置き換えられている。すなわち、プロセスガスは2つのスロットルバルブと2つのフローメーターを用いて分割されるという実施形態である。一又は両方のスロットルバルブの開きは、ユーザーが選択した流量分割値とフローメーターの値との比較に基づいて調節される。都合のよいことに、フローメーターとスロットルバルブの組(点線で囲ってある)は、従来のマスフローコントローラーを用いて実現でき、制御システムは、ユーザーが選択した分割流量を得るために各々のラインへ個々の流量設定値を送る。この実施形態は、各々のガス供給ラインのコンダクタンスを調節、制御することができるという点で、図1の場合よりも少し柔軟になっている。例えば、どちらかのラインに0−100%の流量を分けるように調節する能力を持つことができる。これに対し、図1の実施形態では、任意の流量制限装置32を(手動で)調節しないとライン14に100%の流量を送ることができない。さらに、図3の実施形態では、ウェーハの処理中にそれぞれのライン上の混合ガス流量をリアルタイムに測定することができ、リアルタイムで障害(例えば、ライン上の妨害物や、ガス室のMFCキャリブレーションのドラフト)の検出ができる。運転中、一又は両方のスロットルバルブの開きは、ユーザーが選択する流量分割設定値と、ライン12又は14のいずれか一方の流量測定値と全体の流量(ライン12と14のそれぞれの流量読み取り値の合計を測定して得られる)との比によって決定される実際に分割された流量との比較に基づいて調節される。都合のよいことに、ライン12と14のどちらか一方かまたは両方にあるフローメーターとスロットルバルブの組(線で囲ってある)は、従来のマスフローコントローラーを用いて実現でき、制御システムは、ユーザーが選択した分割流量を得るためにライン12と14上の各MFCへ別々の流量設定値を送る。
【0022】
ライン12と14上に従来のMFCを用いる場合、一般に、あるMFCは、他のMFCがユーザーが選択した流量分割値を得るためにコントロール回路かマイクロプロセッサ(または等価なもの)で実行するアルゴリズムによって実際に制御されている間、完全に開いた状態になるように制御されていることが望ましい。これは合計の混合流量が合計の混合流出量と等しくなることを保証し、それゆえ、ライン12と14上のMFCの上流にはチャンバー内のプロセスに影響する無用で余分なガスの蓄積はない。このことはさらに、これらのMFCがお互いにガス供給を競わないようにして、制御が不安定にならないようにしている。ライン12と14上のMFCが全く同じ(例えば、フローキャリブレーションと能力が同じで、圧力降下が同じなど)であり、設定値が最大のライン上のMFCは通常、完全に開くように制御されていると仮定すると、他のライン上のMFCは、そのラインの流量をより低くするように、設定値とそれぞれの流量読み取り値とに基づいて制御される。これは次のように説明できる。MFCは同一であり、両方とも完全に開くように制御されるとすると、各ライン上の流量は50%になる。ライン12上で50%以上を得るためには、ライン14の流量コンダクタンスを減らす(ライン14のスロットルバルブを少し閉めることで)必要がある。これは、混合マニホールドの圧力を少し上昇させ、ライン上の流量はそのラインの圧力降下に比例するためにライン12を通る流量が増加する。完全に開いた状態に制御されたMFCを”マスター”MFCと呼び、実際に制御されるMFCを”スレーブ”MFCと呼ぶことにする。
【0023】
実際には、2つのMFCについてフローコントロールとキャリブレーションを同一にすることはできるが、同一の圧力降下(identical pressure drops)を有することは製造上の問題から普通はない。実際は、いくつかの状況において所望の制御性能を得るためにMFCの異なるレンジを利用することは有用である。例えば、低い流量(例えば100sccm以下)において4倍の制御精度を得るために、250sccmのMFCのラインにおいて、1000sccmと250sccmのMFCを組み合わせて使う。MFCは典型的には全体のレンジの数パーセントだけを正確に制御できるので、低いレンジのMFCのラインにおいて精度が改良される。(MFC内部のPIDのチューニングは、これらの違いを補償する。)従って、与えられた分割流量に対するマスターMFCとスレーブMFCとの選択は、通常、両方のMFCを完全に開くように制御したときに個々のMFCの流量分割を測定するキャリブレーション実験に基づいて決定される。さらに、加工していない分割流量は、用いられているMFCの相対的なレンジ(例えば1000と250sccmのMFC 対 1000と1000sccmのMFC)とその流量に加えてガスの種類にも影響し、マスターとスレーブMFCを正確に制御するためには、ルックアップテーブルを用いる必要がある。さらに、制御アルゴリズムは、正しくマスター(全開)MFCが制御され、ユーザーが選択した流量分割に基づいてマスターが調節されているかどうかなどを評価するためのコントロールループをチェックすることができる。
【0024】
図4のフローチャートに、この実施形態の全体の制御アルゴリズム(マスター/スレーブチェック以外の)を示す。図4は、処理チャンバーの少なくとも2つの異なる領域への流量を独立に制御するための基本的な機能のフローチャートであり、S10は、全体の混合ガス流量分割のための設定値入力のステップであり、S11は、各ガスラインの実際の流量(処理中のウェーハの中心と端のような)を読み取り、全体の流量を決定するステップであり、S12は、流量分割設定値に基づいて各ガスラインに送る目標流量を計算するステップであり、S13は、マスターとスレーブ(制御される)流量コントローラーを選択するステップであり、S14は、目標流量に合うようにスレーブフローコントローラーの設定値を計算するステップ(このステップは、現在の分割流量誤差を計算し、新しい設定値のためにPID補償を行うことで、目標流量へ正確に到達する)であり、S15は、各々の(処理中のウェーハの中心とエッジのような)ガス供給ラインのフローコントローラーへ設定値を書き込むステップである。流量分割制御の応答時間は、スレーブMFCの目標流量の計算にPID補償を加えることでさらに改良することができる。例えば、新しいスレーブ設定値への比例補償を加えると、新しい設定値は次式のように計算される。
【0025】
新しいスレーブMFCX流量設定値(New Slave MFCX Flow Setpoint)= スレーブMFC目標流量(Slave MFC Target Flow)+ 比例ゲイン(Proportional Gain)* (スレーブMFC目標流量−スレーブMFCの実際の流量)(Slave MFC Target Flow − Slave MFC Actual Flow)。
【0026】
図5は、本発明の別の実施形態を示し、プロセスガスは1入力2出力のスロットルバルブを用いて分割され、制御設定値は前に決定されたバルブキャリブレーション値に基づいて各ラインへ送られた流量を選択する。この実施形態の1つの欠点は、分割バルブのキャリブレーションが、ガス組成と流量に依存することである。各ラインでの相対流量を監視するフローメーターが無い場合には、与えられた混合組成と流量での流量分割の正確さがプロセスの状態によって変わってしまう。ライン中にフローメーターがないと、妨害物や、ウェーハプロセス結果にドリフトをもたらすキャリブレーションのドラフトのような、状況の障害の検出もできない。この実施形態のもう1つの欠点は、広範囲に入手できるマスフローメーター、フローコントロールバルブ、マスフローコントローラーと異なり、1入力2出力のスロットルバルブが、現在、商業的に入手しづらいことである。
【0027】
図6は、図5の実施形態に、障害検出と分割バルブのフィードバックコントロールを行う少なくとも1つのフローメーター42、34をガス供給ライン上に加えたものである。図6でフローメーターを1つだけ用いる場合、全体の流量測定値は、ガス室のMFCの読み取り値の合計を求めることで決定する。2つのフローメーターを用いる場合、全体の流量はライン12と14上のメーターによって測定された流量の合計を求めることで決定される。
【0028】
図7Aは、本発明の第5の実施形態によるガス供給装置を示し、マニホールド28からのガスは、上部のガス供給ライン12と周囲のガス供給ライン14に分割され、ラインのそれぞれはフローメーター42、34とフィードバックコントロールスロットルバルブ44、36を含む。この実施形態では、上部のガスは(本明細書においては、引用により記載に代える)米国特許第4,948,458号に示されているチャンバーの誘電体ウィンドウの中心から供給され、周囲のガスはウィンドウの下のガスリングから供給される。
【0029】
図7Bは、本発明の第6の実施形態によるガス供給システムを示し、ガスは2つのゾーンのシャワーヘッドに供給される。この実施形態は、本明細書において引用により記載に代えるところの米国公開特許第09/343,690号(p510)で一般に示されている。このガス供給システムは、マニホールド28からガス供給ライン50を通って中心部へガスを供給し、ガス供給ライン52を通って環状の周辺部へガスを供給する。中心部は、周辺部より直径の小さい円状または環状などのさまざまな形状をとることができる。
【0030】
図8は、処理チャンバー内の複数の場所へ供給ガスを分割するために、混合マニホールドの下流に、1以上の固定オリフィスかアパーチャを用いた実施形態である。図8の例は、中心とエッジにガスを供給するフラットパネルディスプレイエッチング装置に用いられている。中心ガス供給ラインには、単一の中心ガス供給ラインの中に固定オリフィスを取り付け、一方、エッジ供給ラインにはオリフィスを付けず、複数のエッジガス供給ラインを付ける。中心ガス供給ライン中の固定オリフィスの目的は、チャンバーの中心へ供給するガスを制限するためである。すなわち、チャンバーの中心へ送る流量は、固定オリフィスがないと所望の量より多くなってしまう。
【0031】
本発明によるガス供給システムは、高密度プラズマ反応装置に用いることができる。そのようなプラズマ反応装置は高密度プラズマを作るために、RFエネルギー、マイクロ波エネルギー、磁場などを用いた高エネルギー源を持っている。例えば、誘導結合プラズマ反応装置と呼ばれるtransformer coupled plasma(TCP(登録商標))、電子サイクロトロン共鳴(ECR)プラズマ反応装置、ヘリコンプラズマ反応装置などでにおいて、高密度プラズマが作られる。高密度プラズマを作ることができる高流量プラズマ反応装置の例は、ここにおいて引用により記載に代えるところの米国特許第5、820、723号に開示されている。
【0032】
本発明によるガス供給システムは、前述の実施形態における、第1及び第2のガス供給部から供給されるプロセスガスを変化させることができるプラズマエッチングプロセスに用いることができ、例えば、溝のエッチング中には、Ar、酸素、フルオロカーボン(例えばCHFとC)の混合ガスを供給し、ビアのエッチング中には、ウェーハ中心領域に供給する混合ガス流量を減らすことでウェーハ中心領域の酸素流量を減らすことができる。低誘電体層のエッチングの場合、プロセスガスはCのようなハイドロカーボンを含み、ウェーハの中心部と周辺部におけるハイドロカーボン/酸素の流量比は、均一なエッチングを行うために、直径方向に変化させることができる。そして、本発明によって、プラズマチャンバー内で、エッジが速くエッチングされたり中心が速くエッチングされたりする状態を補償するために、ウェーハの中心部とエッジ部に供給される混合ガスの量を調節することができる。例えば、従来のエッチング装置では、中心が早くエッチングされる状態の後にフォトレジストが浸食するまで、エッジが早くエッチングされる状態が生じる。フォトレジスト層が浸食されるときは中心部の酸素流量は減少するものであるが、本発明によるガス供給装置によって、フォトレジスト層を持つウェーハの中心部により多くの酸素を供給することができる。その結果、エッジが早くエッチングされる状態と中心が早くエッチングされる状態が補償され、より均一なエッチングが実現される。
【0033】
本発明は、好適な実施形態によって記述された。しかし、本発明の精神から外れない他の形態においても本発明を実施することができる技術であることは明らかである。好適な実施形態は例示的なものであって、いかなる方法においても限定的に解釈されるべきではない。本発明の範囲は上述の記載ではなく付加したクレームによって与えられ、クレームの範囲に含まれる全ての変形物及び均等物はクレームに包含されることを意図している。
【図面の簡単な説明】
【図1】
本発明の第1の実施形態に対応するガス供給装置を示す図である。
【図2】
本発明の第1の実施形態に対応する反応チャンバー内のガス供給コントロールプロセスのフローチャートである。
【図3】
本発明の第2の実施形態に対応するガス供給装置を示す図である。
【図4】
本発明の第2の実施形態に対応する反応チャンバー内のガス供給コントロールプロセスのフローチャートである。
【図5】
本発明の第3の実施形態に対応するガス供給装置を示す図である。
【図6】
本発明の第4の実施形態に対応するガス供給装置を示す図である。
【図7A】
本発明の第5の実施形態に対応するガス供給装置を示す図である。
【図7B】
本発明の第6の実施形態に対応するガス供給装置を示す図である。
【図8】
プラズマ反応チャンバー内の複数の領域へ供給されるガスを分離するために固定オリフィス(fixed orifices)を利用するガス供給システムを示す図である。

Claims (28)

  1. 半導体基板処理に用いられる反応チャンバーに有用なガス供給システムであって、
    複数のガス供給部と、
    前記複数のガス供給部からのガスを混合する混合マニホールドと、
    前記チャンバー内の異なるゾーンへ前記混合ガスを供給する複数のガス供給ラインであって、前記チャンバー内の第1のゾーンへ混合ガスを送る第1のラインと前記チャンバー内の第2のゾーンへ混合ガスを送る第2のラインとを含む前記ガス供給ラインと、
    前記第1及び第2のガス供給ラインにおける混合ガスの所望の流量比を得るために、少なくとも前記第1のガス供給ライン及び第2のガス供給ラインのいずれかにおける混合ガス流量を制御する少なくとも1つのコントロールバルブと、
    前記少なくとも第1のガス供給ライン及び第2のガス供給ラインのいずれかにおける前記混合ガス流量を測定する少なくとも1つの流量測定装置と、
    前記少なくとも1つの流量測定装置によって測定された流量に応じて少なくとも1つのコントロールバルブを操作するコントローラーと
    を備えることを特徴とするガス供給システム。
  2. 前記コントローラーが、
    前記チャンバー内で半導体基板の処理中に、前記複数のガス供給ラインの少なくとも1つへ供給される混合ガス比を第1の設定値から第2の設定値へ変更するための、少なくとも1つの前記コントロールバルブを操作するコンピュータ又はプログラマブルロジックデバイスを備えることを特徴とする請求項1に記載のガス供給システム。
  3. 前記コントロールバルブと前記流量測定装置とが、前記第1のガス供給ライン上に位置することを特徴とする請求項1に記載のガス供給システム。
  4. 前記少なくとも1つのコントロールバルブが第1及び第2のコントロールバルブを備え、前記少なくとも1つの流量測定装置が第1及び第2の流量測定装置を備え、前記第1のコントロールバルブと前記第1の流量測定装置とが第1のガス供給ライン上に位置し、前記第2のコントロールバルブと前記第2の流量測定装置とが第2のガス供給ライン上に位置することを特徴とする請求項1に記載のガス供給システム。
  5. 前記少なくとも1つのコントロールバルブと前記少なくとも1つの流量測定装置とが、前記第1のガス供給ライン上に単一のコントロールバルブと単一の流量測定装置とを備え、前記第2のガス供給ライン上に流量制限装置をさらに含むことを特徴とする請求項1に記載のガス供給システム。
  6. 前記コントロールバルブが、前記第1の供給ラインへ第1の比率の混合ガスを供給し、前記第2の供給ラインへ第2の比率の混合ガスを供給するように動作する可変スプリッターバルブを備えることを特徴とする請求項1に記載のガス供給システム。
  7. 前記少なくとも1つの流量測定装置が、
    第1及び第2の流量測定装置と、
    前記第1のガス供給ラインにおける混合ガス流量を測定する前記第1の流量測定装置と、
    前記第2のガス供給ラインにおける混合ガス流量を測定する前記第2の流量測定装置と、
    前記第1及び第2の流量測定装置によって測定される流量に応じて前記少なくとも1つのコントロールバルブを操作する前記コントローラーと
    を備えることを特徴とする請求項6に記載のガス供給システム。
  8. 前記少なくとも1つのコントロールバルブが、
    前記第1のガス供給ライン上に位置する第1のコントロールバルブと、
    前記第2のガス供給ライン上に位置する第2のコントロールバルブと、
    前記第1のコントロールバルブを全開とするように操作し、前記第2のコントロールバルブを前記第2のガス供給ラインの流量が前記第1のガス供給ラインよりも低くなるように動的に操作する、前記コントローラーと
    を備えることを特徴とする請求項1に記載のガス供給システム。
  9. 前記少なくとも1つのコントロールバルブが、
    前記第1のガス供給ライン上に位置する第1のコントロールバルブと、
    前記第2のガス供給ライン上に位置する第2のコントロールバルブと、
    前記第1のコントロールバルブを全開とするように操作し、前記第2のコントロールバルブを前記第1のガス供給ラインの流量がより多くなるように動的に操作する前記コントローラーと
    を備えることを特徴とする請求項1に記載のガス供給システム。
  10. 前記ガス供給部と前記混合マニホールドとの間のマスフローコントローラーを更に備え、前記マスフローコントローラーが前記混合マニホールドへ供給されるガスの流量を制御することを特徴とする請求項1に記載のガス供給システム。
  11. 前記チャンバーが、RFエネルギーを用いて混合ガスにエネルギーを与えてプラズマ状態にするプラズマエッチングチャンバーを備えることを特徴とする請求項1に記載のガス供給システム。
  12. 複数のガス供給部と、前記複数のガス供給部からのガスを混合するための混合マニホールドと、前記チャンバー内の異なるゾーンへ前記混合ガスを供給する複数のガス供給ラインと、前記混合ガスを前記チャンバーの第1のゾーンへ供給する第1のガス供給ラインと前記混合ガスを前記チャンバーの第1のゾーンへ供給する第1のガス供給ラインとを含む前記ガス供給ラインと、前記第1及び第2のガス供給ラインにおける前記混合ガスの所望の流量比を得るために、前記第1及び第2のガス供給ラインの混合ガスの流量を制御する少なくとも1つのコントロールバルブと、少なくとも前記第1のガス供給ライン及び第2のガス供給ラインのいずれかにおける混合ガス流量を測定するための少なくとも1つの流量測定装置と、前記少なくとも1つの流量測定装置によって測定された流量に応じて前記少なくとも1つのコントロールバルブを操作するコントローラーとを含むガス供給システムにおける反応チャンバー内で基板を処理する方法であって、
    前記反応チャンバーへ半導体基板を供給する工程と、
    前記少なくとも1つの流量測定装置により、少なくとも前記第1のガス供給ライン及び前記第2のガス供給ラインのいずれかにおける混合ガス流量を測定する工程と、
    前記第1及び第2のゾーンへ前記混合ガスを供給することにより前記基板を処理する工程であって、前記少なくとも1つの流量測定装置により測定された流量に応じて前記コントローラーにより前記少なくとも1つのコントロールバルブが調節される工程と
    を備えることを特徴とする方法。
  13. 基板処理中に、前記第1のガス供給ラインにおける混合ガス流量を第1の設定値から第2の設定値へ変化させるために、前記少なくとも1つのコントロールバルブを前記コントローラーが操作することを特徴とする請求項12に記載の方法。
  14. 前記第1のガス供給ラインの混合ガス流量が測定され、前記第1のガス供給ラインにおける混合ガス流量を制御するために前記少なくとも1つのコントロールバルブが調節されることを特徴とする請求項12に記載の方法。
  15. 前記少なくとも1つのコントロールバルブが前記第1のガス供給ライン上の第1のコントロールバルブと前記第2のガス供給ライン上の第2のコントロールバルブとを備え、
    前記方法が、
    前記第1及び第2のガス供給ラインにおける混合ガス流量を測定する工程と、
    前記第1及び第2のガス供給ラインにおける混合ガス流量を調節するために、少なくとも前記第1のコントロールバルブ及び前記第2のコントロールバルブのいずれかを調節する工程とを含むことを特徴とする請求項12に記載の方法。
  16. 前記第1のガス供給ラインにおける混合ガス流量を測定する工程と、
    前記第1のガス供給ラインにおける混合ガス流量を制御するために前記少なくとも1つのコントロールバルブを調節する工程と、
    前記第2のガス供給ライン上の流量制限装置に混合ガスを供給する工程とを
    更に備えることを特徴とする請求項12に記載の方法。
  17. 前記少なくとも1つのコントロールバルブが可変スプリッターバルブを備え、
    前記方法が
    前記第1の供給ラインへ第1の比率の混合ガスを供給し、前記第2のガス供給ラインへ第2の比率の混合ガスを供給するために、前記可変スプリッターバルブを操作する工程を含むことを特徴とする請求項12に記載の方法。
  18. 前記少なくとも1つの流量測定装置が前記第1及び第2のガス供給ラインにおける混合ガス流量を測定し、前記測定された流量に応じて前記コントロールバルブが操作されることを特徴とする請求項17に記載の方法。
  19. 前記半導体基板がシリコンウェーハを備え、前記方法は前記ウェーハ上の誘電体、半導体又は導電体物質層をドライエッチングする工程を含むことを特徴とする請求項12に記載の方法。
  20. 前記半導体基板上に物質の層を蒸着する工程を含むことを特徴とする請求項12に記載の方法。
  21. 前記混合マニホールドへ供給されるガス流量を制御するために、前記ガス供給部と前記混合マニホールドとの間のマスフローコントローラーを操作する工程を更に備えることを特徴とする請求項12に記載の方法。
  22. 前記チャンバーがRFエネルギーを用いて混合ガスにエネルギーを与えてプラズマ状態にするプラズマエッチングチャンバーを備え、前記方法が前記プラズマによって基板をエッチングする工程を含むことを特徴とする請求項12に記載の方法。
  23. 前記チャンバーが、プラズマがチャンバー内で生成されるプラズマエッチングチャンバーを備え、
    前記プラズマによって前記基板上の2酸化ケイ素、アルミニウム、または多結晶シリコンをエッチングする工程を含むことを特徴とする請求項12に記載の方法。
  24. 前記チャンバーが、プラズマがチャンバー内で生成されるプラズマエッチングチャンバーを備え、
    Cl、HCl、HBrから選択される少なくとも1つのハロゲンガスと、OとNとCHF及びCFから選択されるフルオロカーボンとのいずれかと、を前記混合マニホールドで混合する工程と、
    エネルギーを与えて前記混合ガスをプラズマ状態にする工程と、
    前記プラズマによって前記基板をエッチングする工程と
    を含むことを特徴とする請求項12に記載の方法。
  25. 前記チャンバーが、プラズマがチャンバー内で生成されるプラズマエッチングチャンバーを備え、
    フルオロカーボンとOまたはCとを混合する工程と、
    エネルギーを与えて前記混合ガスをプラズマ状態にする工程と、
    前記プラズマによって前記基板をエッチングする工程と
    を含むことを特徴とする請求項12に記載の方法。
  26. 前記コントローラーが、前記ガス供給部から前記混合マニホールドへ供給される合計ガス流量を監視し、前記合計ガス流量と前記ガス供給ラインの1つで測定されたガス流量とを、前記第2のガス供給ラインの目標流量と比較し、前記第1及び第2のガス供給ラインで所望の目標流量比を得るために、前記コントローラーによって前記少なくとも1つのコントロールバルブが繰り返し調節されることを特徴とする請求項12に記載の方法。
  27. 第1及び第2のマスフローコントローラーが利用され、前記第1のマスフローコントローラーが全開となるように操作され、前記第2のマスフローコントローラーの設定値が繰り返し調節されることを特徴とする請求項26に記載の方法。
  28. 第1及び第2のマスフローコントローラーが利用され、現在の流量値と、現在値と目標流量との間の差分の倍数とに基づいて制御される前記マスフローコントローラーに新しい設定値を繰り返し与えることによって、分割ガス流量の安定にかかる時間が減少されることを特徴とする請求項26に記載の方法。
JP2002535153A 2000-10-06 2001-09-26 半導体処理のためのガス供給装置及び基板処理方法 Expired - Fee Related JP4838971B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/680,319 US6333272B1 (en) 2000-10-06 2000-10-06 Gas distribution apparatus for semiconductor processing
US09/680,319 2000-10-06
PCT/US2001/030178 WO2002031858A2 (en) 2000-10-06 2001-09-26 Gas distribution apparatus for semiconductor processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011125659A Division JP2011233905A (ja) 2000-10-06 2011-06-03 半導体処理のためのガス供給装置

Publications (2)

Publication Number Publication Date
JP2004511905A true JP2004511905A (ja) 2004-04-15
JP4838971B2 JP4838971B2 (ja) 2011-12-14

Family

ID=24730618

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002535153A Expired - Fee Related JP4838971B2 (ja) 2000-10-06 2001-09-26 半導体処理のためのガス供給装置及び基板処理方法
JP2011125659A Pending JP2011233905A (ja) 2000-10-06 2011-06-03 半導体処理のためのガス供給装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011125659A Pending JP2011233905A (ja) 2000-10-06 2011-06-03 半導体処理のためのガス供給装置

Country Status (8)

Country Link
US (2) US6333272B1 (ja)
EP (1) EP1323178A2 (ja)
JP (2) JP4838971B2 (ja)
KR (1) KR100725615B1 (ja)
CN (1) CN100358080C (ja)
AU (1) AU2001296338A1 (ja)
TW (1) TW522483B (ja)
WO (1) WO2002031858A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007208194A (ja) * 2006-02-06 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007214295A (ja) * 2006-02-08 2007-08-23 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007535819A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション 高速ガス切換能力を有するガス分配システム
JP2008538656A (ja) * 2005-04-21 2008-10-30 エム ケー エス インストルメンツ インコーポレーテッド 反対称最適制御を使用する流量比率制御装置を含むガス送出方法及びシステム
JP2011509520A (ja) * 2007-12-27 2011-03-24 ラム リサーチ コーポレーション ショートエッチングレシピのためのガス輸送遅延の解消
JP2013526063A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド オリフィス比コンダクタンス制御を用いたフロー分割誤差を低減するための方法及び装置
US8906193B2 (en) 2004-12-09 2014-12-09 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
JP2015049569A (ja) * 2013-08-30 2015-03-16 株式会社フジキン ガス分流供給装置及びガス分流供給方法
KR20160025002A (ko) * 2013-06-28 2016-03-07 어플라이드 머티어리얼스, 인코포레이티드 피드-포워드 조정을 사용하여 유동 비율 제어기를 제어하기 위한 방법 및 시스템
JP2018026024A (ja) * 2016-08-11 2018-02-15 Ckd株式会社 ガス分流制御システム
JP2018508863A (ja) * 2015-02-27 2018-03-29 ユ−ジーン テクノロジー カンパニー.リミテッド ガスの多重供給方法及びガスの多重供給装置
JP2020113711A (ja) * 2019-01-16 2020-07-27 株式会社デンソー 半導体製造装置
JP2020535666A (ja) * 2017-09-26 2020-12-03 ラム リサーチ コーポレーションLam Research Corporation パルス幅変調されたドーズ制御のためのシステムおよび方法

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6502135B1 (en) 1998-10-30 2002-12-31 Science Applications International Corporation Agile network protocol for secure communications with assured system availability
US6826616B2 (en) 1998-10-30 2004-11-30 Science Applications International Corp. Method for establishing secure communication link between computers of virtual private network
EP3086533B1 (en) 1998-10-30 2019-09-11 VirnetX Inc. An agile network protocol for secure communications with assured system availability
US10511573B2 (en) 1998-10-30 2019-12-17 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US7418504B2 (en) 1998-10-30 2008-08-26 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE10057824A1 (de) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Vorrichtung und Verfahren zur Anpassung einer Ionenstrahlfleckgröße in der Tumorbestrahlung
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US6752166B2 (en) * 2001-05-24 2004-06-22 Celerity Group, Inc. Method and apparatus for providing a determined ratio of process fluids
US20030013212A1 (en) * 2001-07-10 2003-01-16 Mitchell Bradley Dale System and method for removing deposited material from within a semiconductor fabrication device
JP3961262B2 (ja) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線発生装置
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
KR100825103B1 (ko) * 2002-05-16 2008-04-25 삼성전자주식회사 액정 표시 장치 및 그 구동 방법
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US6948508B2 (en) 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US7809473B2 (en) 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6843139B2 (en) * 2003-03-12 2005-01-18 Rosemount Inc. Flow instrument with multisensors
JP4454964B2 (ja) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
WO2005019496A1 (en) 2003-08-20 2005-03-03 Veeco Instruments Inc. Alkyl push flow for vertical flow rotating disk reactors
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050075685A1 (en) * 2003-10-02 2005-04-07 Forsberg John W. Medical device programmer with infrared communication
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101090998B (zh) * 2004-08-02 2013-10-16 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US20060065523A1 (en) * 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US7535688B2 (en) * 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7673645B2 (en) 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
TWI402098B (zh) * 2005-06-22 2013-07-21 Advanced Tech Materials 整合式氣體混合用之裝置及方法
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7943204B2 (en) 2005-08-30 2011-05-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
KR100766132B1 (ko) * 2005-08-31 2007-10-12 코바렌트 마테리얼 가부시키가이샤 가스 분산판 및 그 제조방법
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US20090137192A1 (en) * 2007-11-28 2009-05-28 Mks Instruments, Inc. Multi-zone pressure control system
US8628616B2 (en) 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US20090178714A1 (en) * 2008-01-14 2009-07-16 Tokyo Electron Limited Flow control system and method for multizone gas distribution
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
WO2010062345A2 (en) * 2008-10-31 2010-06-03 Lam Research Corporation Lower electrode assembly of plasma processing chamber
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
KR101386552B1 (ko) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
WO2011065965A2 (en) * 2009-11-30 2011-06-03 Lam Research Corporation An electrostatic chuck with an angled sidewall
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8397739B2 (en) * 2010-01-08 2013-03-19 Applied Materials, Inc. N-channel flow ratio controller calibration
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
CN102091544A (zh) * 2010-12-09 2011-06-15 中国人民解放军军事医学科学院 一种气体调配装置
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US10002747B2 (en) * 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
JP6017170B2 (ja) * 2012-04-18 2016-10-26 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN103591458B (zh) * 2012-08-17 2017-04-12 诺发系统公司 气体分配网络中的流量平衡
US8925588B2 (en) 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US9004107B2 (en) 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10114389B2 (en) * 2013-06-28 2018-10-30 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feedback
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
JP2016122346A (ja) * 2014-12-25 2016-07-07 株式会社東芝 空気供給システム
DE102015100762A1 (de) * 2015-01-20 2016-07-21 Infineon Technologies Ag Behälterschalteinrichtung und Verfahren zum Überwachen einer Fluidrate
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
WO2017146558A1 (es) * 2016-02-23 2017-08-31 Electro Controles Del Noroeste S.A. De C.V. Sistema modular dosificador de fluidos y su proceso
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102066776B1 (ko) * 2017-12-11 2020-01-15 임용일 통합 분석 제어기에 의한 질량 유량 제어기 최적화 통합 시스템
EP3521816A1 (fr) * 2018-02-06 2019-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Méthode de contrôle sur site de la qualité des gaz livrés sur un site industriel consommateur utilisant la technique de la conductivité thermique
US10591934B2 (en) * 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US10698426B2 (en) * 2018-05-07 2020-06-30 Mks Instruments, Inc. Methods and apparatus for multiple channel mass flow and ratio control systems
US10801109B2 (en) * 2018-08-29 2020-10-13 Lam Research Corporation Method and apparatus for providing station to station uniformity
CN111341689B (zh) * 2018-12-18 2023-03-10 中微半导体设备(上海)股份有限公司 气体流量控制装置和控制方法及应用该装置的半导体设备
WO2020163074A1 (en) * 2019-02-05 2020-08-13 Applied Materials, Inc. Multi channel splitter spool
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
US20220387949A1 (en) * 2019-11-19 2022-12-08 Linde Gmbh Smart gas mixer
CN111162004B (zh) * 2019-12-27 2022-08-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 半导体设备内部环境的调整方法、装置和电子设备
SG10202101459XA (en) * 2020-02-25 2021-09-29 Kc Co Ltd Gas mixing supply device, mixing system, and gas mixing supply method
KR20210123128A (ko) * 2020-04-02 2021-10-13 삼성전자주식회사 반도체 장치의 제조에 사용되는 장치
JP2021179739A (ja) * 2020-05-12 2021-11-18 株式会社堀場エステック 流量比率制御システム、成膜システム、異常診断方法、及び異常診断プログラム
CN113205995B (zh) * 2021-05-08 2022-04-08 长鑫存储技术有限公司 气体分配装置、等离子体处理装置、方法及半导体结构
WO2023043526A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. Energy efficiency improvement with continuous flow modulation in cluster tool
WO2023132916A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Composition mixture control of efem environment
CN115193277A (zh) * 2022-06-17 2022-10-18 深圳市德明利光电有限公司 一种用于氧化制程的气体混合装置及处理设备
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH01140712A (ja) * 1987-11-27 1989-06-01 Fujitsu Ltd Cvd装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH0628040A (ja) * 1992-07-10 1994-02-04 Sony Corp マスフローコントローラー
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
JPH06101423B2 (ja) * 1986-07-25 1994-12-12 東京エレクトロン株式会社 半導体ウエハ処理装置
US4949670A (en) * 1988-11-04 1990-08-21 Tegal Corporation Method and apparatus for low pressure plasma
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
EP0550058B1 (en) * 1991-12-30 1998-11-11 Texas Instruments Incorporated A programmable multizone gas injector for single-wafer semiconductor processing equipment
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5997950A (en) * 1992-12-22 1999-12-07 Applied Materials, Inc. Substrate having uniform tungsten silicide film and method of manufacture
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH11330468A (ja) * 1998-05-20 1999-11-30 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6058729A (en) * 1998-07-02 2000-05-09 Carrier Corporation Method of optimizing cooling capacity, energy efficiency and reliability of a refrigeration system during temperature pull down
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
TW448503B (en) * 1999-03-11 2001-08-01 Toshiba Corp Method for dry etching
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH01140712A (ja) * 1987-11-27 1989-06-01 Fujitsu Ltd Cvd装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH0628040A (ja) * 1992-07-10 1994-02-04 Sony Corp マスフローコントローラー
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007535819A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション 高速ガス切換能力を有するガス分配システム
JP2014042041A (ja) * 2004-04-30 2014-03-06 Lam Research Corporation 高速ガス切換能力を有するガス分配システム及びプラズマ処理装置
US8906193B2 (en) 2004-12-09 2014-12-09 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US9441791B2 (en) 2004-12-09 2016-09-13 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
JP2008538656A (ja) * 2005-04-21 2008-10-30 エム ケー エス インストルメンツ インコーポレーテッド 反対称最適制御を使用する流量比率制御装置を含むガス送出方法及びシステム
JP2007208194A (ja) * 2006-02-06 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007214295A (ja) * 2006-02-08 2007-08-23 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
TWI397112B (zh) * 2006-02-08 2013-05-21 Tokyo Electron Ltd A gas supply device, a substrate processing device, and a gas supply method
JP2011509520A (ja) * 2007-12-27 2011-03-24 ラム リサーチ コーポレーション ショートエッチングレシピのためのガス輸送遅延の解消
JP2013526063A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド オリフィス比コンダクタンス制御を用いたフロー分割誤差を低減するための方法及び装置
KR20160025002A (ko) * 2013-06-28 2016-03-07 어플라이드 머티어리얼스, 인코포레이티드 피드-포워드 조정을 사용하여 유동 비율 제어기를 제어하기 위한 방법 및 시스템
JP2016523423A (ja) * 2013-06-28 2016-08-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フィードフォワード調整を用いて流量比コントローラを制御するための方法及びシステム
KR102248215B1 (ko) * 2013-06-28 2021-05-03 어플라이드 머티어리얼스, 인코포레이티드 피드-포워드 조정을 사용하여 유동 비율 제어기를 제어하기 위한 방법 및 시스템
JP2015049569A (ja) * 2013-08-30 2015-03-16 株式会社フジキン ガス分流供給装置及びガス分流供給方法
JP2018508863A (ja) * 2015-02-27 2018-03-29 ユ−ジーン テクノロジー カンパニー.リミテッド ガスの多重供給方法及びガスの多重供給装置
JP2018026024A (ja) * 2016-08-11 2018-02-15 Ckd株式会社 ガス分流制御システム
JP2020535666A (ja) * 2017-09-26 2020-12-03 ラム リサーチ コーポレーションLam Research Corporation パルス幅変調されたドーズ制御のためのシステムおよび方法
JP7421482B2 (ja) 2017-09-26 2024-01-24 ラム リサーチ コーポレーション パルス幅変調されたドーズ制御のためのシステムおよび方法
JP2020113711A (ja) * 2019-01-16 2020-07-27 株式会社デンソー 半導体製造装置
JP7024740B2 (ja) 2019-01-16 2022-02-24 株式会社デンソー 半導体製造装置

Also Published As

Publication number Publication date
CN100358080C (zh) 2007-12-26
KR20040004391A (ko) 2004-01-13
JP4838971B2 (ja) 2011-12-14
CN1468441A (zh) 2004-01-14
EP1323178A2 (en) 2003-07-02
AU2001296338A1 (en) 2002-04-22
TW522483B (en) 2003-03-01
WO2002031858A3 (en) 2002-09-06
US20020042205A1 (en) 2002-04-11
US6508913B2 (en) 2003-01-21
JP2011233905A (ja) 2011-11-17
KR100725615B1 (ko) 2007-06-07
US6333272B1 (en) 2001-12-25
WO2002031858A2 (en) 2002-04-18

Similar Documents

Publication Publication Date Title
JP4838971B2 (ja) 半導体処理のためのガス供給装置及び基板処理方法
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20040112538A1 (en) Gas distribution system with tuning gas
US20040112540A1 (en) Uniform etch system
US9466506B2 (en) Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US9234775B2 (en) Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7396771B2 (en) Plasma etching apparatus and plasma etching method
JP2016105466A (ja) 半導体基板処理装置において半導体基板を均一に処理するためのガス注入方法
US6794302B1 (en) Dynamic feed forward temperature control to achieve CD etching uniformity
US10847352B2 (en) Compensating chamber and process effects to improve critical dimension variation for trim process
US6864174B2 (en) Iteratively selective gas flow control and dynamic database to achieve CD uniformity
TW202237887A (zh) 用於晶圓彎曲補償的背側沉積及局部應力調變
US11078570B2 (en) Azimuthal critical dimension non-uniformity for double patterning process
US20220285133A1 (en) Methods and apparatus for processing a substrate
US20230002901A1 (en) Pressure batch compensation to stabilize cd variation for trim and deposition processes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080924

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090514

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090521

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100512

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100921

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110603

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110905

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111003

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141007

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees