JP6107327B2 - 成膜装置及びガス供給装置並びに成膜方法 - Google Patents

成膜装置及びガス供給装置並びに成膜方法 Download PDF

Info

Publication number
JP6107327B2
JP6107327B2 JP2013074617A JP2013074617A JP6107327B2 JP 6107327 B2 JP6107327 B2 JP 6107327B2 JP 2013074617 A JP2013074617 A JP 2013074617A JP 2013074617 A JP2013074617 A JP 2013074617A JP 6107327 B2 JP6107327 B2 JP 6107327B2
Authority
JP
Japan
Prior art keywords
gas
pressure
processing chamber
film forming
reaction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013074617A
Other languages
English (en)
Other versions
JP2014198872A (ja
Inventor
勝行 那須
勝行 那須
佐野 正樹
正樹 佐野
裕 布重
裕 布重
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2013074617A priority Critical patent/JP6107327B2/ja
Priority to KR1020140031732A priority patent/KR101752951B1/ko
Priority to TW103110288A priority patent/TWI619836B/zh
Priority to US14/223,582 priority patent/US9644266B2/en
Priority to CN201410118264.7A priority patent/CN104073780B/zh
Publication of JP2014198872A publication Critical patent/JP2014198872A/ja
Application granted granted Critical
Publication of JP6107327B2 publication Critical patent/JP6107327B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • Y10T137/4245Cleaning or steam sterilizing

Description

本発明は、基板に対して反応ガスを用いて成膜を行う技術分野に関する。
基板である例えば半導体ウエハ(以下「ウエハ」と言う)に膜を成膜する手法として、ALD(Atomic Layer Deposition)法やMLD(Multi Layer Deposition)法(以下、これらを総合してALD法と称する)などと呼ばれる方法が知られている。このALD法は、緻密な薄膜を成膜でき、また良好な埋め込み特性を得ることができる手法であり、この方法では、処理室内に置かれたウエハに対して、互いに反応する複数種類の反応ガスを順番に供給して、所定の膜が形成されている。
一般に成膜処理ではウエハのみならず処理室の内壁にも膜が堆積し、この堆積膜の膜厚が大きくなるとパーティクルの発生要因となることから、所定枚数のウエハに成膜処理を行った後、クリーニングを行っている。この処理は、例えば処理室内に腐食性の大きいクリーニングガスを供給することにより行われ、処理室の内壁に付着した堆積物は、クリーニングガスとの接触により前記内壁から剥離される。この剥離された成分の大部分は処理室内を排気することにより除去されるが、前記剥離された成分の一部が処理室の内部に残留することがある。このため処理室内にパージガスを供給し、処理室内の残留物をパージガスの流れと共に処理室の外部へ運び、除去している。
クリーニングとパージガスの通流を行った後、処理室にウエハを搬入して所定の成膜処理が行われるが、この成膜時にウエハにパーティクルが付着する場合がある。この要因は、成膜ガスの流路内にクリーニングによる残留物が存在し、成膜ガスの通流によって成膜ガスと共にウエハに供給されることにあると推察される。
パーティクル低減処理については、特許文献1に、ウエハの処理を行う際には所定流量の不活性ガスを反応ガスと共に導入し、パーティクル低減処理を行うときには大流量の不活性ガスをパージガスとして導入する技術が記載されている。しかしながらこの特許文献1では、不活性ガスの流路と反応ガスの流路とは別個であるため、反応ガスの流路にパーティクルが存在する場合には反応ガスの供給と共にパーティクルがウエハに付着するという懸念がある。また特許文献2には、半導体装置の点検において、プロセスチャンバに接続されたガスラインに関する点検項目を実施する際に、ガスラインにパージフローを最大流量で流すことが記載されている。さらに特許文献3には、ガス供給配管に圧力制御用タンクを設けると共に、圧力制御タンクの排気配管に圧力計を設け、圧力計によってタンク内の圧力が所定圧になるように制御して、ガスをパルス状に供給する技術が記載されている。
また特許文献4には、成膜処理を行う場合にチャンバ内の圧力を検出し、この検出値に基づいてバルブの開閉動作の確認を行う技術が記載されている。さらに特許文献5には、反応ガスの流路に圧力計を備えた反応ガスタンクを設け、反応ガスタンクに予め充填させておいた反応ガスをチャンバ内に供給してプラズマ処理を行う技術が記載されている。この手法では、チャンバの容量が大きい場合でも、チャンバ内が設定圧力となるように反応ガスを短時間で供給することができる。しかしながら特許文献2〜5においては、パージガスを通流させてパーティクルを低減させることについては記載されておらず、これら特許文献1〜5によっても、本発明の課題を解決することは困難である。
特開2006−253629号公報:段落0146〜0151、段落0166、0167 特開2011−192931号公報:段落0044、0052 特開平6−45256号公報:段落0012、0013、0018 特開2008−277666号公報:段落0057〜0060 特開2008−91625号公報:段落0032、0037、0042、0043
本発明はこのような事情に鑑みてなされたものであり、その目的は、互いに反応する複数種類の反応ガスを基板に順番に供給して成膜を行うにあたり、基板のパーティクル汚染を低減させることができる技術を提供することにある。
本発明の成膜装置は、
真空雰囲気である処理室内の基板に対して互いに反応する複数種類の反応ガスを順番に供給し、反応生成物を積層して薄膜を形成する成膜装置において、
前記反応ガスを処理室に供給するために、反応ガスの種類毎に設けられたガス供給路と、
前記ガス供給路に設けられ、ガスの貯留によりその内部を昇圧するための貯留部と、
前記ガス供給路における前記貯留部の上流側及び下流側の各々に設けられたバルブと、
前記貯留部にパージガスを供給するためのパージガス供給部と、
複数種類の反応ガスの各々について、前記貯留部に反応ガスを貯留して昇圧した後、当該貯留部から処理室内に吐出するように前記バルブを操作する動作を順番に行う成膜ステップと、
成膜後の基板が前記処理室から搬出された後、次の基板が当該処理室内に搬入されるまでの間に、各貯留部に前記パージガスを貯留して前記成膜ステップにおける対応する貯留部の昇圧時の圧力よりも高い圧力に昇圧し、次いで当該貯留部から処理室内に吐出するように前記バルブを操作する動作を複数回繰り返すパージステップと、を実行するための制御部と、を備えたことを特徴とする。
また本発明のガス供給装置は、
真空雰囲気である処理室内の基板に対して互いに反応する複数種類の反応ガスを順番に供給し、反応生成物を積層して薄膜を形成する成膜装置に用いられるガス供給装置において、
前記反応ガスを処理室に供給するために、反応ガスの種類毎に設けられたガス供給路と、
前記ガス供給路に設けられ、ガスの貯留によりその内部を昇圧するための貯留部と、
前記ガス供給路における前記貯留部の上流側及び下流側の各々に設けられたバルブと、
前記貯留部にパージガスを供給するためのパージガス供給部と、
複数種類の反応ガスの各々について、前記貯留部に反応ガスを貯留して昇圧した後、当該貯留部から処理室内に吐出するように前記バルブを操作する動作を順番に行う成膜ステップと、
成膜後の基板が前記処理室から搬出された後、次の基板が当該処理室内に搬入されるまでの間に、各貯留部に前記パージガスを貯留して前記成膜ステップにおける対応する貯留部の昇圧時の圧力よりも高い圧力に昇圧し、次いで当該貯留部から処理室内に吐出するように前記バルブを操作する動作を複数回繰り返すパージステップと、を実行するための制御部と、を備えたことを特徴とする。
さらに本発明の成膜方法は、
真空雰囲気である処理室内の基板に対して互いに反応する複数種類の反応ガスを、反応ガスの種類毎に設けられたガス供給路を介して順番に供給し、反応生成物を積層して薄膜を形成する成膜方法において、
複数種類の反応ガスの各々について、前記ガス供給路に設けられた貯留部に反応ガスを貯留して昇圧した後、当該貯留部から処理室内に吐出する動作を順番に行う成膜工程と、
成膜後の基板が前記処理室から搬出された後、次の基板が当該処理室内に搬入されるまでの間に、各貯留部にパージガスを貯留して前記成膜工程における対応する貯留部の昇圧時の圧力よりも高い圧力に昇圧し、次いで当該貯留部から処理室内に吐出する動作を複数回繰り返すパージ工程と、を含むことを特徴とする
本発明では、互いに反応する複数種類の反応ガスを基板に順番に供給して薄膜を形成する処理を行った後、反応ガスに接触する部位に付着したパーティクルをパージガスの通流により削除するようにしている。そして反応ガスを一旦昇圧して処理室内に吐出させるための昇圧用の貯留部を利用して、パージガスの圧力を反応ガスの昇圧時の圧力よりも高めてから処理室に供給している。このためパージガスの強い流れにより、貯留部の下流側の流路に存在するパーティクルがパージガスと共に流されて除去される。従って基板のパーティクル汚染を低減させることができる。
本発明に係わる成膜装置の縦断面図である。 成膜装置のガス供給系を示す構成図である。 成膜装置にて行われる成膜方法の一例を示す工程図である。 成膜装置にて行われる成膜処理を説明する構成図である。 成膜装置にて行われる成膜処理を説明する構成図である。 成膜装置にて行われるクリーニングを説明する構成図である。 成膜装置にて行われるパージ処理の一例を示す工程図である。 成膜装置にて行われるパージ処理を説明する構成図である。 成膜装置にて行われるパージ処理を説明する構成図である。 ウエハの処理枚数とパーティクル数との関係を示す特性図である。
本発明の実施の形態に係わる成膜装置の構成について、図1及び図2を参照して説明する。本成膜装置は、例えば直径が300mmのウエハWの表面に、互いに反応する反応ガスである塩化チタン(TiCl)ガス(原料ガス)とアンモニア(NH)ガス(窒化ガス)とを交互に供給してALD法により窒化チタン(TiN)膜を成膜する装置として構成されている。
図1、図2に示すように成膜装置は、処理室を構成する真空容器よりなる処理容器1を備え、この処理容器1内にはウエハWが載置される載置台2が設けられると共に、この載置台2と対向するように天板部材3が配設されている。処理容器1の上部側の位置には排気ダクト13が設けられており、排気ダクト13の内周面には開口部131が形成されると共に、排気ダクト13の外壁面は、排気口132を介して真空ポンプなどからなる排気部14に圧力調整弁141を介して接続されている。図中11はウエハWの搬入出口、12はゲートバルブである。
前記載置台2は、その内部にウエハWを例えば350℃〜530℃の成膜温度に加熱するためのヒーター21を備えると共に、ウエハWの載置領域の外周側の領域がカバー部材22により覆われている。このカバー部材22は、上下端が各々開口する概略円筒形状に形成されると共に、その上端部が内側に向かって周方向に亘って水平方向に屈曲している。
載置台2の下面側中央部は、処理容器1の底面を貫通し、上下方向に伸びる支持部材23により、支持板231を介して昇降機構24に接続されている。図中232はベローズである。昇降機構24は、載置台2を、図示しない搬送機構との間でウエハWを受け渡す受け渡し位置と、この受け渡し位置の上方側であって、ウエハWへの成膜が行われる処理位置(図1に示す位置)との間で昇降させる。図中25は、前記搬送機構とのウエハWの受け渡し時に、ウエハWを持ち上げるための支持ピン、図中26は支持ピン25の昇降機構であり、載置台2には支持ピン25の貫通孔201が形成されている。
排気ダクト13の上面側には支持板31が設けられており、この支持板31の下面側には、処理空間30に反応ガスや置換ガス等を供給するための天板部材3が配設されている。この天板部材3の下面側には凹部32が形成されており、この凹部32の中央側から外周側へ向けて末広がりの形状の傾斜面が形成されている。この傾斜面のさらに外側には、環状で平坦な先端部33が設けられている。
載置台2を処理位置まで上昇させたとき、天板部材3の先端部33の下面は、カバー部材22の上面と互いに対向するように配置され、このときに天板部材3の凹部32と載置台2の上面とによって囲まれた空間は、ウエハWに対する成膜が行われる処理空間30となる。また天板部材3の先端部33の下面と、カバー部材22の上面との間には隙間34が形成されるように処理位置の高さ位置が設定されている。前記排気ダクト13の開口部131は、この隙間34に向けて開口している。
天板部材3の前記凹部32の中央部には、処理空間30内へ反応ガスを供給するためのガス供給路41が形成されている。ガス供給路41は天板部材3を上下方向に貫通し、その下端部は載置台2側へ向けて下方側に開口している。またガス供給路41は接続部材42及びバルブ機構43を介してガス供給系5に接続されている。前記接続部材42は例えばステンレスやハステロイにより構成され、その内部にはガスの流路が形成されている。この例では、ガス供給路41は2本の流路411、412に分岐し、前記バルブ機構43に接続されている。バルブ機構43は例えば4個のバルブV1〜V4を備えており、これらバルブV1〜V4には夫々ガス供給路51〜54が接続されている。
前記ガス供給系5について図2を参照して具体的に説明すると、バルブV2は塩化チタン(TiCl)ガスの供給路である塩化チタン供給路52に接続され、バルブV3はアンモニア(NH)ガスの供給路であるアンモニア供給路に接続されている。またバルブV1、V4は夫々置換用ガス例えば窒素(N)ガスの供給路である置換ガス供給路に夫々接続されている。前記塩化チタン供給路52及びアンモニア供給路53は本発明のガス供給路に夫々相当する。
塩化チタン供給路52の一端側は塩化チタンガス供給部521に接続されると共に、この塩化チタン供給路52におけるバルブV2の上流側には、処理容器1側から順に、貯留部をなす貯留タンク61と、バルブV21と、流量調整部MF2と、バルブV22と、が設けられている。また塩化チタン供給路52は、流量調整部MF2とバルブV22との間から分岐し、バルブV23を備えた分岐路522を介してパージガスである窒素ガスの供給源523に接続されている。前記塩化チタン供給路52、分岐路522、バルブV23、窒素ガスの供給源523は本発明のパージガス供給部に相当する。さらに塩化チタン供給路52におけるバルブV21と流量調整部MF2との間には排気路524が接続されており、この排気路524はバルブV24を介して排気部14に接続されている。前記バルブはガスの給断、流量調整部はガス供給量の調整を夫々行うものであり、以降のバルブ及び流量調整部についても同様である。
同様にアンモニア供給路53の一端側はアンモニアガス供給部531に接続されており、このアンモニア供給路53のバルブV3の上流側には、処理容器1側から順に、貯留部をなす貯留タンク62と、バルブV31と、流量調整部MF3と、バルブV32と、が設けられている。またアンモニア供給路53は、流量調整部MF3とバルブV32との間から分岐し、バルブV33を備えた分岐路532を介してパージガスである窒素ガスの供給源533に接続されている。前記アンモニア供給路53、分岐路532、バルブV33、窒素ガスの供給源533は本発明のパージガス供給部に相当する。さらにアンモニア供給路53におけるバルブV31と流量調整部MF3との間には排気路534が接続されており、この排気路534はバルブV34を介して排気部14に接続されている。
前記貯留タンク61、62は例えば同様に構成され、貯留タンク61(62)と処理容器1との間のバルブV2(V3)を閉じ、貯留タンク61(62)にガスを供給したときに、当該貯留タンク61(62)内にガスが貯留されるようになっている。またこのガスの供給を続けることにより貯留タンク61(62)内が昇圧されるように構成されている。これら貯留タンク61、62には当該タンク61、62内の圧力を検出するための圧力計63、64が夫々設けられている。これら貯留タンク61、62は例えばステンレス製であり、例えばその耐圧性能が0.3MPa(2250Torr)、内容積が400ml程度のものが用いられる。
置換ガス供給路51は、流量調整部MF1及びバルブV11を介して窒素ガス供給源511に接続されると共に、流量調整部MF1とバルブV11との間から分岐し、バルブV12を備えた分岐路512によりクリーニング用流体であるフッ化塩素(ClF)ガスの供給源513に接続されている。同様に置換ガス供給路54は、流量調整部MF4及びバルブV41を介して窒素ガス供給源541に接続されると共に、流量調整部MF4とバルブV41との間から分岐し、バルブV42を備えた分岐路542によりフッ化塩素ガスの供給源543に接続されている。
以上に説明した構成を備えた成膜装置は、図1に示すように制御部7と接続されている。制御部7は例えば図示しないCPUと記憶部とを備えたコンピュータからなり、記憶部には成膜装置の作用、即ち処理容器1内にてウエハWに成膜処理を行うときの制御と、処理容器1内をクリーニングするときの制御と、処理容器1内をパージするときの制御についてのステップ(命令)群が組まれたプログラムが記録されている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリーカード等の記憶媒体に格納され、そこからコンピュータにインストールされる。
続いて本成膜装置の作用について、成膜工程と、処理容器1をクリーニングする工程と、パージ工程と、を実施する場合を例にして、図3〜図9を参照して説明する。先ず成膜工程について図3〜図5を参照して説明する。予め処理容器1内を真空雰囲気に減圧した後、図示しない搬送機構によりウエハWを処理容器1に搬入する(ステップS1)。そして受け渡し位置にあり、例えば440℃に加熱された載置台2に対して、前記搬送機構と支持ピン25との協働作業によりウエハWを受け渡す。
次いで載置台2を処理位置まで上昇させると共に、処理容器1内の圧力調整を行った後、塩化チタン供給路52を介して塩化チタンガスを供給する。この塩化チタンガスの供給では、図4(a)に示すように、バルブV2を閉じ、バルブV21、V22を開く。これにより塩化チタンガスを、塩化チタン供給路52を介して貯留タンク61に所定の流量例えば50sccmで供給して、当該タンク61内に塩化チタンガスを充填する(ステップS2)。またバルブV1、11、V4、V41を開き、置換ガス供給路51、54を介して、窒素ガスを夫々例えば3000sccmの流量で処理容器1に導入する。バルブV23等その他のバルブについては閉じておく。なお図4〜図6、図8、図9については、バルブのうち、開いているものについては「O」を付すと共に白色で示し、閉じているものについては「C」を付すと共に黒色で示している。また図示の便宜上、閉じているバルブについては「C」を省略している場合が多く、関連する部分についてのみ、符号を付している。
塩化チタンガスの供給により、貯留タンク61では徐々に圧力が高まってくる。そして貯留タンク61内の圧力が第1の圧力例えば12.80kPa(96Torr)以上に昇圧すると、図4(b)に示すように、バルブV2を開いて、処理容器1内に所定量の塩化チタンガスを供給する(ステップS3)。前記第1の圧力とは、例えば空の貯留タンク61に塩化チタンガスの供給を開始したときの当該貯留タンク61の圧力よりも高い圧力であり、例えば12.40kPa(93Torr)〜13.07kPa(98Torr)に設定される。この工程では、バルブV2を開く以外、バルブの開閉は貯留タンク61に塩化チタンガスを充填する場合(図4(a))と同様である。
前記塩化チタンガス及び窒素ガスは、接続部材42及び天板部材3内のガスの流路411、412及びガス供給路41を介して処理空間30内に供給され、処理空間30の天井部の傾斜面に案内されながら、天板部材3の中央部側から外周部側へ向け広がっていき、ウエハWに到達する。また先端部33とカバー部材22との間の隙間34に到達した塩化チタンガス及び窒素ガスは、当該隙間34から処理容器1内に流れ出た後、排気ダクト13を介して外部へ排出される。
前記バルブV2を開いて処理容器1へ塩化チタンガスを供給すると、貯留タンク61内の圧力が下がってくるので、例えば12.40kPa(93Torr)以下になると、バルブV2を閉じて塩化チタンガスの供給を停止する。一方、バルブV1及びバルブV4は開いたままにして、処理容器1内に、置換ガス供給路51、54から窒素ガスを夫々例えば3000sccmの流量で供給する(ステップS4)。窒素ガスは、前記ガスの流路411、412及びガス供給路41を介して処理空間30内に供給され、処理容器1内に流出して、排気ダクト13から排気される。こうして前記ガスの経路及び処理空間30内の塩化チタンガスが窒素ガスと置換される。
この窒素ガスの供給によりガスを置換した後、アンモニアガス供給路53を介して処理容器1にアンモニアガスを供給する。このアンモニアガスの供給では、図5(a)に示すように、バルブV3を閉じ、バルブV31、V32を開く。これによりアンモニアガスを貯留タンク62に所定の流量例えば2700sccmで供給して、当該タンク62内にアンモニアガスを充填する(ステップS5)。またバルブV1、V4を開き、置換ガス供給路51、54を介して、窒素ガスを夫々例えば3000sccmの流量で処理容器1に導入する。バルブV33等その他のバルブについては閉じておく。
アンモニアガスの供給により、貯留タンク62内の圧力が第2の圧力例えば21.73kPa(163Torr)以上になると、図5(b)に示すように、バルブV3を開いて、処理容器1内に所定量のアンモニアガスを供給する(ステップS6)。前記第2の圧力とは、例えば空の貯留タンク62にアンモニアガスの供給を開始したときの当該貯留タンク62の圧力よりも高い圧力であり、例えば19.20kPa(144Torr)〜24.93kPa(187Torr)に設定される。この工程では、バルブV3を開く以外、バルブの開閉は貯留タンク62にアンモニアガスを充填する場合(図5(a))と同様である。
処理容器1に供給されたアンモニアガスは、塩化チタンガスの場合と同様の流れを形成して処理空間30内に供給される。処理空間30内を流れるアンモニアガスがウエハWの表面に到達すると、先にウエハWに吸着している塩化チタンガスの成分を窒化して窒化チタンが形成される。
バルブV3を開いて処理容器1へアンモニアガスを供給すると、貯留タンク62内の圧力が下がってくるので、例えば19.33kPa(145Torr)以下になると、バルブV3を閉じてアンモニアガスの供給を停止する。一方、バルブV1及びバルブV4は開いたままにして、処理容器1内に、置換ガス供給路51、54から窒素ガスを夫々例えば3000sccmの流量で供給する(ステップS6)。こうして処理容器1に供給される置換ガス供給路51、54からの置換用の窒素ガスにより、処理空間30内のアンモニアガスを置換する(ステップS7)。
このようにして、塩化チタンガス→窒素ガス→アンモニアガス→窒素ガスの順番で反応ガス(塩化チタンガス、アンモニアガス)と置換用のガス(窒素ガス)とを供給することにより、ウエハWの表面に窒化チタン(TiN)の分子層が積層され、窒化チタンの膜が成膜される。この塩化チタンガスの供給とアンモニアガスの供給とを例えば数十回〜数百回繰り返し、所望の膜厚の窒化チタンの膜を成膜する。塩化チタンガスと窒素ガスとアンモニアガスと窒素ガスの供給時間の一例を挙げると、塩化チタンガス0.05秒→窒素ガス0.2秒→アンモニアガス0.3秒→窒素ガス0.3秒である。
こうして置換用の窒素ガスを供給して最後のアンモニアガスを排出した後、載置台2を受け渡し位置まで降下させる。そして搬入時とは逆の手順で成膜後のウエハWを搬出させた後(ステップS8)、次のウエハWの搬入を待つ。
この例では、貯留タンク61(62)に塩化チタンガス(アンモニアガス)を充填するときには、タンク61(62)内の圧力が予め設定された時間で第1の圧力(第2の圧力)になるように、貯留タンク61(62)への塩化チタンガス(アンモニアガス)の供給量と供給時間とが設定されている。例えば塩化チタンガス(アンモニアガス)の供給量を一定にしておき、供給時間を調整することによって、貯留タンク61(62)内の圧力を所定時間で第1の圧力(第2の圧力)に設定する。そして前記供給時間に基づいて、バルブV2(V3)の開閉を制御している。
さらに貯留タンク61(62)から処理容器1へ塩化チタンガス(アンモニアガス)を供給するときには、タンク61(62)内が所定の圧力(12.80kPa(96Torr)、21.73kPa(163Torr)になるまでの、処理容器1への塩化チタンガス(アンモニアガス)の供給時間を予め把握しておき、この供給時間に基づいてバルブV2(V3)の開閉を制御している。
以上においては、塩化チタンガス→窒素ガス→アンモニアガス→窒素ガスの順番でガスを切り換えて処理容器1に供給すればよく、例えば貯留タンク61、62への塩化チタンガス及びアンモニアガスの充填は夫々並行して行われる。また例えば塩化チタンガス及びアンモニアガスの一方の処理容器1への供給と、塩化チタンガス及びアンモニアガスの他方の貯留タンク61、62への充填は並行して行われる。
上述の成膜処理を例えば500枚のウエハWに対して行った後、クリーニングを行う。処理容器1内における反応ガスが到達する領域には、塩化チタンガスとアンモニアガスとの反応により膜が形成され、この膜が次第に堆積していく。このため、クリーニングは処理容器1内の前記堆積膜を除去するために行われる。具体的には、例えば処理容器1内を引ききり状態(圧力調整弁141が全開の状態)にて排気する。その後、図6(a)に示すように、バルブV1、V12を開き、置換ガス供給路51を介して所定流量のフッ化塩素ガスを所定時間供給する。このときバルブV4、V41を開き、置換ガス供給路54を介して所定流量の窒素ガスを供給する。所定時間が経過した後に、バルブV1、V4、V12、V41を閉じる。次いで図6(b)に示すように、バルブV4、V42を開き、置換ガス供給路54を介して所定流量のフッ化塩素ガスを所定時間供給する。このときバルブV1、V11を開き置換ガス供給路51を介して所定流量の窒素ガスを供給する。
フッ化塩素ガスは、ガスの流路411、412、ガス供給路41を介して処理空間30内に供給され、反応ガスと同様の経路で流れていく。そして隙間34から処理容器1内に流出し、排気ダクト13を介して外部へ排出される。このように反応ガスの到達する領域にフッ化塩素ガスが供給されるため、処理容器1内に堆積した膜が除去される。
フッ化塩素ガスを所定時間供給してクリーニングを行った後、処理容器1を真空排気しながら、バルブV12、V42を閉じ、バルブV1、V11、V4、V41を開いて窒素ガスを置換ガス供給路51、54を介して処理容器1に導入する。この処理を所定時間行った後、処理容器1の排気を停止すると共に、バルブV1、V11、V4、V41を閉じてクリーニングを終了する。
クリーニングを行った後、パージ工程を実施する。この工程は、反応ガスのガス供給路である塩化チタン供給路52とアンモニア供給路53にパージガスである窒素ガスを供給することにより行う。以下、図7〜図9を参照して具体的に説明する。先ずアンモニア供給路(NHライン)53の実ガス抜きを行う(ステップS11)。この工程は、バルブV1、V11、V4、V41、V31、V34を開き、これら以外のバルブを閉じ、排気部14により排気することにより行う。これによりアンモニア供給路53は、バルブV3の上流側が排気され、当該アンモニア供給路53内に残存するガスが除去される。
次いで塩化チタン供給路(TiClライン)52の実ガス抜きを行う(ステップS12)。この工程は、バルブV1、V11、V4、V41、V21、V24を開き、これら以外のバルブを閉じ、排気部14により排気することにより行う。これにより塩化チタン供給路52は、バルブV2の上流側が吸引排気され、当該塩化チタン供給路52内に残存するガスが除去される。
続いて、図8に示すように、貯留タンク61、62にパージガスである窒素ガスを充填する(ステップS13)。つまりバルブV1、V11、V4、V41、V21、V23、V31、V33を開き、これら以外のバルブを閉じる。バルブV2、V3は閉じられているので、塩化チタン供給路52及びアンモニア供給路53を介して夫々流れてくる窒素ガスは、夫々貯留タンク61、62に貯留される。こうして塩化チタン供給路52を介して貯留タンク61に窒素ガスを所定の流量例えば190sccmで供給して、当該タンク61内に窒素ガスを充填する。またアンモニア供給路53を介して貯留タンク62に窒素ガスを所定の流量例えば900sccmで供給して、当該タンク62内に窒素ガスを充填する。一方置換ガス供給路51、54を介して窒素ガスを夫々例えば3000sccmの流量で処理容器1に導入する。
前記貯留タンク61、62では、夫々窒素ガスの供給により、タンク61、62内の圧力が徐々に圧力が高まってくる。貯留タンク61内の圧力が前記第1の圧力よりも高い圧力例えば56.00kPa(420Torr)になると、図9(a)に示すように、バルブV2を開く。これにより処理容器1に貯留タンク61から窒素ガスを塩化チタン供給路52を介して処理容器1に供給してパージを行う(ステップS14)。この状態で開いているバルブは、バルブV1、V11、V4、V41、V2、V21、V23、V31、V33である。
処理容器1内に貯留タンク61内で加圧された窒素ガス(パージガス)が供給されると、窒素ガスは圧力差により急激に処理空間30内を拡散し、前記隙間34を介して処理容器1内に広まっていく。また貯留タンク61にて加圧されてから処理容器1へ供給されるので、窒素ガスは強い圧力で処理容器1へ供給される。従って貯留タンク61の下流側における窒素ガスの流路では、窒素ガスの強い流れが発生し、この流れと共に前記流路に存在するパーティクルが除去される。
このように貯留タンク61から処理容器1にパージガスを供給すると、貯留タンク61内の圧力が下がってくるので、貯留タンク61内の圧力が例えば46.66kPa(350Torr)になると、バルブV2を閉じて処理容器1への窒素ガスの供給を停止する。
これにより塩化チタン供給路52では、ステップS13の窒素ガスの充填工程が再び行われ、貯留タンク61への窒素ガスの供給により、次第に貯留タンク61の圧力が高まっていく。こうして再び貯留タンク61内の圧力が56.00kPa(420Torr)になるとバルブV2を開き、窒素ガスを処理容器1に供給してパージを行う。このように塩化チタン供給路52では、貯留タンク61内への窒素ガスの充填(ステップS13)と、処理容器1への窒素ガスのパージ(ステップS14)が例えば1000回繰り返される。このとき処理容器1への窒素ガスのパージは例えば0.1秒行われ、貯留タンク61への窒素ガスの充填は例えば3秒行われる。
同様にアンモニア供給路53においても、窒素ガスの供給により貯留タンク62内の圧力が前記第2の圧力よりも高い圧力例えば56.00kPa(420Torr)になると、図9(b)に示すように、バルブV3を開いて、アンモニア供給路53を介して処理容器1内に窒素ガスを供給し、パージを行う(ステップS15)。この状態で開いている開閉バルブは、バルブV1、V11、V4、V41、V21、V23、V3、V31、V33である。これにより貯留タンク62の下流側における窒素ガスの流路では、窒素ガスの強い流れが発生し、この流れと共に前記流路に存在するパーティクルが除去される。
このようにして貯留タンク62から処理容器1に窒素ガスを供給し、貯留タンク62内の圧力が例えば46.66kPa(350Torr)になると、バルブV3を閉じて処理容器1への窒素ガスの供給を停止する。これによりアンモニア供給路53では、ステップS13の窒素ガスの充填工程が再び行われ、貯留タンク62への窒素ガスの供給により、次第に貯留タンク62の圧力が高まっていく。そして再び貯留タンク62内の圧力が56.00kPa(420Torr)程度になると、バルブV3を開き、窒素ガスを処理容器1に供給し、アンモニア供給路53のパージを行う。このようにアンモニア供給路53では、貯留タンク62内への窒素ガスの充填(ステップS13)と、処理容器1への窒素ガスのパージ(ステップS15)が例えば1000回繰り返される。このとき処理容器1への窒素ガスのパージは例えば0.1秒行われ、貯留タンク61への窒素ガスの充填は例えば2秒行われる。
この例では、貯留タンク61、62に窒素ガスを夫々充填するときには、タンク61、62内の圧力が予め設定された時間で夫々56.00kPa(420Torr)程度の圧力になるように、貯留タンク61、62への窒素ガスの供給量と供給時間とが夫々設定され、この供給時間に基づいてバルブV2、V3の夫々の開閉を制御している。このとき窒素ガスの供給量は、窒素ガスを貯留タンク61、62に充填する間、必ずしも一定である必要はない。
さらに貯留タンク61、62から処理容器1へ窒素ガスを供給するときには、タンク61、62内の圧力が所定の圧力(46.66kPa(350Torr))になるまでの、処理容器1への窒素ガスの供給時間を予め把握しておき、前記供給時間に基づいてバルブV2、V3の夫々の開閉が制御される。
前記貯留タンク61に窒素ガスを充填するときには、貯留タンク61へ塩化チタンガスを供給するときの第1の圧力よりも高い圧力になるまで充填すればよい。同様に前記貯留タンク62に窒素ガスを充填するときには、貯留タンク62へアンモニアガスを供給するときの第2の圧力よりも高い圧力になるまで充填すればよい。これら貯留タンク61、62への窒素ガスの充填時のタンク圧力は、貯留タンク61、62の耐圧性能等や窒素ガスの供給量、供給時間を考慮して適宜設定される。
さらに貯留タンク61、62から窒素ガスの放出を開始したときのタンク61、62の圧力(56.00kPa(420Torr))と、貯留タンク61、62からの窒素ガスの放出を停止するときの圧力(46.66kPa(350Torr))との変動分は、反応ガス供給時における貯留タンク61、62の圧力の変動分よりも大きいことが好ましい。但しこの変動分を大きくし過ぎると、再び貯留タンク61、62を昇圧するときに時間がかかり、またパージガスの供給時の貯留タンク61、62内の圧力が低下し過ぎると、パージ効果が薄れてくる。従って例えばパージガスを処理容器1に供給するときには、貯留タンク61、62からパージガスの放出を開始したときの貯留タンク61、62の圧力(この例では56.00kPa(420Torr))に対して、貯留タンク61、62の圧力が80%以上90%以下の圧力になったときにバルブV2を閉じ、再び貯留タンク61、62内を昇圧することが好ましい。
さらにまた窒素ガスを貯留タンク61に充填する工程と、窒素ガスを貯留タンク62に充填する工程とは、夫々異なるタイミングで開始するようにしてもよいし、タイミングを揃えて開始するようにしてもよい。同様に窒素ガスを貯留タンク61から処理容器1に供給する工程と、窒素ガスを貯留タンク62から処理容器1に供給する工程とは、夫々異なるタイミングで開始するようにしてもよい。
上述の例では、貯留タンク61、62に設けられた圧力計63、64の検出値に基づいてバルブV2、V3の開閉を制御しているわけではない。このため厳密には、貯留タンク61、62内の圧力が第1又は第2の圧力にならない前にバルブV2、V3を開いて反応ガスを放出し、貯留タンク61、62内の圧力が前記所定の圧力(17.33kPa(130Torr)、25.33kPa(190Torr))以下にならない前にバルブV2、V3を閉じて反応ガスを充填する場合が発生する場合もある。
しかしながら貯留タンク61、62の圧力が、空の貯留タンク61、62に反応ガスの供給を開始したときの当該貯留タンク61、62の圧力よりも高くなれば、本発明の効果を得ることができる。従って前記第1の圧力又は第2の圧力は目安であり、実際に貯留タンク61、62内の圧力が前記第1の圧力又は第2の圧力以上にならない場合にバルブV2、V3を開き、貯留タンク61、62内の圧力が前記所定の圧力(12.80kPa(96Torr)、21.73kPa(163Torr))以下にならない前にバルブV2、V3を閉じる場合も本発明に含まれる。
パージガス(窒素ガス)を処理容器1に供給する場合も同様である。貯留タンク61、62の圧力が第1の圧力又は第2の圧力よりも高くなれば、本発明の効果を得ることができ、貯留タンク61、62への窒素ガスを充填するときの目標圧力が夫々例えば56.00kPa(420Torr)となる。このため実際にはタンク61、62内の圧力が目標圧力よりも低い段階でバルブV2、V3を開き、貯留タンク61、62内の圧力が前記所定の圧力(46.66kPa(350Torr))以下にならない前にバルブV2、V3を閉じる場合も本発明に含まれる。
こうして塩化チタン供給路52を介してのパージ処理と、アンモニア供給路53を介してのパージ処理を行った後、塩化チタン供給路(TiClライン)52の窒素ガス抜き(ステップS16)と、アンモニア供給路(NHライン)53の窒素ガス抜き(ステップS17)を行ってパージ処理を終了する。この塩化チタン供給路52の窒素ガス抜きは、バルブV1、V11、V4、V41、V21、V24を開き、これら以外の開閉バルブを閉じ、排気部14により排気することにより行う。これにより塩化チタン供給路52は、バルブV2の上流側が排気され、当該塩化チタン供給路52内に残存する窒素ガスが除去される。またアンモニア供給路53の窒素ガス抜きは、バルブV1、V11、V4、V41、V31、V34を開き、これら以外の開閉バルブを閉じ、排気部14により排気することにより行う。これによりアンモニア供給路53は、バルブV3の上流側が排気され、当該アンモニア供給路53内に残存する窒素ガスが除去される。図7に示す一連のパージ処理の間、置換ガス供給路51、54を介して窒素ガスを夫々例えば3000sccmの流量で処理容器1に導入しておく。
このようにパージ工程を行った後、例えば処理容器1内のプリコートを行い、再び成膜工程が行われる。プリコートとは、処理容器1内へウエハ成膜時と同じガスを流して、処理容器1の内部表面を成膜する処理である。
上述の実施の形態によれば、塩化チタン供給路52とアンモニア供給路53に夫々貯留タンク61、62を設け、反応ガスを処理容器1に供給するときには、一旦貯留タンク61、62と処理容器1との間のバルブV2、V3を閉じる。そして反応ガスを貯留タンク61、62に供給し続けることによって当該貯留タンク61、62内を昇圧している。これにより貯留タンク61、62の内部は、貯留タンク61、62を設けずに単に塩化チタン供給路52やアンモニア供給路53に反応ガスを通流させたときの供給路の圧力よりも高くなる。そして貯留タンク61、62内が第1の圧力及び第2の圧力に昇圧してから、前記バルブV2、V3を開いて反応ガスを処理容器1に供給すると、反応ガスは、貯留タンク61、62内を昇圧しない場合に比べて、処理容器1に対して大きな供給圧力で供給される。処理容器1内は真空排気されているので、反応ガスを供給したときの圧力差が大きく、これにより処理空間30内に反応ガスが一気に拡散する。このためウエハW面内に対して均一に反応ガスを供給することができるので、面内均一性の高い膜を形成することができる。また処理容器1に対して反応ガスが大きな供給圧力で供給されることから、一定量の反応ガスを供給するときの供給時間が短くなり、スループットの向上を図ることができる。
さらにパージ工程を行うときには、パージガスを貯留タンク61、62に供給し続けることによって当該貯留タンク61、62の圧力を、反応ガスを供給するときの貯留タンク61、62圧力よりも高くしてから、パージガスを処理容器1に供給している。従ってパージガスは、貯留タンク61、62の下流側において、処理容器1内に反応ガスを供給するときよりも大きな圧力で供給される。これによりパージガスの供給時に、このパージガスの強い流れによって、貯留タンク61、62の下流側の流路に存在するパーティクルがパージガスと共に流されて除去される。この結果、パージガスの後に反応ガスを処理容器1に供給するときに、反応ガスにより処理容器1に持ち込まれるパーティクルが低減され、ウエハWのパーティクル汚染が抑制される。
またパージガスを塩化チタン供給路52とアンモニア供給路53を介して、夫々の供給路に設けられた貯留タンク61、62に供給しているので、これら塩化チタン供給路52及びアンモニア供給路53のパーティクルがパージガスの通流により除去され、よりウエハWのパーティクル汚染を低減することができる。
例えばクリーニングを行った後には、処理容器1や接続部材42等のクリーニングガスの流路において、クリーニングの残留物が排気されずにパーティクルとして残っている場合がある。従ってクリーニングの後にパージ処理を行うと、前記残留物が接続部材42や処理容器1の内壁等に付着していたとしても、パージガスにより強い衝撃力が与えられて前記堆積物が前記内壁から剥離され、パージガスの強い流れと共に処理容器1の外へ排出される。
このパージ処理を行った後には、反応ガスを処理容器1に供給して、成膜処理が行われるが、このときに処理容器1に供給される反応ガスは、既述のようにパージガスよりも供給圧力が小さい。このため仮に接続部材42や処理容器1等の反応ガスの流路に、クリーニング後の残留物が付着していたとしても、この残留物はパージ処理において、パージガスを大きな供給圧力で導入したときでさえパージガスと共に移動せずに除去できなかったものである。従って反応ガスの供給時に、前記残留物が反応ガスの通流と共に移動し、パーティクルとなってウエハWに付着することは考えにくい。このようにクリーニング後にパージ処理を行うことによって、ウエハWのパーティクル汚染をより低減することができる。
またパージガスを供給するときには、既述のように貯留タンク61、62内の圧力変動が、反応ガスを供給するときよりも大きい。このため処理容器1内においても、パージガスを繰り返して供給するときには、反応ガスを繰り返して供給するときも、大きな圧力変動が繰り返されることになる。これによりパージガスの供給時には、貯留タンク61、62の下流側のガスの流路に付着するパーティクルが圧力変動によって浮き上がってパージガスの流れに乗って移動しやすく、この点からもパーティクルを低減することができる。
以上において、反応ガス及びパージガスは、例えば貯留タンク61、62内の圧力を検出し、この検出値に基づいてバルブV2、V3を開閉させることによって処理容器1へ供給するようにしてもよい。この場合には、例えば貯留タンク61、62内の圧力が予め設定された上限値になったら、貯留タンク61、62と処理容器1との間のバルブV2、V3を開いて処理容器1に反応ガス又はパージガスを供給する。そして貯留タンク61、62からの反応ガス又はパージガスの供給により、タンク61、62内の圧力が降圧し、予め設定された下限値になったら、バルブV2、V3を閉じて、再び貯留タンク61、62内を上限値まで昇圧するように制御される。
さらに反応ガス及びパージガスは、例えば貯留タンク61、62内の圧力が一定になるように貯留タンク61、62内へのガスの流量を制御し、貯留タンク61、62へのガスの供給時間に基づいて、バルブV2、V3を開閉させることによって処理容器1へ供給するようにしてもよい。この場合には、貯留タンク61、62からガスを放出すると、貯留タンク61、62内の圧力が下がるので、貯留タンク61、62への供給量を多くして、例えば貯留タンク61、62の圧力が所定時間で予め設定された値になるように制御する。そして前記所定時間経過後、処理容器1との間のバルブV2、V3を開いて処理容器1に反応ガス又はパージガスを供給する。これにより貯留タンク61、62内が降圧するので、例えば予め設定された所定時間経過後、バルブV2、V3を閉じて、再び貯留タンク61、62内を昇圧するように制御を行う。
以上において、上述の実施の形態では、パージガス(窒素ガス)を塩化チタン供給路52、アンモニア供給路53を介して夫々貯留タンク61、62に供給したが、パージガスを塩化チタン供給路52やアンモニア供給路53を介さずに、貯留タンク61、62に直接供給するようにしてもよい。この場合であっても、貯留タンク61、62の下流側のガスの流路はパージガスの通流によりパーティクルが除去されるため、ウエハWのパーティクル汚染を抑制することができる。
また貯留部61、62としてタンクを用いずに、ガス供給路の一部を貯留部とし、当該貯留部の下流側及び上流側のバルブの開閉により、貯留部内の圧力を昇圧するようにしてもよい。
またパージ工程は、クリーニングの後ではなく、成膜工程の後に実施するようにしてもよい。この場合においても、パージ工程を行うことにより、反応ガスに接触する部位に付着したパーティクルがパージガスの通流により削除されるので、ウエハWのパーティクル汚染を低減できる。さらに置換ガス供給路51、54を設けずに、置換ガスである窒素ガスを塩化チタン供給路52及びアンモニア供給路53を介して処理容器1に供給するようにしてもよい。さらにまたクリーニングは、フッ化塩素(ClF)ガス等の塩素・フッ素系ガスの他に、例えば三フッ化窒素(NF)ガス、六フッ化エタン(C)ガス等のフッ素系ガスや塩素(Cl)ガス等の塩素系ガス等のハロゲン系のクリーニングガスよりなるクリーニング用流体を処理容器1に供給して行うようにしてもよい。
この他、本発明の成膜装置では、既述のTiN膜の成膜の他に、金属元素、例えば周期表の第3周期の元素であるAl、Si等、周期表の第4周期の元素であるTi、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ge等、周期表の第5周期の元素であるZr、Mo、Ru、Rh、Pd、Ag等、周期表の第6周期の元素であるBa、Hf、Ta、W、Re、lr、Pt等の元素を含む膜を成膜してもよい。ウエハW表面に吸着させる金属原料としては、これらの金属元素の有機金属化合物や無機金属化合物などを反応ガス(原料ガス)として用いる場合が挙げられる。金属原料の具体例としては、上述のTiClの他に、BTBAS((ビスターシャルブチルアミノ)シラン)、DCS(ジクロロシラン)、HCD(ヘキサジクロロシラン)、TMA(トリメチルアルミニウム)、3DMAS(トリスジメチルアミノシラン)などが挙げられる。
またウエハWの表面に吸着した原料ガスを反応させて、所望の膜を得る反応は、例えばO、O、HO等を利用した酸化反応、H、HCOOH、CHCOOH等の有機酸、CHOH、COH等のアルコール類等を利用した還元反応、CH、C、C、C等を利用した炭化反応、NH、NHNH、N等を利用した窒化反応等の各種反応を利用してもよい。
さらに反応ガスとして、3種類の反応ガスや4種類の反応ガスを用いてもよい。例えば3種類の反応ガスを用いる場合の例としては、チタン酸ストロンチウム(SrTiO)を成膜する場合があり、例えばSr原料であるSr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)と、Ti原料であるTi(OiPr)(THD)(チタニウムビスイソプロポキサイドビステトラメチルヘプタンジオナト)と、これらの酸化ガスであるオゾンガスが用いられる。この場合には、Sr原料ガス→置換用のガス→酸化ガス→置換用のガス→Ti原料ガス→置換用のガス→酸化ガス→置換用のガスの順でガスが切り替えられる。
(実験1)
上述の図1に示す成膜装置を用いて、処理空間30内に塩化チタンガスとアンモニアガスを供給して窒化チタンの膜を成膜し、ウエハWに付着したパーティクルの個数を測定した。窒化チタン膜は、上述の成膜工程にて説明した処理条件にて成膜した。
そしてウエハを50枚処理する毎に、ウエハWに付着した0.16μm以上の大きさのパーティクルの個数をウエハ表面検査装置(KLA−Tencor社製Surfscan SP2)により測定した。この結果を図10に示す。図中横軸はウエハの処理枚数、縦軸はパーティクルの個数である。
前記成膜工程は、ステンレス製の接続部材42を用い、クリーニングを行った成膜装置において、既述のパージ工程を実施した後に行い、このときのウエハWに付着したパーティクル数については△でプロットした(実施例1)。またハステロイ性の接続部材42を用い、クリーニングを行った成膜装置において、既述のパージ工程を実施した後に行い、このときのウエハWに付着したパーティクル数については□でプロットした(実施例2)。さらに既述のパージ工程の代わりに、塩化チタン供給路52及びアンモニア供給路53に夫々パージガスを200sccmと3000sccmの流量で供給してパージを行った場合(比較例1)については▲でプロットした。
実施例1及び実施例2のパージ処理の処理条件、及び前記クリーニング処理の条件は、実施の形態にて説明したとおりである。
この結果、実施例1及び実施例2は比較例1に比べて格段にパーティクル数が少ないことが認められ、本発明のパージ工程を実施することにより、ウエハWのパーティクル汚染が低減できることが確認された。また実施例2ではパーティクル数が非常に少ないことから、接続部材42をハステロイにすることは、パーティクルの低減に有効であることが認められた。
パーティクルの発生のメカニズムについて次のように推察される。パーティクルの主要因は、接続部材42の内壁が腐食性の大きいクリーニングガスにより腐食されてしまうことにある。そしてパージ処理を行うことにより、前記接続部材42の内壁では、パージガスの強い流れによって、クリーニングガスにより腐食された領域に存在する残渣が前記内壁から剥がされて除去される。このため次に成膜処理を行ったときに、接続部材42の内壁には残渣がない状態となり、処理容器1に反応ガスによって運び込まれるパーティクルが低減する。
一方比較例では、パージガスを塩化チタン供給路52及びアンモニア供給路53に夫々200sccmと3000sccmの流量で供給しているだけであるので、パージガスを処理容器1に供給するときの供給圧力は、反応ガスを供給するときよりも小さい。このためパージガスを接続部材42に通流させても、当該パージガスの流れが弱いので、クリーニングガスにより腐食された領域に存在する残渣を前記内壁から剥がすことはできない。一方パージガスの後に反応ガスを流すと、反応ガスは貯留タンク61、62にて加圧されてから供給されるので、接続部材42の内部をパージガスよりも強い流れで通流していく。このため前記内壁の残渣が反応ガスにより剥がされて、当該反応ガスと共に処理容器1に運び込まれるため、パーティクルが増加するものと推察される。
W ウエハ
1 処理容器
2 載置台
3 天板部材
41 ガス供給路
51、5 置換ガス供給路
52 塩化チタン供給路
53 アンモニア供給路
61、62 貯留タンク
V1〜V4 バルブ
7 制御部

Claims (8)

  1. 真空雰囲気である処理室内の基板に対して互いに反応する複数種類の反応ガスを順番に供給し、反応生成物を積層して薄膜を形成する成膜装置において、
    前記反応ガスを処理室に供給するために、反応ガスの種類毎に設けられたガス供給路と、
    前記ガス供給路に設けられ、ガスの貯留によりその内部を昇圧するための貯留部と、
    前記ガス供給路における前記貯留部の上流側及び下流側の各々に設けられたバルブと、
    前記貯留部にパージガスを供給するためのパージガス供給部と、
    複数種類の反応ガスの各々について、前記貯留部に反応ガスを貯留して昇圧した後、当該貯留部から処理室内に吐出するように前記バルブを操作する動作を順番に行う成膜ステップと、
    成膜後の基板が前記処理室から搬出された後、次の基板が当該処理室内に搬入されるまでの間に、各貯留部に前記パージガスを貯留して前記成膜ステップにおける対応する貯留部の昇圧時の圧力よりも高い圧力に昇圧し、次いで当該貯留部から処理室内に吐出するように前記バルブを操作する動作を複数回繰り返すパージステップと、を実行するための制御部と、を備えたことを特徴とする成膜装置。
  2. 前記パージガス供給部は、各ガス供給路における前記貯留部の上流側にパージガスを供給するように設けられることを特徴とする請求項1記載の成膜装置。
  3. 前記処理室内にクリーニング用流体を供給するクリーニング用流体供給部を備え、
    前記パージガスは、クリーニング用流体を処理室内に供給した後、反応ガスを貯留部に供給する前に当該貯留部に供給されることを特徴とする請求項1又は2記載の成膜装置。
  4. 前記パージガスにより昇圧された貯留部から、パージガスを処理室内に吐出した後、パージガスによる貯留部内の次の昇圧のために貯留部の下流側のバルブを閉じるときの貯留部内の圧力は、パージガスによる貯留部内の昇圧時の圧力の80%以上、90%以下に設定されていることを特徴とする請求項1ないし3のいずれか一つに記載の成膜装置。
  5. 真空雰囲気である処理室内の基板に対して互いに反応する複数種類の反応ガスを順番に供給し、反応生成物を積層して薄膜を形成する成膜装置に用いられるガス供給装置において、
    前記反応ガスを処理室に供給するために、反応ガスの種類毎に設けられたガス供給路と、
    前記ガス供給路に設けられ、ガスの貯留によりその内部を昇圧するための貯留部と、
    前記ガス供給路における前記貯留部の上流側及び下流側の各々に設けられたバルブと、
    前記貯留部にパージガスを供給するためのパージガス供給部と、
    複数種類の反応ガスの各々について、前記貯留部に反応ガスを貯留して昇圧した後、当該貯留部から処理室内に吐出するように前記バルブを操作する動作を順番に行う成膜ステップと、
    成膜後の基板が前記処理室から搬出された後、次の基板が当該処理室内に搬入されるまでの間に、各貯留部に前記パージガスを貯留して前記成膜ステップにおける対応する貯留部の昇圧時の圧力よりも高い圧力に昇圧し、次いで当該貯留部から処理室内に吐出するように前記バルブを操作する動作を複数回繰り返すパージステップと、を実行するための制御部と、を備えたことを特徴とするガス供給装置。
  6. 真空雰囲気である処理室内の基板に対して互いに反応する複数種類の反応ガスを、反応ガスの種類毎に設けられたガス供給路を介して順番に供給し、反応生成物を積層して薄膜を形成する成膜方法において、
    複数種類の反応ガスの各々について、前記ガス供給路に設けられた貯留部に反応ガスを貯留して昇圧した後、当該貯留部から処理室内に吐出する動作を順番に行う成膜工程と、
    成膜後の基板が前記処理室から搬出された後、次の基板が当該処理室内に搬入されるまでの間に、各貯留部にパージガスを貯留して前記成膜工程における対応する貯留部の昇圧時の圧力よりも高い圧力に昇圧し、次いで当該貯留部から処理室内に吐出する動作を複数回繰り返すパージ工程と、を含むことを特徴とする成膜方法。
  7. 前記処理室内にクリーニング用流体を供給してクリーニングを行う工程を含み、
    前記パージ工程は、前記クリーニングを行う工程の後、成膜工程の前に行うことを特徴とする請求項6記載の成膜方法。
  8. 前記パージガスにより昇圧された貯留部から、パージガスを処理室内に吐出した後、パージガスによる貯留部内の次の昇圧のために貯留部の下流側のバルブを閉じるときの貯留部内の圧力は、パージガスによる貯留部内の昇圧時の圧力の80%以上、90%以下に設定されていることを特徴とする請求項6又は7記載の成膜方法。
JP2013074617A 2013-03-29 2013-03-29 成膜装置及びガス供給装置並びに成膜方法 Active JP6107327B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2013074617A JP6107327B2 (ja) 2013-03-29 2013-03-29 成膜装置及びガス供給装置並びに成膜方法
KR1020140031732A KR101752951B1 (ko) 2013-03-29 2014-03-18 성막 장치, 가스 공급 장치 및 성막 방법
TW103110288A TWI619836B (zh) 2013-03-29 2014-03-19 Film forming device, gas supply device and film forming method
US14/223,582 US9644266B2 (en) 2013-03-29 2014-03-24 Film forming apparatus, gas supply device and film forming method
CN201410118264.7A CN104073780B (zh) 2013-03-29 2014-03-27 成膜装置、气体供给装置以及成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013074617A JP6107327B2 (ja) 2013-03-29 2013-03-29 成膜装置及びガス供給装置並びに成膜方法

Publications (2)

Publication Number Publication Date
JP2014198872A JP2014198872A (ja) 2014-10-23
JP6107327B2 true JP6107327B2 (ja) 2017-04-05

Family

ID=51595374

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013074617A Active JP6107327B2 (ja) 2013-03-29 2013-03-29 成膜装置及びガス供給装置並びに成膜方法

Country Status (5)

Country Link
US (1) US9644266B2 (ja)
JP (1) JP6107327B2 (ja)
KR (1) KR101752951B1 (ja)
CN (1) CN104073780B (ja)
TW (1) TWI619836B (ja)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104465292B (zh) * 2014-11-28 2017-05-03 上海华力微电子有限公司 一种离子注入机的预处理方法
JP6316759B2 (ja) * 2015-01-21 2018-04-25 東京エレクトロン株式会社 ガス供給系清浄化方法および基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102514043B1 (ko) * 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6900640B2 (ja) * 2016-08-03 2021-07-07 東京エレクトロン株式会社 ガス供給装置及びガス供給方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6832154B2 (ja) 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7002847B2 (ja) 2017-03-15 2022-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7033882B2 (ja) * 2017-05-01 2022-03-11 東京エレクトロン株式会社 成膜方法および成膜装置
KR102065243B1 (ko) 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102504958B1 (ko) * 2018-04-02 2023-03-03 삼성전자주식회사 박막 증착 방법 및 박막 증착 장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7012613B2 (ja) 2018-07-13 2022-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20210313155A1 (en) * 2018-08-28 2021-10-07 Fuji Corporation Gas supply determination method and plasma generator
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383886B (zh) * 2018-12-27 2023-03-10 中微半导体设备(上海)股份有限公司 防刻蚀气体供应管道腐蚀的系统及等离子反应器运行方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7016833B2 (ja) * 2019-05-17 2022-02-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP2021075739A (ja) 2019-11-05 2021-05-20 東京エレクトロン株式会社 基板を処理する装置、処理ガスを濃縮する装置、及び基板を処理する方法
JP7447432B2 (ja) 2019-11-05 2024-03-12 東京エレクトロン株式会社 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11817313B2 (en) 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202228863A (zh) * 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US6025013A (en) * 1994-03-29 2000-02-15 Schott Glaswerke PICVD process and device for the coating of curved substrates
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
CA2328295A1 (en) * 1998-04-14 1999-10-21 Jack P. Salerno Film deposition system
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100375834B1 (ko) * 2000-04-10 2003-03-15 주식회사 무한 리모트 플라즈마를 이용한 원자층 형성장치의 기체이송장치
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6631334B2 (en) * 2000-12-26 2003-10-07 Mks Instruments, Inc. Pressure-based mass flow controller system
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
WO2003034169A1 (fr) * 2001-10-18 2003-04-24 Ckd Corporation Regulateur de debit par emission d'impulsions et procede de regulation de debit par emissions d'impulsions
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
JP4434807B2 (ja) * 2004-03-29 2010-03-17 株式会社日立国際電気 半導体装置の製造方法
WO2005093799A1 (ja) * 2004-03-29 2005-10-06 Hitachi Kokusai Electric Inc. 半導体装置の製造方法及び基板処理装置
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
KR100870246B1 (ko) * 2004-11-08 2008-11-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리장치
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP4931381B2 (ja) 2005-02-08 2012-05-16 東京エレクトロン株式会社 基板処理装置,基板処理装置の制御方法,プログラム
JP4566787B2 (ja) * 2005-02-28 2010-10-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20060201425A1 (en) * 2005-03-08 2006-09-14 Applied Microstructures, Inc. Precursor preparation for controlled deposition coatings
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
JP4937533B2 (ja) * 2005-06-16 2012-05-23 東京エレクトロン株式会社 半導体装置の製造方法およびコンピュータ記憶媒体
CN101336312B (zh) * 2005-12-01 2011-07-06 埃克提斯公司 间歇-连续蚀刻
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
JP5235293B2 (ja) * 2006-10-02 2013-07-10 東京エレクトロン株式会社 処理ガス供給機構および処理ガス供給方法ならびにガス処理装置
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008277666A (ja) 2007-05-02 2008-11-13 Tokyo Electron Ltd バルブ開閉動作確認方法、ガス処理装置および記憶媒体
JP2009033121A (ja) * 2007-06-28 2009-02-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
JP5491022B2 (ja) * 2008-12-10 2014-05-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理装置の制御方法および基板処理装置の表示方法
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5381831B2 (ja) 2010-03-16 2014-01-08 東京エレクトロン株式会社 半導体製造システム
US9348339B2 (en) * 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
CN102751170B (zh) * 2011-04-19 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 半导体处理设备
JP2012237026A (ja) * 2011-05-10 2012-12-06 Tokyo Electron Ltd 成膜装置
EP2557198A1 (en) * 2011-08-10 2013-02-13 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
FR2988403B1 (fr) 2012-03-20 2014-05-09 Riber Appareil de depot sous vide a cellules a vanne comportant un dispositif de detection de fuite et procede de detection d'une fuite dans un appareil de depot sous vide
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部

Also Published As

Publication number Publication date
KR20140118784A (ko) 2014-10-08
JP2014198872A (ja) 2014-10-23
TW201506191A (zh) 2015-02-16
CN104073780A (zh) 2014-10-01
TWI619836B (zh) 2018-04-01
US9644266B2 (en) 2017-05-09
US20140295083A1 (en) 2014-10-02
CN104073780B (zh) 2018-01-09
KR101752951B1 (ko) 2017-07-03

Similar Documents

Publication Publication Date Title
JP6107327B2 (ja) 成膜装置及びガス供給装置並びに成膜方法
KR101521466B1 (ko) 가스 공급 장치, 열처리 장치, 가스 공급 방법 및 열처리 방법
JP6023854B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP4961381B2 (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5616591B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2019210550A (ja) 気相化学反応器およびその使用方法
JP5222652B2 (ja) 基板処理装置及び半導体装置の製造方法
US20120119337A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US20160208382A1 (en) Semiconductor manufacturing apparatus
US9776202B2 (en) Driving method of vertical heat treatment apparatus, storage medium and vertical heat treatment apparatus
JP6167673B2 (ja) 成膜装置、成膜方法及び記憶媒体
CN107731656B (zh) 清洁方法、半导体器件的制造方法、衬底处理装置以及记录介质
JP5221089B2 (ja) 成膜方法、成膜装置および記憶媒体
KR20180021142A (ko) 가스 공급부, 기판 처리 장치 및 반도체 장치의 제조 방법
KR102326735B1 (ko) 성막 방법 및 성막 장치
CN110952078B (zh) 半导体装置的制造方法、存储介质和基板处理装置
JP2009224588A (ja) 基板処理装置
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2011187485A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170220

R150 Certificate of patent or registration of utility model

Ref document number: 6107327

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250