CN104073780B - 成膜装置、气体供给装置以及成膜方法 - Google Patents

成膜装置、气体供给装置以及成膜方法 Download PDF

Info

Publication number
CN104073780B
CN104073780B CN201410118264.7A CN201410118264A CN104073780B CN 104073780 B CN104073780 B CN 104073780B CN 201410118264 A CN201410118264 A CN 201410118264A CN 104073780 B CN104073780 B CN 104073780B
Authority
CN
China
Prior art keywords
gas
mentioned
storage unit
film formation
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410118264.7A
Other languages
English (en)
Other versions
CN104073780A (zh
Inventor
那须胜行
佐野正树
布重裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104073780A publication Critical patent/CN104073780A/zh
Application granted granted Critical
Publication of CN104073780B publication Critical patent/CN104073780B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • Y10T137/4245Cleaning or steam sterilizing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种成膜装置、气体供给装置以及成膜方法,用于降低作为基板的半导体晶圆(W)的微粒污染。在该成膜装置中,依次向真空气氛的处理容器(1)内的晶圆供给相互反应的多种反应气体,来进行成膜处理,在进行了薄膜的形成处理之后,通过使吹扫气体流通而将附着于与反应气体接触的部位的微粒除去。并且,利用用于使反应气体暂时升压之后排向处理容器的升压用的储存容器(61、62),将吹扫气体的压力提高到比反应气体在升压时的压力高,之后供给到处理容器内。因此,在吹扫气体的强流的作用下,在储存容器下游侧的流路内存在的微粒与吹扫气体一起流动而被除去。因此,带入处理容器内的微粒减少,所以能够降低晶圆的微粒污染。

Description

成膜装置、气体供给装置以及成膜方法
技术领域
本发明涉及利用反应气体对基板进行成膜的技术领域。
背景技术
作为在基板、例如半导体晶圆(以下称作“晶圆”)上形成膜的方法,已知有被称作ALD(Atomic Layer Deposition:原子层沉积)法、MLD(Multi Layer Deposition:多层沉积)法(以下,将它们统一称作ALD法)等的方法。该ALD法为能够形成致密的薄膜且能够得到良好的埋入特性的方法,在该方法中,对放置在处理室内的晶圆依次供给相互反应的多种反应气体,来形成规定的膜。
通常,在成膜处理时,不仅在晶圆上沉积有膜,在处理室的内壁上也沉积有膜,在该沉积膜的膜厚变厚时该沉积膜就会成为产生微粒的主要原因,因此在对规定片数的晶圆进行成膜处理之后,进行清洁处理。例如通过向处理室内供给腐蚀性较大的清洁气体来进行该清洁处理,附着在处理室的内壁上的沉积物与清洁气体接触而自上述内壁剥离。该剥离下的成分中的大部分成分能够通过对处理室内进行排气而被除去,但有时上述剥离下的成分中的一部分成分会残留在处理室内部。因此向处理室内供给吹扫气体,使处理室内的残留物伴随着吹扫气体的流动向处理室外部排出而除去。
在进行了清洁处理、使吹扫气体流通之后,向处理室内输入晶圆,进行规定的成膜处理,但在该成膜时有时会在晶圆上附着有微粒。推测其主要原因在于,在成膜气体的流路内存在有进行清洁处理时产生的残留物,该残留物伴随着成膜气体的流通而与成膜气体一起被供给至晶圆。
关于微粒减少处理,在专利文献1中记载了下述技术,即:在进行晶圆的处理时,在将规定流量的非活性气体与反应气体一起导入、进行微粒减少处理时,将大流量的非活性气体作为吹扫气体导入。但是,在该专利文献1中,非活性气体的流路与反应气体的流路彼此独立,因此在反应气体的流路内存在微粒的情况下,有可能伴随着反应气体的供给而使微粒附着于晶圆。另外,在专利文献2中记载了下述技术,即:在进行半导体装置的检查时,在进行有关与处理腔室连接的气体管线(日文:ガスライン)的检查项时,使吹扫气流以最大流量在气体管线内流动。此外,在专利文献3中记载了下述技术,即:在气体供给配管上设置压力控制用容器,并在压力控制容器的排气配管上设置压力表,根据压力表控制使容器内的压力为规定压力,使气体呈脉冲状供给。
另外,在专利文献4中记载了下述技术,即:检测在进行成膜处理时腔室内的压力,根据该检测值确认阀的开闭动作。此外,在专利文献5中记载了下述技术,即:在反应气体的流路上设置具有压力表的反应气体容器,将预先填充在反应气体容器内的反应气体供给到腔室内,进行等离子处理。在该方法中,即使在腔室容量较大的情况下,也能够在短时间内供给反应气体使腔室内的压力达到设定压力。但是,在专利文献2~5中,没有记载关于使吹扫气体流通、降低微粒的技术,根据上述的专利文献1~5,也难以解决本发明的问题。
专利文献1:日本特开2006-253629号公报:段落0146~0151、段落0166、0167
专利文献2:日本特开2011-192931号公报:段落0044、0052
专利文献3:日本特开平6-45256号公报:段落0012、0013、0018
专利文献4:日本特开2008-277666号公报:段落0057~0060
专利文献5:日本特开2008-91625号公报:段落0032、0037、0042、0043
发明内容
本发明是鉴于上述那样的情况而做成的,其目的在于提供一种这样的技术,即:在将相互反应的多种反应气体依次供给向基板进行成膜时,能够降低基板的微粒污染。
在本发明的成膜装置中,依次向真空气氛的处理室内的基板供给相互反应的多种反应气体,使反应生成物层叠而形成薄膜,该成膜装置的特征在于,包括:
气体供给路径,其根据反应气体的种类设置,用于将上述反应气体供给到处理室内;
储存部,其设于上述气体供给路径,用于通过储存气体提高其内部压力;
阀,其分别设于上述气体供给路径的位于上述储存部的上游侧的部位及位于上述储存部的下游侧的部位;
吹扫气体供给部,其用于向上述储存部供给吹扫气体;
控制部,其用于执行成膜步骤和吹扫步骤,
在该成膜步骤中,针对多种反应气体中的各种反应气体,以使反应气体储存于上述储存部而升压之后、从该储存部向处理室内排出的方式依次进行操作上述阀的动作,
该吹扫步骤在该成膜步骤之后进行,在该吹扫步骤中,以使上述吹扫气体储存于各储存部而使各储存部升压至比上述成膜步骤中的对应的储存部在升压时的压力高的压力、然后使上述吹扫气体从该储存部向处理室内排出的方式进行操作上述阀的动作,并反复进行多次该动作。
另外,本发明的气体供给装置用于成膜装置,在该成膜装置中,依次向真空气氛的处理室内的基板供给相互反应的多种反应气体,使反应生成物层叠而形成薄膜,该气体供给装置的特征在于,包括:
气体供给路径,其根据反应气体的种类设置,用于将上述反应气体供给到处理室内;
储存部,其设于上述气体供给路径,用于通过储存气体提高其内部压力;
阀,其分别设于上述气体供给路径的位于上述储存部的上游侧的部位及位于上述储存部的下游侧的部位;
吹扫气体供给部,其用于向上述储存部供给吹扫气体;
控制部,其用于执行成膜步骤和吹扫步骤,
在该成膜步骤中,针对多种反应气体中的各种反应气体,以使反应气体储存于上述储存部而升压之后、从该储存部向处理室内排出的方式依次进行操作上述阀的动作,
该吹扫步骤在该成膜步骤之后进行,在该吹扫步骤中,以使上述吹扫气体储存于各储存部而使各储存部升压至比上述成膜步骤中的对应的储存部在升压时的压力高的压力、然后使上述吹扫气体从该储存部向处理室内排出的方式进行操作上述阀的动作,并反复进行多次该动作。
此外,在本发明的成膜方法中,通过根据反应气体的种类设置的气体供给路径依次向真空气氛的处理室内的基板供给相互反应的多种反应气体,使反应生成物层叠而形成薄膜,该成膜方法的特征在于,包括成膜工序和吹扫工序,
在该成膜工序中,针对多种反应气体中的各种反应气体,依次进行向设于上述气体供给路径的储存部内储存反应气体、在该储存部内部压力上升之后、使该反应气体从该储存部向处理室内排出的动作;
该吹扫工序在该成膜工序之后进行,在该吹扫工序中,进行向各储存部内储存吹扫气体、使各储存部内的压力上升到比上述成膜工序中的对应的储存部在升压时的压力高的压力、然后使该吹扫气体从该储存部向处理室内排出的动作,反复进行多次此动作。
在本发明中,将相互反应的多种反应气体依次供给向基板来形成薄膜,在进行完此处理后,通过使吹扫气体流通将附着于与反应气体接触的部位的微粒除去。并且,利用用于使反应气体暂时升压并使反应气体向处理室内排出的升压用的储存部,将吹扫气体的压力提高到比反应气体在升压时的压力高的压力,之后将该吹扫气体供给到处理室。因此,在吹扫气体的较强的气流的作用下,在储存部下游侧的流路内存在的微粒与吹扫气体一起流动而被除去。因此,能够降低基板的微粒污染。
附图说明
图1是本发明的成膜装置的纵剖视图。
图2是表示成膜装置的气体供给系统的结构图。
图3是表示利用成膜装置进行的成膜方法的一例的工序图。
图4是说明利用成膜装置进行的成膜处理的结构图。
图5是说明利用成膜装置进行的成膜处理的结构图。
图6是说明利用成膜装置进行的清洁处理的结构图。
图7是表示利用成膜装置进行的吹扫处理的一例的工序图。
图8是说明利用成膜装置进行的吹扫处理的结构图。
图9是说明利用成膜装置进行的吹扫处理的结构图。
图10是表示晶圆的处理片数与微粒数之间的关系的特性图。
具体实施方式
参照图1及图2说明本发明的实施方式的成膜装置的结构。本成膜装置构成为下述这样的装置,即:在该装置中,例如向直径为300mm的晶圆W的表面交替供给作为相互反应的反应气体的氯化钛(TiCl4)气体(原料气体)和氨(NH3)气体(氮化气体),利用ALD法形成氮化钛(TiN)膜。
如图1、图2所示,成膜装置包括构成处理室的由真空容器构成的处理容器1,在该处理容器1内设有用于载置晶圆W的载置台2,且以与该载置台2相对的方式配设有顶板构件3。在处理容器1上部侧的位置设有排气管13,在排气管13的内周面形成有开口部131,且排气管13的外壁面借助排气口132经由压力调整阀141与由真空泵等构成的排气部14连接。图中附图标记11表示晶圆W的输入输出口,附图标记12表示闸阀。
在上述载置台2的内部设有用于将晶圆W加热到例如350℃~530℃的成膜温度的加热器21,且晶圆W的载置区域的外周侧区域利用罩构件22覆盖。该罩构件22形成为上端和下端均开口的大致圆筒形状,且该罩构件22的上端部朝向内侧地在整个周向上沿水平方向弯曲。
载置台2的下表面侧中央部利用贯通处理容器1的底面且沿上下方向延伸的支承构件23而隔着支承板231地与升降机构24连接。图中附图标记232表示波纹管。升降机构24用于使载置台2在与未图示的输送机构之间交接晶圆W的交接位置和在该交接位置上方侧的对晶圆W进行成膜的处理位置(图1所示的位置)之间升降。图中附图标记25表示在与上述输送机构之间进行晶圆W的交接时用于抬起晶圆W的支承销,图中附图标记26表示支承销25的升降机构,在载置台2上形成有支承销25的贯通孔201。
在排气管13的上表面侧设有支承板31,在该支承板31的下表面侧配设有用于向处理空间30供给反应气体、置换气体等的顶板构件3。在该顶板构件3的下表面侧形成有凹部32,该凹部32形成自其中央侧朝向外周侧地展开的扇形的倾斜面。在比该倾斜面更靠外侧的位置设有环状且平坦的顶端部33。
在使载置台2上升到处理位置后,顶板构件3的顶端部33的下表面与罩构件22的上表面彼此相对,此时,由顶板构件3的凹部32和载置台2的上表面所围绕的空间为对晶圆W进行成膜的处理空间30。并且,以顶板构件3的顶端部33的下表面与罩构件22的上表面之间形成间隙34的方式设定处理位置的高度位置。上述排气管13的开口部131朝向该间隙34开口。
在顶板构件3的上述凹部32的中央部形成有用于向处理空间30内供给反应气体的气体供给路径41。气体供给路径41沿上下方向贯通顶板构件3,其下端部朝向载置台2侧地向下方侧开口。并且,气体供给路径41经由连接构件42及阀机构43与气体供给系统5连接。上述连接构件42例如由不锈钢、哈斯特洛伊合金构成,在其内部形成有气体流路。在该例中,气体供给路径41分支成两条流路411、412,它们分别与上述阀机构43连接。阀机构43例如包括4个阀V1~V4,这些阀V1~V4分别与气体供给路径51~54连接。
参照图2具体说明上述气体供给系统5,阀V2与作为氯化钛(TiCl4)气体的供给路径的氯化钛供给路径52连接,阀V3与作为氨(NH3)气体的供给路径的氨供给路径连接。另外阀V1、V4分别与作为置换用气体例如氮(N2)气体的供给路径的置换气体供给路径连接。上述氯化钛供给路径52及氨供给路径53均相当于本发明的气体供给路径。
氯化钛供给路径52的一端侧与氯化钛气体供给部521连接,且在该氯化钛供给路径52的靠阀V2的上游侧,从处理容器1侧起依次设有作为储存部的储存容器61、阀V21、流量调整部MF2、阀V22。并且,氯化钛供给路径52从流量调整部MF2与阀V22之间的部位分支,借助具有阀V23的分支路径522与作为吹扫气体的氮气的供给源523连接。上述氯化钛供给路径52、分支路径522、阀V23、氮气的供给源523相当于本发明的吹扫气体供给部。此外,在氯化钛供给路径52的位于阀V21与流量调整部MF2之间的位置连接有排气路径524,该排气路径524经由阀V24与排气部14连接。上述阀用于进行气体的供给、停止供给,流量调整部用于调整气体供给量,下述的阀及流量调整部也同样。
同样地,氨供给路径53的一端侧与氨气供给部531连接,在该氨供给路径53的靠阀V3的上游侧,从处理容器1侧起依次设有作为储存部的储存容器62、阀V31、流量调整部MF3、阀V32。并且,氨供给路径53从流量调整部MF3与阀V32之间的部位分支,借助具有阀V33的分支路径532与作为吹扫气体的氮气的供给源533连接。上述氨供给路径53、分支路径532、阀V33、氮气的供给源533相当于本发明的吹扫气体供给部。此外,在氨供给路径53的位于阀V31与流量调整部MF3之间的位置连接有排气路径534,该排气路径534经由阀V34与排气部14连接。
上述储存容器61、62例如为同样的结构,在将储存容器61(62)与处理容器1之间的阀V2(V3)关闭并向储存容器61(62)供给气体时,气体会储存在该储存容器61(62)内。并且,通过持续进行该气体的供给能够使储存容器61(62)内的压力升高。在上述储存容器61、62上分别设有用于检测该容器61、62内的压力的压力表63、64。上述储存容器61、62例如为不锈钢制,例如能够采用耐压性能为0.3MPa(2250Torr)、内容积为400ml左右的容器。
置换气体供给路径51经由流量调整部MF1及阀V11与氮气供给源511连接,且从流量调整部MF1与阀V11之间的部位分支,利用具有阀V12的分支路径512与作为清洁用流体的氟化氯(ClF3)气体的供给源513连接。同样地,置换气体供给路径54经由流量调整部MF4及阀V41与氮气供给源541连接,且从流量调整部MF4与阀V41之间的部位分支,利用具有阀V42的分支路径542与氟化氯气体的供给源543连接。
具有以上所说明的结构的成膜装置如图1所示那样与控制部7连接。控制部7例如由未图示的具有CPU和存储部的计算机构成,该存储部用于存储编入有与成膜装置的作用相关的步骤(命令)组的程序,该成膜装置的作用为:在处理容器1内对晶圆W进行成膜处理时的控制、对处理容器1内进行清洁时的控制、对处理容器1内进行吹扫时的控制。该程序例如存储于硬盘、光盘、磁光盘、存储卡等存储介质,由此被安装到计算机上。
接着,以进行成膜工序、对处理容器1进行清洁的工序、吹扫工序的情况为例,参照图3~图9说明本成膜装置的作用。首先,参照图3~图5说明成膜工序。预先将处理容器1内减压成真空气氛,之后,利用未图示的输送机构将晶圆W输入处理容器1内(步骤S1)。之后,通过上述输送机构与支承销25的协同作业,将晶圆W交接到位于交接位置的例如被加热到440℃的载置台2。
接着,使载置台2上升到处理位置,并进行处理容器1内的压力调整,之后,经由氯化钛供给路径52供给氯化钛气体。在该氯化钛气体的供给过程中,如图4的(a)所示那样,关闭阀V2,打开阀V21、V22。由此,使氯化钛气体经由氯化钛供给路径52以规定的流量例如50sccm的流量向储存容器61内供给,向该容器61内填充氯化钛气体(步骤S2)。并且,打开阀V1、V11、V4、V41,通过置换气体供给路径51、54使氮气分别以例如3000sccm的流量向处理容器1内导入。使阀V23等其他的阀处于关闭状态。其中在图4~图6、图8、图9中,对处于打开状态的阀标注“O”并利用白色表示,对处于关闭状态的阀标注“C”并利用黑色表示。并且,为了便于图示,对于处于关闭状态的阀,通常将“C”省略,而仅对相关联的部分标注符号。
由于供给氯化钛气体,储存容器61内的压力逐渐升高。并且,在储存容器61内的压力上升到第1压力例如12.80kPa(96Torr)以上时,如图4的(b)所示那样,打开阀V2,向处理容器1内供给规定量的氯化钛气体(步骤S3)。上述第1压力是指比例如在开始向空的储存容器61内供给氯化钛气体时该储存容器61内的压力高的压力,例如设定为12.40kPa(93Torr)~13.07kPa(98Torr)。在该工序中,打开阀V2,除此以外,阀的开闭与向储存容器61内填充氯化钛气体的情况(图4的(a))相同。
上述氯化钛气体及氮气经由连接构件42内的气体流路411、412及顶板构件3内的气体供给路径41供给到处理空间30内,在被处理空间30的顶部的倾斜面引导的同时从顶板构件3的中央部侧朝向外周部侧扩散,最终到达晶圆W。并且,到达顶端部33与罩构件22之间的间隙34的氯化钛气体及氮气自该间隙34从处理容器1内流出,之后,经由排气管13向外部排出。
在打开上述阀V2向处理容器1内供给氯化钛气体时,储存容器61内的压力下降,因此在储存容器61内的压力例如为12.40kPa(93Torr)以下时,关闭阀V2,停止氯化钛气体的供给。另一方面,使阀V1及阀V4保持打开状态,使氮气从置换气体供给路径51、54分别以例如3000sccm的流量向处理容器1内供给(步骤S4)。氮气经由上述气体流路411、412及气体供给路径41被供给到处理空间30内,之后从处理容器1内流出,自排气管13排出。通过这样,在上述气体的流路及处理空间30内的氯化钛气体被氮气置换。
在通过该氮气的供给将气体置换之后,通过氨供给路径53向处理容器1内供给氨气。在该氨气的供给过程中,如图5的(a)所示那样,关闭阀V3,打开阀V31、V32。由此,使氨气以规定的流量例如2700sccm向储存容器62内供给,向该容器62内填充氨气(步骤S5)。并且打开阀V1、V4,通过置换气体供给路径51、54使氮气分别以例如3000sccm的流量向处理容器1内导入。使阀V33等其他的阀处于关闭状态。
在供给氨气使储存容器62内的压力上升到第2压力例如21.73kPa(163Torr)以上时,如图5的(b)所示那样,打开阀V3,向处理容器1内供给规定量的氨气(步骤S6)。上述第2压力是指比例如在开始向空的储存容器62内供给氨气时该储存容器62内的压力高的压力,例如设定为19.20kPa(144Torr)~24.93kPa(187Torr)。在该工序中,打开阀V3,除此以外,阀的开闭与向储存容器62内填充氨气的情况(图5的(a))相同。
向处理容器1内供给的氨气形成与供给氯化钛气体时相同的流动,并被供给到处理空间30内。在处理空间30内流动的氨气到达晶圆W表面时,将之前吸附在晶圆W上的氯化钛气体成分氮化而形成氮化钛。
在打开阀V3向处理容器1内供给氨气时,储存容器62内的压力下降,因此在储存容器62内的压力例如下降到19.33kPa(145Torr)以下时,关闭阀V3,停止氨气的供给。另一方面,使阀V1及阀V4保持打开状态,使氮气从置换气体供给路径51、54分别以例如3000sccm的流量向处理容器1内供给(步骤S6)。通过这样,利用被供给到处理容器1内的来自置换气体供给路径51、54的置换用氮气,将处理空间30内的氨气置换掉(步骤S7)。
通过这样,按氯化钛气体→氮气→氨气→氮气的顺序供给反应气体(氯化钛气体、氨气)和置换用气体(氮气),由此在晶圆W的表面层叠氮化钛(TiN)的分子层,而形成氮化钛膜。使该氯化钛气体的供给和氨气的供给例如反复进行数十次~数百次,以形成所希望的膜厚的氮化钛膜。列举氯化钛气体、氮气、氨气、氮气的供给时间的一例,氯化钛气体0.05秒→氮气0.2秒→氨气0.3秒→氮气0.3秒。
通过这样,在供给置换用氮气将最后的氨气排出之后,使载置台2下降到交接位置。之后,按与输入时相反的顺序将成膜后的晶圆W输出(步骤S8),之后等待接下来的晶圆W的输入。
在该例中,在向储存容器61(62)内填充氯化钛气体(氨气)时,以容器61(62)内的压力经预先设定的时间达到第1压力(第2压力)的方式设定氯化钛气体(氨气)向储存容器61(62)内供给的供给量和供给时间。例如使氯化钛气体(氨气)的供给量恒定,通过调整供给时间,使储存容器61(62)内的压力经规定时间达到第1压力(第2压力)。并且,根据上述供给时间,控制阀V2(V3)的开闭。
此外,在从储存容器61(62)向处理容器1内供给氯化钛气体(氨气)时,预先掌握直到容器61(62)内的压力达到规定的压力(12.80kPa(96Torr)、21.73kPa(163Torr))为止氯化钛气体(氨气)向处理容器1内供给的供给时间,根据该供给时间控制阀V2(V3)的开闭。
以上,只要按氯化钛气体→氮气→氨气→氮气的顺序切换气体、将气体供给到处理容器1内即可,例如氯化钛气体及氨气向储存容器61、62的填充能够并行地进行。另外,例如氯化钛气体及氨气中的一者向处理容器1的供给和氯化钛气体及氨气中的另一者向储存容器61、62的填充能够并行地进行。
在例如对500片晶圆W进行上述的成膜处理之后,进行清洁处理。在处理容器1内的反应气体所到达的区域由于氯化钛气体与氨气之间的反应而形成膜,且该膜渐渐沉积。因此,清洁处理是为了除去处理容器1内的上述沉积膜而进行的。具体而言,例如对处理容器1内以抽真空状态(压力调整阀141为全开状态)进行排气。之后,如图6的(a)所示,打开阀V1、V12,通过置换气体供给路径51供给规定时间的规定流量的氟化氯气体。此时,打开阀V4、V41,通过置换气体供给路径54供给规定流量的氮气。经过规定时间之后,关闭阀V1、V4、V12、V41。接着,如图6的(b)所示,打开阀V4、V42,通过置换气体供给路径54供给规定时间的规定流量的氟化氯气体。此时,打开阀V1、V11,通过置换气体供给路径51供给规定流量的氮气。
氟化氯气体经由气体流路411、412、气体供给路径41供给到处理空间30内,氟化氯气体以与反应气体相同路径流动。之后,氟化氯气体自间隙34从处理容器1内流出,经由排气管13向外部排出。像这样,向反应气体所到达的区域供给氟化氯气体,因此能够将沉积在处理容器1内的膜除去。
在供给规定时间的氟化氯气体、进行清洁处理之后,对处理容器1进行真空排气,并关闭阀V12、V42,打开阀V1、V11、V4、V41使氮气经由置换气体供给路径51、54向处理容器1内导入。在进行规定时间的此处理后,停止对处理容器1的排气,并关闭阀V1、V11、V4、V41,结束清洁处理。
在进行清洁处理之后,进行吹扫工序。在该工序中,向作为反应气体的气体供给路径的氯化钛供给路径52、氨供给路径53内供给作为吹扫气体的氮气。以下,参照图7~图9具体地说明。首先,除去氨供给路径(NH3管线)53内的实存气体(日文:実ガス)(步骤S11)。在该工序中,打开阀V1、V11、V4、V41、V31、V34,关闭除此以外的阀,利用排气部14进行排气。由此,氨供给路径53的靠阀V3的上游侧被排气,残存在该氨供给路径53内的气体被除去。
接着,除去氯化钛供给路径(TiCl4管线)52内的实存气体(步骤S12)。在该工序中,打开阀V1、V11、V4、V41、V21、V24,关闭除此以外的阀,利用排气部14进行排气。由此,氯化钛供给路径52的靠阀V2的上游侧被抽吸排气,残存在该氯化钛供给路径52内的气体被除去。
接着,如图8所示,向储存容器61、62内填充作为吹扫气体的氮气(步骤S13)。即:打开阀V1、V11、V4、V41、V21、V23、V31、V33,关闭除此以外的阀。由于阀V2、V3处于关闭状态,因此经由氯化钛供给路径52及氨供给路径53流过来的氮气分别储存在储存容器61、62内。通过这样,通过氯化钛供给路径52使氮气以规定的流量例如190sccm向储存容器61内供给,向该容器61内填充氮气。并且,通过氨供给路径53使氮气以规定的流量例如900sccm向储存容器62内供给,向该容器62内填充氮气。另一方面,通过置换气体供给路径51、54使氮气分别以例如3000sccm的流量导入处理容器1内。
由于分别对上述储存容器61、62进行氮气的供给,容器61、62内的压力逐渐上升。在储存容器61内的压力上升到比上述第1压力高的压力例如56.00kPa(420Torr)时,如图9的(a)所示那样,打开阀V2。由此,经由氯化钛供给路径52自储存容器61向处理容器1内供给氮气来进行吹扫(步骤S14)。在该状态下处于打开状态的阀为阀V1、V11、V4、V41、V2、V21、V23、V31、V33。
在向处理容器1内供给在储存容器61内被加压后的氮气(吹扫气体)时,由于压力差,氮气迅速在处理空间30内扩散,并经由上述间隙34扩散到处理容器1内。另外,由于氮气在经储存容器61加压之后被供给向处理容器1,因此氮气以较强的压力被供给向处理容器1。因此,在储存容器61的下游侧的氮气的流路内出现氮气的强流,伴随着该强流,存在于上述流路内的微粒被除去。
在像这样自储存容器61向处理容器1内供给吹扫气体时,储存容器61内的压力降低,因此,在储存容器61内的压力例如下降到46.66kPa(350Torr)时,关闭阀V2,停止向处理容器1供给氮气。
由此,针对氯化钛供给路径52,再次进行步骤S13的氮气的填充工序,由于向储存容器61内进行氮气的供给,储存容器61内的压力逐渐升高。通过这样,储存容器61内的压力再次上升到56.00kPa(420Torr)时,打开阀V2,将氮气供给到处理容器1内进行吹扫。像这样,针对氯化钛供给路径52,例如反复进行1000次向储存容器61内填充氮气(步骤S13)、向处理容器1内吹扫氮气(步骤S14)的步骤。此时,向处理容器1内吹扫氮气例如进行0.1秒,向储存容器61内填充氮气例如进行3秒。
同样地,对于氨供给路径53,也是在由于氮气的供给而储存容器62内的压力上升到比上述第2压力高的压力例如56.00kPa(420Torr)时,如图9的(b)所示那样,打开阀V3,借助氨供给路径53向处理容器1内供给氮气,进行吹扫(步骤S15)。在该状态下处于打开状态的开闭阀为阀V1、V11、V4、V41、V21、V23、V3、V31、V33。由此,在储存容器62的下游侧的氮气的流路内出现氮气的强流,伴随着该强流,存在于上述流路的微粒被除去。
通过这样,自储存容器62向处理容器1供给氮气,在储存容器62内的压力例如为46.66kPa(350Torr)时,关闭阀V3,停止向处理容器1内供给氮气。由此,针对氨供给路径53,再次进行步骤S13的氮气的填充工序,由于向储存容器62内进行氮气的供给,储存容器62内的压力逐渐升高。之后,在储存容器62内的压力再次为56.00kPa(420Torr)左右时,打开阀V3,将氮气供给到处理容器1内,进行氨供给路径53的吹扫。像这样,针对氨供给路径53,例如反复进行1000次向储存容器62内填充氮气(步骤S13)、向处理容器1内吹扫氮气(步骤S15)的步骤。此时,向处理容器1内吹扫氮气例如进行0.1秒,向储存容器61内填充氮气例如进行2秒。
在该例中,在分别向储存容器61、62内填充氮气时,以容器61、62内的压力分别经预先设定的时间达到56.00kPa(420Torr)左右的压力的方式分别设定氮气向储存容器61、62供给的供给量和供给时间,根据该供给时间分别控制阀V2、V3的开闭。此时,在向储存容器61、62内填充氮气的期间,氮气的供给量没有必要必须恒定。
此外,在自储存容器61、62向处理容器1内供给氮气时,预先掌握直到容器61、62内的压力达到规定的压力(46.66kPa(350Torr))为止氮气向处理容器1内供给的供给时间,根据上述供给时间分别控制阀V2、V3的开闭。
在向上述储存容器61内填充氮气时,填充至该储存容器61内的压力成为比向储存容器61内供给氯化钛气体时的第1压力高的压力即可。同样地,在向上述储存容器62内填充氮气时,填充至该储存容器62内的压力成为比向储存容器62内供给氨气时的第2压力高的压力即可。在向上述储存容器61、62内填充氮气时的容器内压力结合储存容器61、62的耐压性能等、氮气的供给量、供给时间而适当设定。
此外,优选在开始从储存容器61、62内放出氮气时容器61、62内的压力(56.00kPa(420Torr))与在停止从储存容器61、62内放出氮气时容器61、62内的压力(46.66kPa(350Torr))之间的变化量大于在供给反应气体时储存容器61、62内的压力的变化量。
然而,若该变化量过大,则再次使储存容器61、62升压时花费时间,并且,若在供给吹扫气体时储存容器61、62内的压力过低,则吹扫效果减弱。因此,例如在向处理容器1内供给吹扫气体时,优选在储存容器61、62内的压力成为在开始从储存容器61、62放出吹扫气体时的储存容器61、62内的压力(在该例中为56.00kPa(420Torr))的80%以上且90%以下时,关闭阀V2,再次使储存容器61、62内升压。
此外,将氮气填充到储存容器61内的工序和将氮气填充到储存容器62内的工序可以在彼此不同的时间开始进行,也可以在相同的时间开始进行。同样地,从储存容器61向处理容器1内供给氮气的工序和从储存容器62向处理容器1内供给氮气的工序也可以在彼此不同的时间开始进行。
在上述的例子中,并不是根据设于储存容器61、62的压力表63、64的检测值来控制阀V2、V3的开闭。因此,严格来说,还会发生这样的情况:在储存容器61、62内的压力未成为第1压力或第2压力之前打开阀V2、V3放出反应气体,在储存容器61、62内的压力未成为上述规定的压力(17.33kPa(130Torr)、25.33kPa(190Torr))以下的压力之前关闭阀V2、V3填充反应气体。
但是,只要储存容器61、62内的压力比在开始向空的储存容器61、62内供给反应气体时的该储存容器61、62内的压力高,就能够得到本发明的效果。因此,上述第1压力或第2压力为基准值,实际上本发明还包括这样的情况:在储存容器61、62内的压力未成为上述第1压力或第2压力以上时打开阀V2、V3,在储存容器61、62内的压力未成为上述规定的压力(12.80kPa(96Torr)、21.73kPa(163Torr))以下的压力之前关闭阀V2、V3。
在向处理容器1内供给吹扫气体(氮气)时也同样。只要储存容器61、62内的压力比第1压力或第2压力高,就能够得到本发明的效果,向储存容器61、62内填充氮气时的目标压力例如均为56.00kPa(420Torr)。因此实际上本发明还包括这样的情况:在容器61、62内的压力低于目标压力的阶段打开阀V2、V3,在储存容器61、62内的压力未成为上述规定的压力(46.66kPa(350Torr))以下的压力之前关闭阀V2、V3。
这样,通过氯化钛供给路径52进行了吹扫处理、通过氨供给路径53进行了吹扫处理之后,除去氯化钛供给路径(TiCl4管线)52内的氮气(步骤S16)并除去氨供给路径(NH3管线)53内的氮气(步骤S17),结束吹扫处理。除去该氯化钛供给路径52内的氮气是这样进行的:打开阀V1、V11、V4、V41、V21、V24,关闭除此以外的开闭阀,利用排气部14进行排气。通过这样,氯化钛供给路径52的靠阀V2的上游侧被排气,残存在该氯化钛供给路径52内的氮气被除去。并且,除去氨供给路径53内的氮气是这样进行的:打开阀V1、V11、V4、V41、V31、V34,关闭除此以外的开闭阀,利用排气部14进行排气。通过这样,氨供给路径53的靠阀V3的上游侧被排气,残存在该氨供给路径53内的氮气被除去。在图7所示的一系列的吹扫处理期间,分别通过置换气体供给路径51、54使氮气例如以3000sccm的流量向处理容器1内导入。
像这样进行了吹扫工序之后,例如进行处理容器1内的预涂处理(日文:プリコート),再次进行成膜工序。预涂处理是指使与晶圆成膜时相同的气体流入到处理容器1内、在处理容器1的内部表面成膜的处理。
根据上述的实施方式,分别在氯化钛供给路径52上设置储存容器61,在氨供给路径53上设置储存容器62,在要向处理容器1内供给反应气体时,暂时关闭储存容器61、62与处理容器1之间的阀V2、V3。之后,持续向储存容器61、62内供给反应气体,从而使该储存容器61、62内的压力上升。由此,储存容器61、62的内部压力高于在没有设置储存容器61、62而仅使反应气体在氯化钛供给路径52内、氨供给路径53内流通时的供给路径内的压力。并且,在储存容器61、62内的压力上升到第1压力及第2压力之后,打开上述阀V2、V3将反应气体供给到处理容器1内,此时,与储存容器61、62内的压力未上升时相比,反应气体以较大的供给压力供给向处理容器1。由于处理容器1内被真空排气,因此在供给反应气体时压力差较大,由此,在处理空间30内反应气体迅速扩散。因此,能够均匀地向晶圆W面内供给反应气体,因此能够形成面内均匀性较高的膜。另外,反应气体被以较大的供给压力供给到处理容器1内,因此在供给固定量的反应气体时供给时间缩短,能够谋求提高效率(日文:スループット)。
此外,在进行吹扫工序时,通过持续向储存容器61、62内供给吹扫气体使该储存容器61、62内的压力高于在供给反应气体时储存容器61、62内的压力之后,将吹扫气体供给到处理容器1内。因此,在储存容器61、62的下游侧,以比向处理容器1内供给反应气体时的压力大的压力供给吹扫气体。由此,在供给吹扫气体时,在该吹扫气体的强流的作用下,存在于储存容器61、62的下游侧的流路内的微粒与吹扫气体一起流动而被除去。结果,在向处理容器1内供给吹扫气体之后向处理容器1内供给反应气体时,由反应气体带入处理容器1内的微粒减少,能够抑制晶圆W的微粒污染。
另外,吹扫气体经由氯化钛供给路径52和氨供给路径53供给到分别设于氯化钛供给路径52和氨供给路径53的储存容器61、62内,因此通过吹扫气体的流通将氯化钛供给路径52及氨供给路径53内的微粒除去,从而能够进一步降低晶圆W的微粒污染。
例如在进行清洁处理之后,有时清洁处理的残留物未被排出而作为微粒残留在处理容器1、连接构件42等的清洁气体的流路中。因此,在清洁处理之后进行吹扫处理时,由于吹扫气体带来较强的冲击力,因此即使上述残留物附着于连接构件42、处理容器1的内壁等,也会使上述沉积物自上述内壁剥离并伴随着吹扫气体的强流排出到处理容器1外。
在进行了该吹扫处理之后,将反应气体供给到处理容器1内,进行成膜处理,此时被供给至处理容器1的反应气体如上述那样与吹扫气体相比供给压力较小。因此即使在连接构件42、处理容器1等的反应气体的流路内附着有清洁处理后的残留物,该残留物也是在吹扫处理中以较大的供给压力导入吹扫气体时都没能与吹扫气体一起移动而被除去的残留物。因此,在供给反应气体时,想难想象上述残留物会伴随着反应气体的流通而移动,并作为微粒附着于晶圆W。像这样,通过在清洁处理后进行吹扫处理,能够进一步降低晶圆W的微粒污染。
另外,如上述那样,与供给反应气体时相比,在供给吹扫气体时,储存容器61、62内的压力变化较大。因此,在反复供给吹扫气体时以及反复供给反应气体时,在处理容器1内也会反复出现较大的压力变化。由此,在供给吹扫气体时,附着在储存容器61、62下游侧的气体流路内的微粒由于压力变化而浮起,容易随着吹扫气体的流动而移动,从此点而言也能够减少微粒。
在上述实施方式中,例如也可以通过检测储存容器61、62内的压力,根据该检测值使阀V2、V3开闭,由此向处理容器1内供给反应气体及吹扫气体。在该情况下,以下述方式进行控制,即:例如在储存容器61、62内的压力达到预先设定的上限值时,打开储存容器61、62与处理容器1之间的阀V2、V3,向处理容器1内供给反应气体或吹扫气体。并且,由于自储存容器61、62供给反应气体或吹扫气体,而容器61、62内的压力下降,在容器61、62内的压力下降到预先设定的下限值时,关闭阀V2、V3,再次使储存容器61、62内的压力上升直到达到上限值。
此外,例如也可以以储存容器61、62内的压力成为一定值的方式控制向储存容器61、62内供给的气体的流量,根据气体向储存容器61、62内的供给时间,使阀V2、V3开闭,由此向处理容器1内供给反应气体及吹扫气体。在该情况下,若自储存容器61、62放出气体,则储存容器61、62内的压力下降,因此加大气体向储存容器61、62内的供给量,例如控制使储存容器61、62内的压力在规定时间内达到预先设定的值。
并且,在经过上述规定时间后,打开储存容器61、62与处理容器1之间的阀V2、V3,向处理容器1内供给反应气体或吹扫气体。由此储存容器61、62内的压力下降,因此例如在经过预先设定的规定时间之后,关闭阀V2、V3,再次使储存容器61、62内的压力上升。
在上述的实施方式中,吹扫气体(氮气)经由氯化钛供给路径52、氨供给路径53分别供给到储存容器61、62内,但也可以使吹扫气体不经由氯化钛供给路径52、氨供给路径53地直接供给到储存容器61、62内。在该情况下,在储存容器61、62下游侧的气体流路内微粒也会伴随着吹扫气体的流通而被除去,因此也能够抑制晶圆W的微粒污染。
另外,对于储存部61、62,可以不使用容器,而是将气体供给路径的一部分作为储存部,通过该储存部的下游侧及上游侧的阀的开闭,使储存部内的压力上升。
另外,吹扫工序也可以不在清洁处理之后进行,而是在成膜工序之后进行。在该情况下,通过进行吹扫工序,能够使附着于与反应气体接触的部位的微粒随着吹扫气体的流通而除去,因此也能够降低晶圆W的微粒污染。此外,也可以不设置置换气体供给路径51、54,而是使作为置换气体的氮气经由氯化钛供给路径52及氨供给路径53供给到处理容器1内。此外,对于清洁处理,除了可以利用氟化氯(ClF3)气体等氯·氟系气体之外,例如还可以将由三氟化氮(NF3)气体、六氟乙烷(C2F6)气体等氟系气体、氯气(Cl2)等氯系气体等卤素系的清洁气体形成的清洁用流体供给到处理容器1内来进行清洁处理。
另外,对于本发明的成膜装置,除了上述的TiN膜的成膜之外,还可以形成含有下述金属元素的膜,该金属元素为:例如作为周期表的第3周期元素的Al、Si等、作为周期表的第4周期元素的Ti、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ge等、作为周期表的第5周期元素的Zr、Mo、Ru、Rh、Pd、Ag等、作为周期表的第6周期元素的Ba、Hf、Ta、W、Re、lr、Pt等元素。作为吸附于晶圆W表面的金属原料,能够列举出将上述的金属元素的有机金属化合物、无机金属化合物等用作反应气体(原料气体)的情况。作为金属原料的具体例子,除上述的TiCl4之外,能够列举出BTBAS((双叔丁基氨基)硅烷)、DCS(二氯硅烷)、HCD(六氯乙硅烷)、TMA(三甲基铝)、3DMAS(三(二甲氨基)硅烷)等。
另外,使吸附于晶圆W表面的原料气体发生反应而得到所希望的膜的反应可以利用如下各种反应,即:例如利用O2、O3、H2O等的氧化反应;利用H2、HCOOH、CH3COOH等有机酸、CH3OH、C2H5OH等醇类等的还原反应;利用CH4、C2H6、C2H4、C2H2等的碳化反应;利用NH3、NH2NH2、N2等的氮化反应等。
此外,反应气体可以采用3种反应气体或4种反应气体。例如作为采用3种反应气体的情况的例子,具有形成钛酸锶(SrTiO3)膜的情况,例如采用作为Sr原料的Sr(THD)2(双(四甲基庚二酮酸)锶)、作为Ti原料的Ti(OiPr)2(THD)2(二异丙氧基双(四甲基庚二酸)钛)、作为它们的氧化气体的臭氧气体。在该情况下,按Sr原料气体→置换用气体→氧化气体→置换用气体→Ti原料气体→置换用气体→氧化气体→置换用气体的顺序切换气体。
【实施例】
(实验1)
利用上述的图1所示的成膜装置,向处理空间30内供给氯化钛气体和氨气,形成氮化钛膜,测量附着于晶圆W的微粒的个数。氮化钛膜在上述的成膜工序中所说明的处理条件下形成。
并且,每处理50片晶圆,便利用晶圆表面检查装置(KLA-Tencor公司制造的SurfscanSP2)测量附着于晶圆W的大小为0.16μm以上的微粒的个数。该结果如图10所示。图中横轴表示晶圆的处理片数、纵轴表示微粒的个数。
在采用不锈钢制的连接构件42且进行了清洁处理之后的成膜装置中,在进行了上述的吹扫工序之后进行上述成膜工序,此时,附着于晶圆W的微粒数利用△标记(实施例1)。另外,在采用哈斯特洛伊合金制造的连接构件42且进行了清洁处理之后的成膜装置中,在进行了上述的吹扫工序之后进行上述成膜工序,此时,附着于晶圆W的微粒数利用口标记(实施例2)。此外,代替上述的吹扫工序,自氯化钛供给路径52及氨供给路径53分别以200sccm的流量、3000sccm的流量供给吹扫气体来进行了吹扫的情况(比较例1)利用▲标记。
实施例1及实施例2的吹扫处理的处理条件及上述清洁处理的条件如实施方式中所说明的那样。
结果,发现与比较例1相比,在实施例1及实施例2中的微粒数明显少,能够确认通过进行本发明的吹扫工序能够降低晶圆W的微粒污染。另外,在实施例2中微粒数非常少,由此可知连接构件42采用哈斯特洛伊合金材质有利于降低微粒。
微粒产生的原因推测如下。微粒产生的主要原因在于,连接构件42的内壁被腐蚀性较大的清洁气体腐蚀。之后,通过进行吹扫处理,在吹扫气体的强流的作用下,在上述连接构件42的内壁的被清洁气体腐蚀的区域存在的残渣自上述内壁剥离而被除去。因此,在接下来进行成膜处理时,连接构件42的内壁为没有残渣的状态,由反应气体带入处理容器1内的微粒减少。
另一方面,对于比较例的情况推测如下,仅使吹扫气体分别以200sccm的流量、3000sccm的流量供给到氯化钛供给路径52及氨供给路径53,因此将吹扫气体供给向处理容器1时的供给压力比供给反应气体时的供给压力小。因此即使使吹扫气体在连接构件42内流通,由于该吹扫气体的气流较弱,因此在被清洁气体腐蚀的区域存在的残渣也无法自上述内壁剥离。另一方面,在吹扫气体之后使反应气体流入时,反应气体在储存容器61、62被加压之后进行供给,因此以比吹扫气体强的气流在连接构件42的内部流通。因此上述内壁的残渣会被反应气体剥落,并伴随着该反应气体流入处理容器1内,因此微粒增加。
附图标记说明
W、晶圆;1、处理容器;2、载置台;3、顶板构件;41、气体供给路径;51、5、置换气体供给路径;52、氯化钛供给路径;53、氨供给路径;61、62、储存容器;V1~V4、阀;7、控制部。

Claims (8)

1.一种成膜装置,在该成膜装置中,依次向真空气氛的处理室内的基板供给相互反应的多种反应气体,使反应生成物层叠而形成薄膜,该成膜装置的特征在于,包括:
气体供给路径,其根据反应气体的种类设置,用于将上述反应气体供给到处理室内;
储存部,其设于上述气体供给路径,用于通过储存气体提高其内部压力;
阀,其分别设于上述气体供给路径的位于上述储存部的上游侧的部位及位于上述储存部的下游侧的部位;
吹扫气体供给部,其用于向上述储存部供给吹扫气体;
控制部,其用于执行成膜步骤和吹扫步骤,
在该成膜步骤中,针对多种反应气体中的各种反应气体,以使反应气体储存于上述储存部而升压之后、从该储存部向处理室内排出的方式依次进行操作上述阀的动作,
该吹扫步骤在该成膜步骤之后进行,在该吹扫步骤中,以使上述吹扫气体储存于各储存部而使各储存部升压至比上述成膜步骤中的对应的储存部在升压时的压力高的压力、然后使上述吹扫气体从该储存部向处理室内排出的方式进行操作上述阀的动作,并反复进行多次该动作。
2.根据权利要求1所述的成膜装置,其特征在于,
上述吹扫气体供给部以能够向各气体供给路径的位于上述储存部的上游侧的部分供给吹扫气体的方式设置。
3.根据权利要求1或2所述的成膜装置,其特征在于,
该成膜装置包括用于向上述处理室内供给清洁用流体的清洁用流体供给部,
在将清洁用流体供给到处理室内之后且在向储存部内供给反应气体之前,向该储存部供给上述吹扫气体。
4.根据权利要求1或2所述的成膜装置,其特征在于,
从利用上述吹扫气体升压后的储存部向处理室内排出吹扫气体之后,为了再次利用吹扫气体使储存部内升压而将靠储存部的下游侧的阀关闭时的储存部内的压力被设定为储存部内由于吹扫气体而升压时的压力的80%以上且90%以下。
5.一种气体供给装置,该气体供给装置用于成膜装置,在该成膜装置中,依次向真空气氛的处理室内的基板供给相互反应的多种反应气体,使反应生成物层叠而形成薄膜,该气体供给装置的特征在于,包括:
气体供给路径,其根据反应气体的种类设置,用于将上述反应气体供给到处理室内;
储存部,其设于上述气体供给路径,用于通过储存气体提高其内部压力;
阀,其分别设于上述气体供给路径的位于上述储存部的上游侧的部位及位于上述储存部的下游侧的部位;
吹扫气体供给部,其用于向上述储存部供给吹扫气体;
控制部,其用于执行成膜步骤和吹扫步骤,
在该成膜步骤中,针对多种反应气体中的各种反应气体,以使反应气体储存于上述储存部而升压之后、从该储存部向处理室内排出的方式依次进行操作上述阀的动作,
该吹扫步骤在该成膜步骤之后进行,在该吹扫步骤中,以使上述吹扫气体储存于各储存部而使各储存部升压至比上述成膜步骤中的对应的储存部在升压时的压力高的压力、然后使上述吹扫气体从该储存部向处理室内排出的方式进行操作上述阀的动作,并反复进行多次该动作。
6.一种成膜方法,在该成膜方法中,通过根据反应气体的种类设置的气体供给路径依次向真空气氛的处理室内的基板供给相互反应的多种反应气体,使反应生成物层叠而形成薄膜,该成膜方法的特征在于,包括成膜工序和吹扫工序,
在该成膜工序中,针对多种反应气体中的各种反应气体,依次进行向设于上述气体供给路径的储存部内储存反应气体、在该储存部内部压力上升之后、使该反应气体从该储存部向处理室内排出的动作;
该吹扫工序在该成膜工序之后进行,在该吹扫工序中,进行向各储存部内储存吹扫气体、使各储存部内的压力上升到比上述成膜工序中的对应的储存部在升压时的压力高的压力、然后使该吹扫气体从该储存部向处理室内排出的动作,反复进行多次此动作。
7.根据权利要求6所述的成膜方法,其特征在于,
该成膜方法包括向上述处理室内供给清洁用流体来进行清洁处理的工序,
上述吹扫工序在进行上述清洁的工序之后且进行成膜工序之前进行。
8.根据权利要求6或7所述的成膜方法,其特征在于,
从利用上述吹扫气体升压后的储存部向处理室内排出吹扫气体之后,为了再次利用吹扫气体使储存部内升压而将靠储存部的下游侧的阀关闭时的储存部内的压力被设定为储存部内由于吹扫气体而升压时的压力的80%以上且90%以下。
CN201410118264.7A 2013-03-29 2014-03-27 成膜装置、气体供给装置以及成膜方法 Active CN104073780B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013074617A JP6107327B2 (ja) 2013-03-29 2013-03-29 成膜装置及びガス供給装置並びに成膜方法
JP2013-074617 2013-03-29

Publications (2)

Publication Number Publication Date
CN104073780A CN104073780A (zh) 2014-10-01
CN104073780B true CN104073780B (zh) 2018-01-09

Family

ID=51595374

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410118264.7A Active CN104073780B (zh) 2013-03-29 2014-03-27 成膜装置、气体供给装置以及成膜方法

Country Status (5)

Country Link
US (1) US9644266B2 (zh)
JP (1) JP6107327B2 (zh)
KR (1) KR101752951B1 (zh)
CN (1) CN104073780B (zh)
TW (1) TWI619836B (zh)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104465292B (zh) * 2014-11-28 2017-05-03 上海华力微电子有限公司 一种离子注入机的预处理方法
JP6316759B2 (ja) * 2015-01-21 2018-04-25 東京エレクトロン株式会社 ガス供給系清浄化方法および基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102514043B1 (ko) * 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6900640B2 (ja) * 2016-08-03 2021-07-07 東京エレクトロン株式会社 ガス供給装置及びガス供給方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6832154B2 (ja) 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7002847B2 (ja) 2017-03-15 2022-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP7033882B2 (ja) * 2017-05-01 2022-03-11 東京エレクトロン株式会社 成膜方法および成膜装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102504958B1 (ko) * 2018-04-02 2023-03-03 삼성전자주식회사 박막 증착 방법 및 박막 증착 장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7012613B2 (ja) * 2018-07-13 2022-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20210313155A1 (en) * 2018-08-28 2021-10-07 Fuji Corporation Gas supply determination method and plasma generator
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383886B (zh) * 2018-12-27 2023-03-10 中微半导体设备(上海)股份有限公司 防刻蚀气体供应管道腐蚀的系统及等离子反应器运行方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7016833B2 (ja) * 2019-05-17 2022-02-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP7447432B2 (ja) 2019-11-05 2024-03-12 東京エレクトロン株式会社 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法
JP2021075739A (ja) 2019-11-05 2021-05-20 東京エレクトロン株式会社 基板を処理する装置、処理ガスを濃縮する装置、及び基板を処理する方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11817313B2 (en) 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202228863A (zh) * 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101023199A (zh) * 2004-04-12 2007-08-22 Mks仪器公司 脉冲质量流量输送系统及方法
CN101159228A (zh) * 2006-10-02 2008-04-09 东京毅力科创株式会社 处理气体供给机构、供给方法及气体处理装置
CN102751170A (zh) * 2011-04-19 2012-10-24 北京北方微电子基地设备工艺研究中心有限责任公司 半导体处理设备

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3172537B2 (ja) * 1994-03-29 2001-06-04 カール−ツァイス−スティフツング 湾曲した基材のコーティング用pcvd法及び装置
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
AU3642599A (en) * 1998-04-14 1999-11-01 Cvd Systems, Inc. Film deposition system
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100375834B1 (ko) * 2000-04-10 2003-03-15 주식회사 무한 리모트 플라즈마를 이용한 원자층 형성장치의 기체이송장치
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6631334B2 (en) * 2000-12-26 2003-10-07 Mks Instruments, Inc. Pressure-based mass flow controller system
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
WO2003034169A1 (fr) * 2001-10-18 2003-04-24 Ckd Corporation Regulateur de debit par emission d'impulsions et procede de regulation de debit par emissions d'impulsions
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
JP4434807B2 (ja) * 2004-03-29 2010-03-17 株式会社日立国際電気 半導体装置の製造方法
WO2005093799A1 (ja) * 2004-03-29 2005-10-06 Hitachi Kokusai Electric Inc. 半導体装置の製造方法及び基板処理装置
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US8293646B2 (en) * 2004-11-08 2012-10-23 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP4931381B2 (ja) 2005-02-08 2012-05-16 東京エレクトロン株式会社 基板処理装置,基板処理装置の制御方法,プログラム
JP4566787B2 (ja) * 2005-02-28 2010-10-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20060201425A1 (en) * 2005-03-08 2006-09-14 Applied Microstructures, Inc. Precursor preparation for controlled deposition coatings
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
JP4937533B2 (ja) * 2005-06-16 2012-05-23 東京エレクトロン株式会社 半導体装置の製造方法およびコンピュータ記憶媒体
CN101336312B (zh) * 2005-12-01 2011-07-06 埃克提斯公司 间歇-连续蚀刻
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008277666A (ja) 2007-05-02 2008-11-13 Tokyo Electron Ltd バルブ開閉動作確認方法、ガス処理装置および記憶媒体
JP2009033121A (ja) * 2007-06-28 2009-02-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
JP5491022B2 (ja) * 2008-12-10 2014-05-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理装置の制御方法および基板処理装置の表示方法
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5381831B2 (ja) 2010-03-16 2014-01-08 東京エレクトロン株式会社 半導体製造システム
US9348339B2 (en) * 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
KR102111702B1 (ko) * 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
JP2012237026A (ja) * 2011-05-10 2012-12-06 Tokyo Electron Ltd 成膜装置
EP2557198A1 (en) * 2011-08-10 2013-02-13 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
FR2988403B1 (fr) 2012-03-20 2014-05-09 Riber Appareil de depot sous vide a cellules a vanne comportant un dispositif de detection de fuite et procede de detection d'une fuite dans un appareil de depot sous vide
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101023199A (zh) * 2004-04-12 2007-08-22 Mks仪器公司 脉冲质量流量输送系统及方法
CN101159228A (zh) * 2006-10-02 2008-04-09 东京毅力科创株式会社 处理气体供给机构、供给方法及气体处理装置
CN102751170A (zh) * 2011-04-19 2012-10-24 北京北方微电子基地设备工艺研究中心有限责任公司 半导体处理设备

Also Published As

Publication number Publication date
US9644266B2 (en) 2017-05-09
US20140295083A1 (en) 2014-10-02
KR101752951B1 (ko) 2017-07-03
KR20140118784A (ko) 2014-10-08
TWI619836B (zh) 2018-04-01
JP6107327B2 (ja) 2017-04-05
TW201506191A (zh) 2015-02-16
JP2014198872A (ja) 2014-10-23
CN104073780A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
CN104073780B (zh) 成膜装置、气体供给装置以及成膜方法
US20230407480A1 (en) Chemical source vessel with dip tube
CN110453196B (zh) 薄膜形成方法及衬底处理装置
KR101848562B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101788458B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US7799135B2 (en) Reactor surface passivation through chemical deactivation
US7914847B2 (en) Reactor surface passivation through chemical deactivation
US7964516B2 (en) Film formation apparatus for semiconductor process and method for using same
US20130064973A1 (en) Chamber Conditioning Method
TWI515792B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
KR100589053B1 (ko) 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
US7771535B2 (en) Semiconductor manufacturing apparatus
JP6125846B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20160208382A1 (en) Semiconductor manufacturing apparatus
KR20020091743A (ko) 원자층 적층을 이용한 박막 형성 방법
CN103334091A (zh) 真空处理装置
EP3368430A1 (en) Internally coated vessel for housing a metal halide
CN110952078B (zh) 半导体装置的制造方法、存储介质和基板处理装置
KR20130046351A (ko) 성막 장치 및 그 운용 방법
CN111868300A (zh) 半导体装置的制造方法、基板处理装置和程序
KR20060075033A (ko) 반도체소자용 제조 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant