JP4222707B2 - プラズマ処理装置及び方法、ガス供給リング及び誘電体 - Google Patents

プラズマ処理装置及び方法、ガス供給リング及び誘電体 Download PDF

Info

Publication number
JP4222707B2
JP4222707B2 JP2000085351A JP2000085351A JP4222707B2 JP 4222707 B2 JP4222707 B2 JP 4222707B2 JP 2000085351 A JP2000085351 A JP 2000085351A JP 2000085351 A JP2000085351 A JP 2000085351A JP 4222707 B2 JP4222707 B2 JP 4222707B2
Authority
JP
Japan
Prior art keywords
gas
processing chamber
gas supply
plasma
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000085351A
Other languages
English (en)
Other versions
JP2001274151A (ja
Inventor
俊明 本郷
哲 大沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000085351A priority Critical patent/JP4222707B2/ja
Priority to KR1020010014799A priority patent/KR100738767B1/ko
Priority to TW090106979A priority patent/TW526278B/zh
Priority to US09/815,305 priority patent/US20020002948A1/en
Publication of JP2001274151A publication Critical patent/JP2001274151A/ja
Priority to KR1020070010118A priority patent/KR100873549B1/ko
Priority to US11/785,356 priority patent/US7629033B2/en
Priority to US11/785,355 priority patent/US20070251453A1/en
Priority to KR1020070048124A priority patent/KR100985953B1/ko
Application granted granted Critical
Publication of JP4222707B2 publication Critical patent/JP4222707B2/ja
Priority to KR1020090040832A priority patent/KR100953037B1/ko
Priority to KR1020090120493A priority patent/KR100960410B1/ko
Priority to KR1020100059596A priority patent/KR101061608B1/ko
Priority to KR1020110003105A priority patent/KR101116056B1/ko
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマ処理装置及び方法、ガス供給リング及び誘電体に関する。
【0002】
【従来の技術】
近年、半導体製品の高密度化及び高微細化に伴い、半導体製品の製造工程において、成膜、エッチング、アッシング等の処理のためにプラズマ処理装置が使用される場合がある。例えば、典型的なマイクロ波プラズマ処理装置においては、2.45GHz程度のマイクロ波がスロット電極を通過し、半導体ウェハやLCD基板などの被処理体が配置され、真空ポンプで減圧環境下に維持された処理室内に導入される。一方、反応ガスも処理室に導入され、マイクロ波によってプラズマ化され、活性の強いラジカルとイオンとなり、これが被処理体と反応して成膜処理やエッチング処理などが行われる。
【0003】
ここで、反応ガスは、処理室の側部に設けられたガス供給ノズルを介して処理室の側部から処理室に導入されたり、スロット電極の下に配置された誘電板(に設けられた多孔)を介して処理室の上部から処理室に導入されたりすることができる。これらのガス供給ノズルや誘電体などを含むガス供給機構の真空引きは処理室の排気を行う真空ポンプが兼ねていた。
【0004】
【発明が解決しようとする課題】
しかし、従来のプラズマ装置においては不純物としての残留物(特に、水分)を完全に除去できないという問題があった。真空ポンプにより処理室の排気がなされるにつれて処理室の内壁に吸着している水分が気化して処理室内に放出されて真空ポンプによって排気される。しかし、ガス供給機構のガス吹き出し孔径が小さいことからガス供給機構からの水分の脱離は遅く、水分がガス供給機構に残留しやすい。ガス供給機構に残留する水分はガス吹き出し孔を塞ぐため反応ガスの導入を遮断して被処理体の歩留まりを悪くする。また、複数のガス吹き出し孔の幾つかが塞がれると反応ガスの均一な分布を妨げて、部分的に被処理体の処理深さが変化してしまう。また、反応ガスによってガス吹き出し孔内の水分が処理室内に押し出されると不純物となり被処理体への高品質な処理を阻害する。
【0005】
そこで、このような課題を解決する新規かつ有用なプラズマ処理装置及び方法、ガス供給リング及び誘電体を提供することを本発明の概括的目的とする。
【0006】
より特定的には、不純物を除去することにより被処理体への高品質な処理を施すことが可能なプラズマ処理装置及び方法、ガス供給リング及び誘電体を提供することを本発明の例示的目的とする。
【0007】
【課題を解決するための手段】
発明の一側面としてのプラズマ処理装置は、被処理体に所定のプラズマ処理を行う処理室と、前記処理室に配置され、該処理室内に前記被処理体を処理するためのガスを供給するガス供給リング又はシャワーヘッドと、前記処理室に接続されて当該処理室内を減圧状態に維持する第1の真空ポンプと、前記ガス供給リング又はシャワーヘッドに接続されて当該ガス供給リング又はシャワーヘッドの内部の残留物を排気する第2の真空ポンプとを有する。かかるプラズマ処理装置によれば、第2の真空ポンプ又はバイパスラインがガス供給リング又はシャワーヘッドを排気するために、第1の真空ポンプのみによりガス供給リング又はシャワーヘッドを排気するよりもガス供給リング又はシャワーヘッドの残留物を効果的に除去することができる。
【0008】
また、本発明の別の側面としてのプラズマ処理装置は、被処理体に所定のプラズマ処理を行う処理室と、前記処理室に配置され、該処理室内に前記被処理体を処理するためのガスをノズルを介して供給するガス供給リング又はシャワーヘッドと、前記処理室に接続されて当該処理室内を減圧状態に維持する真空ポンプと、前記ガス供給リング又はシャワーヘッドを前記真空ポンプに接続して前記ガス供給リング又はシャワーヘッドの内部の残留物を排気し、前記ノズルよりも大きな口径を有するバイパスラインとを有する。かかるプラズマ処理装置によれば、バイパスラインはノズルよりも口径が大きいので、真空ポンプは、ノズルを介してガス供給リング又はシャワーヘッドを排気するよりも効率的にバイパスラインを介してガス供給リング又はシャワーヘッドを排気することができる。
【0009】
本発明の別の実施例としてのガス供給リングは、被処理体に所定の処理を行う処理室に取り付け可能な取付部と、前記被処理体を処理するためのガスを外部装置から受け取る導入口と、当該導入口に接続された流路と、当該流路に接続されて前記処理室に前記ガスを供給するノズルと、前記流路に接続された排出口とを画定する本体部とを有する。
【0010】
また、本発明の更に別の実施例としての誘電体は、被処理体に所定のプラズマ処理を行う処理室に取り付け可能な取付部と、前記被処理体を処理するためのガスを外部装置から受け取る導入口と、当該導入口に接続された流路と、当該流路に接続されて前記処理室に前記ガスを供給するノズルと、前記流路に接続された排出口とを画定する本体部とを有し、前記処理室内にプラズマの生成を可能にする。これらのガス供給リングや誘電体は独立の取引対象であり、上述したプラズマ処理装置その他の減圧環境を必要とする処理室を有する処理装置に適用可能である。
【0011】
本発明の更に別の側面としてのプラズマ処理方法は、第1の真空ポンプを処理室に連通させて当該処理室を排気する工程と、前記処理室に収納された被処理体のプラズマ処理を行う際に、ガスを前記処理室内にガス供給リング又はシャワーヘッドを介して供給する工程と、前記ガス供給リング又はシャワーヘッドを排気する第2の真空ポンプと前記ガス供給リング又はシャワーヘッドとの連通を遮断する工程と、前記ガスをプラズマ化して前記プラズマ処理を行う工程と、前記プラズマ処理の終了後に前記ガス供給リング又はシャワーヘッドと前記処理室との前記連通を遮断して前記ガスの供給を停止する工程と、前記ガスの供給を停止させた後に、前記第2の真空ポンプを前記ガス供給リング又はシャワーヘッドに連通させて前記ガス供給リング又はシャワーヘッドを排気する工程とを有する。かかるプラズマ処理方法によれば、第2の真空ポンプがガス供給リング又はシャワーヘッドを排気するために、第1の真空ポンプのみによりガス供給リング又はシャワーヘッドを排気するよりもガス供給リング又はシャワーヘッドの残留物を効果的に除去することができる。
【0012】
また、本発明の更に別の側面としてのプラズマ処理方法は、真空ポンプを処理室に連通させて当該処理室を排気する工程と、前記処理室に収納された被処理体のプラズマ処理を行う際に、ガスを前記処理室内にガス供給リング又はシャワーヘッドが有するノズルを介して供給する工程と、前記ガス供給リング又はシャワーヘッドを前記真空ポンプに接続可能であって前記ノズルよりも大きな口径を有するバイパスラインを閉口して前記ガス供給リング又はシャワーヘッドと前記真空ポンプとの連通を遮断する工程と、前記ガスをプラズマ化して前記プラズマ処理を行う工程と、前記プラズマ処理の終了後に前記ガス供給リング又はシャワーヘッドと前記処理室との前記連通を遮断して前記ガスの供給を停止する工程と、前記ガスの供給を停止させた後に、前記バイパスラインを開口して前記ガス供給リング又はシャワーヘッドを前記真空ポンプに接続して前記ガス供給リング又はシャワーヘッドを前記真空ポンプにより排気する工程とを有する。かかるプラズマ処理方法によれば、バイパスラインはノズルよりも口径が大きいので、真空ポンプは、ノズルを介してガス供給リング又はシャワーヘッドを排気するよりも効率的にバイパスラインを介してガス供給リング又はシャワーヘッドを排気することができる。
【0013】
本発明の他の目的及び更なる特徴は以下添付図面を参照して説明される好ましい実施例によって明らかにされるであろう。
【0014】
【発明の実施の形態】
以下、添付図面を参照して、本発明の例示的なマイクロ波プラズマ処理装置100について説明する。なお、各図において同一の参照符号は同一部材を表している。ここで、図1は、マイクロ波プラズマ処理装置100の概略ブロック図である。本実施例のマイクロ波プラズマ処理装置100は、クラスターツール300に連通されたゲートバルブ101と、半導体ウェハ基板やLCD基板などの被処理体Wを載置しているサセプタ104を収納可能な処理室102と、処理室102に接続されている高真空ポンプ106と、マイクロ波源110と、アンテナ部材120と、ガス供給系130及び160とを有している。なお、プラズマ処理装置100の制御系については図示が省略されている。
【0015】
処理室102は側壁や底部がアルミニウムなどの導体により構成される。本実施例では処理室102は例示的に円筒形状を有するが、その形状は断面的に矩形上に限定されずに凸状などに成形されることができる。処理室102内には、サセプタ104とその上に被処理体Wが支持されている。なお、図1においては、被処理体Wを固定する静電チャックやクランプ機構などは便宜上省略されている。
【0016】
サセプタ104は、処理室102内で被処理体Wの温度制御を行う。サセプタ104の温度は、所定の温度範囲に温度調節装置190によって調節される。
【0017】
温度制御装置190は、図2に示すように、制御装置191と、冷却ジャケット192と、封止部材194と、温度センサ196とヒータ装置198とを有し、水道などの水源199から冷却水を供給される。ここで、図2は図1に示す温度調節装置190のより詳細な構造を示すブロック図である。制御装置191は、サセプタ104及び被処理体Wの温度が所定の温度範囲になるように制御する。制御の容易性から、水源199から供給される冷却水の温度は恒温であることが好ましい。
【0018】
例えば、被処理体Wの処理として、シリコン基板上にシリコン窒化膜(Si34)を直接形成する場合(単層窒化膜の場合)、制御装置191は、サセプタ104及び被処理体Wの温度が約450℃乃至約500℃になるようにヒータ装置198を制御する。被処理体Wは約450℃以上に維持されないとダングリングボンドが生じてしまう。ダングリングボンドを生じると後述するように閾値電圧が変化するので好ましくない。
【0019】
次に、被処理体Wの処理として、シリコン基板上にシリコン酸化膜(SiO2)を形成してからシリコン窒化膜を形成する積層構造を作る場合について考えてみる。この場合、シリコン酸化膜上に窒素を導入してプラズマ処理をしてシリコン酸化膜の上部をシリコン窒化膜に変化させる。かかる処理においては、制御装置191は、サセプタ104及び被処理体Wの温度を約250℃乃至約350℃になるようにヒータ装置198を制御する。
【0020】
まず、制御装置191が約350℃以下に温度を設定する理由は以下の通りである。即ち、図3に示すように、ヒータ装置198の温度を約350℃以上に設定すると窒素分布がシリコン酸化膜の表面(上部)だけでなくシリコン酸化膜の内部にも多量導入される。ここで、図3は、高温(例えば、500℃程度)で被処理体Wに積層構造を形成する場合の深さ方向の窒素分布を示すグラフであり、窒素がシリコン酸化膜の表面から20Åまで到達していることが理解されるであろう。
【0021】
この場合、窒素がシリコン基板とシリコン酸化膜との境界に到達してシリコン、酸素及び窒素の化合物を形成し、これが半導体の性能が低下させる(例えば、増幅率が低下させるなど)ので好ましくない。窒素がシリコン基板とシリコン酸化膜との境界に到達する割合は素子の大きさにも依存する。従来のように、ゲート長が0.18μm乃至0.3μm程度であればその影響も無視できるであろうが、近年の素子の小型化に伴うゲート長の短縮化(例えば、0.13μm、0.10μmなど)に伴い、その影響は今後ますます無視できなくなるであろうと本発明者らは予想している。
【0022】
一方、図4に示すように、ヒータ装置198の温度を約350℃以下に設定すると窒素分布がシリコン酸化膜の表面(上部)だけでなくシリコン酸化膜の内部への浸透量は許容範囲内(10Å以下)になる。ここで、図4は、適温(例えば、350℃程度)で被処理体Wに積層構造を形成する場合の深さ方向の窒素分布を示すグラフである。この結果、設定温度を約350℃以下にすれば上述の問題は回避できることが理解されるであろう。
【0023】
次に、制御装置191が約250℃以上に温度を設定する理由は以下の通りである。被処理体W(半導体)の動作特性を表すものとして、ゲート電圧Vと容量Cとの関係を示すCV特性がしばしば使用される。かかるCV特性においてはヒステリシスがゲート電圧Vの印加時と解除時に発生する。ヒステリシスが高いとゲート電圧の閾値電圧(半導体がオンする電圧とオフする電圧)が変わることになり信頼性が低下するため、ヒステリシスを所定電圧以内(例えば、0.02V以内)に抑えることが好ましい。これは積層構造にも当てはまるが、ヒステリシスはシリコン窒化膜の欠陥(ダングリングボンド)に起因して大きくなり、0.02V以内に抑えるためには図5の点線で示すようなシリコン窒化膜の欠陥密度を維持しなければならず、その欠陥密度は約250℃以上に対応することを本発明者らは発見した。ここで、図5は、シリコン窒化膜中の欠陥濃度の温度分布であり、点線は許容される欠陥密度を示している。
【0024】
制御装置191は、その他のCVDプロセスであれば約450℃に、エッチングプロセスであれば少なくとも80℃以下に温度を制御する。いずれの場合にしろ、被処理体Wには不純物としての水分が付着しないような温度に設定される。
【0025】
冷却ジャケット192はプラズマ処理時の被処理体Wを冷却するための冷却水を流す。冷却ジャケット192は、例えば、ステンレスなど熱伝導率がよく、流路193を加工しやすい材料が選択される。流路193は、例えば、矩形状の冷却ジャケット192を縦横に貫通し、ねじなどの封止部材194を貫通孔にねじ込むことによって形成することができる。もちろん、図2に拘らず、冷却ジャケット192と流路193それぞれは任意の形状を有することができる。冷却水の代わりに他の種類の冷媒(アルコール、ガルデン、フロン等)を使用することができるのはもちろんである。温度センサ196は、PTCサーミスタ、赤外線センサ、熱電対など周知のセンサを使用することができる。温度センサ196は流路193に接続してもよいし、接続していなくてもよい。
【0026】
ヒータ装置198は、例えば、冷却ジャケット192の流路193に接続された水道管の周りに巻かれたヒータ線などとしてから構成される。ヒータ線に流れる電流の大きさを制御することによって冷却ジャケット192の流路193を流れる水温を調節することができる。冷却ジャケット192は熱伝導率が高いので流路193を流れる水の水温と略同じ温度に制御されることができる。
【0027】
サセプタ104は処理室102内で昇降可能に構成されている。サセプタ104の昇降系は、昇降部材、ベローズ、昇降装置などから構成され、当業界で周知のいかなる構造も適用することができる。サセプタ104は、昇降装置により、例えば、ホームポジションとプロセスポジションの間を昇降する。サセプタ104はプラズマ処理装置100のオフ時や待機時にホームポジションに配置され、また、ホームポジションにおいて、サセプタ104はクラスターツール300からゲートバルブ101を介して被処理体Wの受け渡しを行うが、選択的に、サセプタ104にはゲートバルブ170と連絡するために、受け渡しポジションが設定されてもよい。サセプタ104の昇降距離は図示しない昇降装置の制御装置又はプラズマ処理装置100の制御装置によって制御することができ、図示しないビューポートから目視することができる。
【0028】
サセプタ104は、一般に、図示しないリフタピン昇降系に接続される。リフタピン昇降系は、昇降部材、ベローズ、昇降装置などから構成され、当業界で周知のいかなる構造も適用することができる。昇降部材は、例えばアルミニウムから構成され、例えば正三角形の頂点に配置された垂直に延びる3本のリフタピンに接続されている。リフタピンは、サセプタ104内部を貫通して被処理体Wを支持してサセプタ104上で昇降させることができる。被処理体Wの昇降は、被処理体Wをクラスターツール300から処理室102に導入する際に、及び、プロセス後の被処理体Wをクラスターツール300に導出する際に行われる。昇降装置は、サセプタ104が所定位置(例えば、ホームポジション)にあるときにのみリフタピンの昇降を許容するよう構成されてもよい。また、リフタピンの昇降距離は図示しない昇降装置の制御装置又はプラズマ処理装置100の制御装置によって制御することができるし、図示しないビューポートからも目視することができる。
【0029】
サセプタ104は、必要があれば、バッフル板(又は整流板)を有してもよい。バッフル板はサセプタ104と共に昇降してもよいし、プロセスポジションに移動したサセプタ104と係合するように構成されてもよい。バッフル板は被処理体Wが存在する処理空間とその下の排気空間を分離して、主として、処理空間の電位を確保(即ち、マイクロ波を処理空間に確保)すると共に真空度(例えば、50mTorr)を維持する機能を有する。バッフル板は、例えば、純アルミニウム製で中空のディスク形状を有する。バッフル板は、例えば、厚さ2mmを有し、径2mm程度の孔をランダムに多数(例えば、開口率50%以上)有する。なお、選択的に、バッフル板はメッシュ構造を有していてもよい。必要があれば、バッフル板は排気空間から処理空間への逆流を防止したり、処理空間と排気空間の差圧をとったりする機能を有していてもよい。
【0030】
サセプタ104には、バイアス用高周波電源282とマッチングボックス(整合回路)284が接続されて、アンテナ部材120と共にイオンプレーティングを構成している。バイアス用高周波電源282は被処理体Wに負の直流バイアス(例えば、13.56MHzの高周波)を印加している。マッチングボックス284は、処理室102内の電極浮遊容量、ストレーインダクタンスなどの影響を防止する。マッチングボックス284は、例えば、負荷に対して並列及び直列に配置されたバリコンを利用してマッチングをとることができる。この結果、被処理体Wに向かってイオンがそのバイアス電圧によって加速されてイオンによる処理が促進される。イオンエネルギーはバイアス電圧によって定まり、バイアス電圧は高周波電力によって制御することができる。電源283が印加する周波数はスロット電極200のスリット210に応じて調節することができる。
【0031】
処理室102の内部は高真空ポンプ106により所定の減圧又は真空密閉空間に維持されることができる。高真空ポンプ106は処理室102を均一に排気して、プラズマ密度を均一に保ち、部分的にプラズマ密度が集中して部分的に被処理体Wの処理深さが変化することを防止する。高真空ポンプ106は、図1においては、一つのみ処理室102の端部に設けられているが、その位置や数は例示的である。高真空ポンプ106は、例えば、ターボ分子ポンプ(TMP)により構成され、図示しない圧力調整バルブを介して処理室102に接続されている。圧力調整バルブはコンダクタンスバルブ、ゲートバルブ又は高真空バルブなどの名称で当業界では周知である。圧力調整バルブは不使用時に閉口され、使用時に処理室102の圧力を高真空ポンプ106によって真空引きされた所定の圧力(例えば、0.1乃至数10mTorr)に保つように開口される。
【0032】
なお、図1に示すように、本実施例によれば、高真空ポンプ106は処理室102に直接接続されている。ここで、「直接接続」とは、配管を介さないで、という意味であり、圧力調整バルブが介在することは問わない。
【0033】
処理室102の側壁には、(反応)ガス供給系130に接続された石英パイプ製ガス供給リング140と、(放電)ガス供給系160に接続された石英パイプ製ガス供給リング170とが取り付けられている。ガス供給系130及び160は、ガス源131及び161と、バルブ132及び162と、マスフローコントローラ134及び164と、これらを接続するガス供給路136及び166とを有している。ガス供給路136及び166はガス供給リング140及び170に接続されている。
【0034】
例えば、窒化シリコン膜を堆積する場合には、ガス源131はNH3やSiH4ガスなどの反応ガス(又は材料ガス)を供給し、ガス源161はネオン、キセノン、アルゴン、ヘリウム、ラドン、クリプトンのいずれかにN2とH2を加えたもの等の放電ガスを供給する。但し、ガスはこれらに限定されず、Cl2、HCl、HF、BF3、SiF3、GeH3、AsH3、PH3、C22、C38、SF6、Cl2、CCl22、CF4、H2S、CCl4、BCl3、PCl3、SiCl4COなど広く適用することができる。
【0035】
ガス供給系160は、ガス源131をガス源131及び161のそれぞれのガスを混合したガスを供給する一のガス源に置換することにより省略することができる。バルブ132及び162は、被処理体Wのプラズマ処理時に開口され、プラズマ処理以外の期間に閉口されるように制御される。
【0036】
マスフローコントローラ134及び164はガスの流量を制御し、例えば、ブリッジ回路、増幅回路、コンパレータ制御回路、流量調節バルブ等を有し、ガスの流れに伴う上流から下流への熱移動を検出することによって流量測定して流量調節バルブを制御する。但し、マスフローコントローラ134及び164には、これ以外に当業界で周知のいかなる構造をも適用することができる。
【0037】
ガス供給路136及び166は、例えば、シームレスパイプを使用したり、接続部に食い込み継ぎ手やメタルガスケット継ぎ手を使用したりして供給ガスへの配管からの不純物の混入が防止している。また、配管内部の汚れや腐食に起因するダストパーティクルを防止するために配管は耐食性材料から構成されるか、配管内部がPTFE(テフロン)、PFA、ポリイミド、PBIその他の絶縁材料により絶縁加工されたり、電解研磨処理がなされたり、更には、ダストパーティクル捕捉フィルタを備えたりしている。
【0038】
図6に示すように、ガス供給リング140は石英からなるリング形状の筐体又は本体部を有し、ガス供給路136に接続された導入口141と、導入口141に接続された流路142と、流路142に接続された複数のガス供給ノズル143と、流路142及びガス排出路138に接続された排出口144と、処理室102への取付部145とを有する。ここで、図6はガス供給リング140の平面図である。
【0039】
均一に配置された複数のガス供給ノズル143は処理室102内にガスの均一な流れを作るのに寄与している。もちろん、本発明のガス導入手段はこれに限定されず、中心から周辺へガスを流すラジアルフロー方式や被処理体Wの対向面に多数の小孔を設けてガスを導入する後述のシャワーヘッド方式も適用することができる。
【0040】
後述するように、本実施例のガス供給リング140(の流路142及びガス供給ノズル143)はガス排出路138に接続された排出口144から排気可能である。ガス供給ノズル143は0.1mm程度の口径しか有しないためにガス供給ノズル143を介してガス供給リング140を高真空ポンプ106により排気してもその内部に残留し得る水分を効果的に除去できない。このため、本実施例のガス供給リング140はノズル143よりも口径の大きな排出口144を介して流路142及びガス供給ノズル143内の水分などの残留物を効果的に除去することを可能にしている。
【0041】
なお、ガス供給ノズル173も、ガス供給ノズル143と同様に、ガス供給リング170に設けられており、ガス供給リング170はガス供給リング140と同様の構成を有している。従って、ガス供給リング170は、図示しない導入口171と、流路172と、複数のガス供給ノズル173と、排出口174と、取付部175とを有する。ガス供給リング140と同様に、本実施例のガス供給リング170(の流路172及びガス供給ノズル173)はガス排出路168に接続された排出口174から排気可能である。ガス供給ノズル173も0.1mm程度の口径しか有しないためにガス供給ノズル173を介してガス供給リング170を高真空ポンプ106により排気してもその内部に残留し得る水分を効果的に除去できない。このため、本実施例のガス供給リング170はノズル173よりも口径の大きな排出口174を介して流路172及びガス供給ノズル173内の水分などの残留物を効果的に除去することを可能にしている。
【0042】
ガス供給リング140の排出口144に接続されているガス排出路138の多端には真空ポンプ152が圧力調整バルブ151を介して接続されている。また、ガス供給リング170の排出口174に接続されているガス排出路168の多端には真空ポンプ154が圧力調整バルブ153を介して接続されている。真空ポンプ152及び154には、例えば、ターボ分子ポンプ、スパッターイオンポンプ、ゲッターポンプ、ソープションポンプ、クライオポンプなどを使用することができる。
【0043】
圧力調整バルブ151と153は、バルブ132及び162の開口時に閉口され、バルブ132及び162の閉口時に開口されるように開閉時期が制御される。この結果、バルブ132及び162が開口されるプラズマ処理時には真空ポンプ152及び154は閉口されて、ガスがプラズマ処理に使用されることを確保する。一方、プラズマ処理の終了後、被処理体Wを処理室102に導入排出期間、サセプタ104の昇降期間など、バルブ132及び162が閉口されるプラズマ処理以外の期間においては真空ポンプ152及び154は開口される。これにより、真空ポンプ152及び154は、ガス供給リング140及び170をそれぞれ残留ガスの影響を受けない真空度まで排気する。この結果、真空ポンプ152及び154は、その後のプラズマ処理においてガス供給ノズル143及び173が詰まることによるガスの不均一な導入や水分などの不純物が被処理体Wに混入することを防止することができ、高品質なプラズマ処理が被処理体Wに施されることを可能にする。
【0044】
代替的に、図7に示すように、ガス供給リング140の排出口144を高真空ポンプ106に接続するバイパスライン182や、ガス供給リング170の排出口174を高真空ポンプ106に接続するバイパスライン184を設けてもよい。ここで、図7は、ガス供給リング140及び170にガス排出路138及び168を接続する代わりにバイパスライン182及び184を接続した構造を示す概略断面図である。バイパスライン182及び184は周知の真空保持用のフランジやガスケット及びバルブ181を介してガス供給リング140に接続可能である。また、これらのバイパスライン182及び184は接続されてもよい。
【0045】
バイパスライン182及び184は、本実施例では、ガス供給ノズル143及び173よりも大きい口径25mm乃至40mmを有するため、高真空ポンプ106は、ガス供給ノズル143及び173を利用するよりも効率的に、バイパスライン182及び184を利用してガス供給リング140及び170を排気することができる。なお、本実施例では、バイパスライン182及び184は高真空ポンプ106に接続されているが、本発明は、図示しない処理室102の粗引き用ポンプやその他の排気用ポンプに接続されることを妨げるものではない。
【0046】
マイクロ波源110は、例えば、マグネトロンからなり、通常2.45GHzのマイクロ波(例えば、5kW)を発生することができる。マイクロ波は、その後、モード変換器112により伝送形態がTM、TE又はTEMモードなどに変換される。なお、図1では、発生したマイクロ波がマグネトロンへ戻る反射波を吸収するアイソレータや、負荷側とのマッチングをとるためのEHチューナ又はスタブチューナは省略されている。
【0047】
アンテナ部材120は、温調板122と、収納部材123と、誘電板230とを有している。温調板122は、温度制御装置121に接続され、収納部材123は、遅波材124と遅波材124に接触するスロット電極200とを収納している。また、スロット電極200の下部には誘電板230が配置されている。収納部材123には熱伝導率が高い材料(例えば、ステンレス)が使用されており、その温度は温調板122の温度とほぼ同じ温度に設定される。
【0048】
遅波材124には、マイクロ波の波長を短くするために所定の誘電率を有すると共に熱伝導率が高い所定の材料が選ばれる。処理室102に導入されるプラズマ密度を均一にするには、スロット電極200に多くのスリット210を形成する必要があり、遅波材124は、スロット電極200に多くのスリット210を形成することを可能にする機能を有する。遅波材124としては、例えば、アルミナ系セラミック、SiN、AlNを使用することができる。例えば、AlNは比誘電率εtが約9であり、波長短縮率n=1/(εt1/2=0.33である。これにより、遅波材124を通過したマイクロ波の速度は0.33倍となり波長も0.33倍となり、スロット電極200のスリット210間隔を短くすることができ、より多くのスリットが形成されることを可能にしている。
【0049】
スロット電極200は、遅波材124にねじ止めされており、例えば、直径50cm、厚さ1mm以下の円筒状銅板から構成される。スロット電極200は、当業界ではラジアルラインスロットアンテナ(RLSA)(又は超高能率平面アンテナ)と呼ばれる場合もある。但し、本発明はその他の形式のアンテナ(一層構造導波管平面アンテナ、誘電体基板平行平板スロットアレーなど)の適用を排除するものではない。スロット電極200には、図8に示すスロット電極200a、図9に示すスロット電極200b、図10に示すスロット電極200c、図11に示すスロット電極200dを適用することができる。ここで、図8乃至図11は、図1に適用可能なスロット電極の例示的な構造を示す平面図である。また、特に断らない限り、以下の説明ではアルファベットのない参照番号はアルファベットを付した参照番号を総括するものとする。
【0050】
スロット電極200は、円盤を均等な面積を持つ複数の(仮想)領域に分割して各領域に略T字状にわずかに離間されたスリット212a及び214a、212b及び214bの組を配置している。図8に示すスリット電極200aにおいては各領域は6角形を有し、図9乃至図11に示すスリット電極200b乃至200dにおいては各領域は4角形を有する。スリット電極200b及び200cは共にT字形のスリット210を有するが、スリット210の寸法と配置において相違する。また、スリット電極200dはスリット210がV字形を有する。
【0051】
スロット電極200は円盤状のスリット密度がほぼ一定になるようにスリット210を配置し、直下の誘電板230が遊離して反応ガスに不純物として混入することを防止する。従来技術は、同心円状又はスパイラル(渦巻状)などにスリットを配置したスロット電極200を提案しているがスリット密度については考慮していなかった。このため、スロット電極直下の誘電板ではスリット部分に対応する部分がその他の部分に比較して高いイオンエネルギーを受けて元素脱離(遊離)が発生し、後述する問題を生じる。これに対して、本実施例のスロット電極は誘電板230に略均一なイオンエネルギーの分布をもたらすため誘電板230の遊離を防止して高品質なプラズマ処理を達成することができる。
【0052】
各スリット212、214の長さL1はマイクロ波の管内波長λの略1/2から自由空間波長の略2.5倍の範囲内に設定されると共に幅は1mm程度に設定され、スリット外輪と内輪との間隔L2は僅かな調整はあるが管内波長λと略同一の長さに設定されている。スリットの長さL1は、次の式で示される範囲内に設定される。このように各スリット212、214を形成することにより、処理室102に均一なマイクロ波の分布を形成することが可能になる。
【0053】
【数1】
Figure 0004222707
各スリット212a及び214a、212b及び214bは中心から見て45度傾斜しているが中心から離れるにつれて大きくその形状は大きく設定されている。例えば、中心からの距離が2倍になるとスリット212a及び214a、212b及び214bの大きさは1.2倍乃至2倍に設定される。
【0054】
なお、円盤上のスリット密度を略一定にできる限り、スリット210の形状や配置は問わない。また、分割された各領域の形状も問わない。従って、各領域は同一形状を有してもよいし、異なる形状を有してもよい。また、同一形状を有する場合でもその形状は6角形及び4角形に限定されず、3角形など任意の形状を採用することができる。但し、本発明は、スリット210がスリット密度の一定ではない同心円又は渦巻状に多数配列されることを妨げるものではない。
【0055】
選択的に、スロット電極200のアンテナ効率を上げるために、スロット電極200の周縁部にはこれに沿って幅数mm程度のマイクロ波電力反射防止用放射素子が形成されてもよい。なお、本実施例のスロット電極200のスリットの模様は単なる例示であり、任意のスリット形状を有する電極をスロット電極として利用することができることはいうまでもない。
【0056】
温度制御装置121は、マイクロ熱による収納部材123及びこの近傍の構成要素の温度変化が所定の範囲になるように制御する機能を有する。温度制御装置121は、図示しない温度センサとヒーター装置とを温調板122に接続し、温調板122に冷却水や冷媒(アルコール、ガルデン、フロン等)を導入することにより温調板122の温度を所定の温度に制御する。温調板122は、例えば、ステンレスなど熱伝導率がよく、冷却水などが流れる流路を内部に加工しやすい材料が選択される。温調板122は収納部材123に接触しており、収納部材123と遅波材124は熱伝導率が高い。この結果、温調板122の温度を制御することによって遅波材124とスロット電極200の温度を制御することができる。遅波材124とスロット電極200は、温調板122などがなければ、マイクロ波源110の電力(例えば、5kW)を長時間加えることにより、遅波材124とスロット電極200での電力ロスから電極自体の温度が上昇する。この結果、遅波材124とスロット電極200が熱膨張して変形する。
【0057】
例えば、スロット電極200は、熱膨張により最適なスリット長さが変化して処理室102内の全体のプラズマ密度が低下したり部分的にプラズマ密度が集中したりする。全体のプラズマ密度が低下すれば被処理体Wの処理速度が変化する。その結果、プラズマ処理が時間的に管理して、所定時間(例えば、2分)経過すれば処理を停止して被処理体Wを処理室102から取り出すというように設定した場合、全体のプラズマ密度が低下すれば所望の処理深さ(エッチング深さや成膜厚さ)が被処理体Wに形成されていない場合がある。また、部分的にプラズマ密度が集中すれば、部分的に被処理体Wの処理深さが変化してしまう。このようにスロット電極200が温度変化により変形すればプラズマ処理の品質が低下する。
【0058】
更に、温調板122がなければ、遅波材124とスロット電極200の材質が異なり、また、両者はねじ止めされているから、スロット電極200が反ることになる。この場合も同様にプラズマ処理の品質が低下することが理解されるであろう。
【0059】
誘電板230はスロット電極200と処理室102との間に配置されている。スロット電極200と誘電板230は、例えば、ロウにより強固にかつ機密に面接合される。代替的に、焼成されたセラミック又は窒化アルミニウム(AlN)からなる誘電板230の裏面に、スクリーン印刷などの手段により銅薄膜を、スリットを含むスロット電極200の形状にパターン形成して、これを焼き付けるように銅箔のスロット電極200を形成してもよい。
【0060】
なお、温調板122の機能を誘電板230に持たせてもよい。即ち、誘電板230の側部周辺に流路を有する温調板を誘電板230に一体的に取り付けることによって誘電板230の温度を制御し、これによって遅波材124とスロット電極200とを制御することができる。誘電板230は例えばオーリングにより処理室102に固定されている。従って、代替的に、オーリングの温度を制御することにより誘電板230、そしてこの結果、遅波材124とスロット電極200の温度を制御するように構成してもよい。
【0061】
誘電板230は、減圧又は真空環境にある処理室102の圧力がスロット電極200に印加されてスロット電極200が変形したり、スロット電極200が処理室102に剥き出しになってスパッタされたり銅汚染を発生したりすることを防止している。また、絶縁体である誘電板230はマイクロ波が処理室102に透過することを可能にしている。必要があれば、誘電板230を熱伝導率の低い材質で構成することによって、スロット電極200が処理室102の温度により影響を受けるのを防止してもよい。
【0062】
本実施例の誘電板230の厚みは誘電板230内のマイクロ波の波長の0.5倍よりも大きく0.75倍よりも小さく、好ましくは、約0.6倍から約0.7倍の範囲に設定されている。2.45GHzのマイクロ波は真空中で約122.5mmの波長を有する。誘電板230がAlNから構成されれば、上述したように、比誘電率εtが約9であるから波長短縮率n=1/(εt1/2=0.33となり、誘電板230内のマイクロ波の波長は約40.8mmとなる。従って、誘電板230がAlNから構成されれば、誘電板230の厚さは約20.4mmよりも大きく約30.6mmよりも小さく、好ましくは、約24.5mm乃至約28.6mmの範囲に設定される。より一般的には、誘電板230の厚さHは、誘電板230内のマイクロ波の波長λを用いて、0.5λ<H<0.75λを満足し、より好ましくは、0.6λ≦H≦0.7λを満足する。ここで、誘電板230内のマイクロ波の波長λは、真空中のマイクロ波の波長λ0と波長短縮率n=1/(εt1/2とを用いて、λ=λ0nを満足する。
【0063】
誘電板230の厚みが誘電板230内のマイクロ波の波長の0.5倍の場合は定在波であるマイクロ波の誘電板230の表面への前進波と裏面から反射された後退波が合成され、反射が最大になり図12に示すようにマイクロ波の処理室102への透過が最低になる。従って、この場合にはプラズマの生成が不十分になり、所望の処理速度が得られなくなる。ここで、図12は、誘電板230の厚みとマイクロ波の透過電力との関係を示すグラフである。
【0064】
一方、誘電板230の厚みが誘電板230内のマイクロ波波長の0.75倍の場合は反射は最小となって透過電力が最大になるものの図12に示すようにプラズマ中のイオンエネルギーも最大となる。本発明者らは、プラズマ中のイオンエネルギーに依存して誘電板230からは元素脱離(遊離)が図13に示すように発生することを発見した。ここで、図13は、誘電板230の厚みと誘電板230からの元素脱離量(スパッタ率)との関係を示すグラフである。誘電板230からの元素脱離は反応ガスへの不純物となり、高品質なプラズマ処理を阻害する。
【0065】
そこで、本発明では、マイクロ波の処理室102への透過を所望の処理速度を得る程度に確保しつつ高品質なプラズマ処理を達成するために誘電板230からの遊離を防止するために、図14に示すように、誘電板230の厚みHを0.3λ乃至0.4λ、0.6λ乃至0.7λ、…0.3Nλ乃至0.3Nλ+0.1に設定している(但し、Nは整数)。換言すれば、誘電板230の厚みHは、一般式として、0.3λN≦H≦0.3λNを満足する。なお、本実施例では、誘電板230の材料(例えば、アルミナ)に依存する機械的強度を考慮して0.3λ乃至0.4λの範囲を採用しなかったが機械的強度が維持できる限り(例えば、誘電板230が石英(比誘電率3.8)によって形成される場合など)かかる範囲を除外するものではない。また、上述の一般式はマイクロ波に限定されず、広くプラズマ生成に使用されるその他の波にも適用することができる。
【0066】
ガス供給系130及び160は、上述したように、処理室102の側壁にノズル143及び173を設けて側部から反応ガスと放電ガスを供給する構造であるため、ガスが被処理体Wの上面を横切ったり、あるいは、ノズル143及び173をサセプタ104の中心に関する点対称の位置に設けたとしても、被処理体Wの上面でガスの密度が均一でなく均一なプラズマ密度を確保できなかったりするおそれがある。これを解決するためにサセプタ104の上方に電界を乱さないようなガラス管製のシャワーヘッド構造を設置することが考えられる。以下、かかる実施例について図15乃至図19を参照して説明する。ここで、図15は、図1に示す給排気系の変形例を示す概略断面図である。
【0067】
図15に示すガス給排気系は、誘電板240とシャワー板250とを有している。なお、誘誘電板240とシャワー板250とを一の誘電体として観念してもよい。誘電板240は、例えば、厚さ30mmを有し、窒化アルミニウム(AlN)により構成される板形状を有する。シャワー板250は誘電板250の下部に取り付けられる。誘電板240は、導入口241と、流路242と、排出口244とを画定している。
【0068】
導入口241には、ガス供給系130の供給路136が接続されている。排出口244にはガス排出路138が接続されている。図15に示す誘電板240は、ガス供給系130のみに適用されているが、ガス源131及び161の混合ガスの供給路を図15に示す供給路136に置換してもよい。代替的に、誘電板240は、好ましくは誘電板240の中心に関して対称に配置された図示しない一対のガス供給路136に接続される。一対としたのは、シャワー板250のノズル252に取り付けられた噴出部材260から均一な密度で反応ガスを処理室102に導入するためである。なお、誘電板240に設けられるガス導入口の位置及び数は限定されないことはいうまでもない。また、一対の導入口241を設けて一方をガス供給路136に他方をガス供給路166に接続してもよい。
【0069】
代替的に、ガス供給系160は処理室102の側部に図1と同様に接続されてもよい。なぜなら、アルゴンなどの放電ガスはシランやメタンなどの反応ガスと比較して分解しにくいから処理室102の側部から処理室102に導入しても反応ガスほどの不均一なプラズマ密度をもたらさないからである。誘電板240に接続された真空ポンプ152の動作及び効果は上述と同様なので説明は省略する。真空ポンプ152の代わりにバイパスライン182及び184を接続してもよいことは図7を参照して説明された上述の実施例と同様である。
【0070】
次に、図15に示すシャワー板250の詳細を図16乃至図19を参照して説明する。ここで、図16は、図15に示すシャワー板250のノズル252の拡大断面図である。図16に示すように、誘電板240はシャワー板250のノズル252の上部に円筒形の凹部246を有する。
【0071】
シャワー板250は、例えば、厚さ6mmの薄板形状を有して、AlNから構成される。シャワー板250は、所定の均一な配置で多数の(例えば、10個以上、20個、40個などの)ノズル253を形成している。図16に示すように、各ノズル253には噴射部材260が取り付けられている。噴射部材260は、ねじ(262及び264)とナット266から構成されている。
【0072】
噴出部材260は、選択的に、シャワー部材250と全部又は部分的に一体的に構成されてもよいし、その形状は問わない。例えば、噴出部材260は、図17乃至図19に示すように、流路352a乃至352cと噴出口354a乃至354cを有する噴出部材350a乃至350cに置換されてもよい。ここで、図17乃至図19は、図16に示す噴出部材260の変形例の構造を示す概略断面図である。
【0073】
ねじは、ねじ頭部262とねじ胴部264から構成されている。ねじ頭部262は約2mmの高さを有し、その内部には一対の噴射流路269がシャワー板250の下面256に対して±45度の角度でそれぞれ形成されている。各噴射流路269は、後述する流路268から分岐しており、例えば、0.1mm径を有する。噴射流路269は反応ガスの均一な噴射を達成するためにこのように傾斜されており、この目的が達成される限り、その角度及び個数は問わない。
【0074】
本発明者らの実験によれば、シャワー板250の下面256に対して90度に(即ち、垂直に)設定された一の噴射流路269は処理室102内において均一な噴射を成功裡に達成しなかったので図16に示すように傾斜していることが好ましい。流路268は、例えば、1mm径を有し、誘電板240の下面241とシャワー板250との間に形成された空隙部(流路)242に接続されている。ナット266は、ねじ胴部264の端部と係合し、誘電板240の凹部246に収納される。
【0075】
流路242はプラズマが発生を抑制する薄い空間であることが好ましい。プラズマの発生を抑制するのに必要な厚さは、圧力によって変化し、例えば、圧力10Torrの下では厚さは約0.5mmに設定される。また、この場合、シャワー板250下の処理室102の処理空間は約50mTorrに設定される。このように流路242と処理空間との間に圧力差を設けて反応ガスを所定の速度で導入している。
【0076】
本実施例のシャワー板250によれば、反応ガスは流路242でプラズマを発生せずに処理空間に均一且つ流量制御良く導入される。流量制御は、空隙部242と処理空間との間に圧力差、噴射流路269の数、角度、大きさなどにより行うことができる。例えば、ノズル253に詰め物をしてその詰め物の表面を介して反応ガスを噴射することも考えられる。しかし、かかる構造では詰め物とノズルとの間隔を制御するのが困難で、詰め物が取れたり、詰め物が完全にノズルを塞いだりするため、正確な流量制御が難しい。従って、本実施例のシャワー板250は、このような構造に比べて優れている。
【0077】
次に、本実施例のクラスターツール300について、図20を参照して説明する。ここで、図20は、図1に示すプラズマ処理装置100に接続可能なクラスターツール300の構造を示す概略平面図である。上述したように、被処理体Wの温度制御はサセプタ104によって行うことができる(なお、以下、サセプタ104は特に断らない限りサセプタのその他の変形例を総括しているものとする)。しかし、積層成膜処理において、例えば、被処理体Wを常温からサセプタ104により約250℃乃至約350℃まで加熱するには時間がかかり、不便且つ不経済である。そこで、本実施例のクラスターツール300は、被処理体Wを処理室102に導入する前に被処理体Wを加熱しておき、プロセスの迅速な開始を達成しようとするものである。同様に、プロセス終了後に約250℃乃至約350℃から常温に戻すには時間がかかるため、本実施例のクラスターツール300は、被処理体Wを処理室102から導出した後に被処理体Wを冷却し、次段のプロセス(イオン注入やエッチングなど)の迅速な開始を達成しようとするものである。
【0078】
図20に簡略的に示すように、本発明のクラスターツール300は、搬送部320と、予備加熱部340と、予備冷却部360と、その他のロードロック(L/L)室380とを有している。なお、図20では、処理室102と同様の2つの処理室102A、102Bを示しているが、その数は所望の数に変更することができる。予備加熱部340や予備冷却部360は、ロードロック室(処理室を待機中に開放しないで被処理体の取り入れと取り出しを可能にする真空室)内に形成されている。
【0079】
搬送部320は、被処理体Wを支持する搬送アームと、搬送アームを回転する回転機構とを含んでいる。予備加熱部340は、ランプなどのヒータを有して被処理体Wがいずれかの処理室102A又は102Bに導入される前に、処理温度付近までこれを加熱する。また、予備冷却部340は、冷媒により冷却されている冷却室を有して処理室102A又は102Bから導入された被処理体Wを次段の装置(イオン注入装置やエッチャーなど)に搬送する前に常温まで冷却する。なお、好ましくは、クラスターツール300は、図示しない回転角検出センサと、温度センサと、一又は複数の制御部と、制御プログラムを格納しているメモリとを更に有して搬送部320の回転制御、予備加熱部340及び予備冷却部360の温度制御を行う。かかるセンサ、制御方法及び制御プログラムは当業界で周知のいかなるものをも適用することができるので、ここでは詳しい説明は省略する。また、制御部は、プラズマ処理装置100の図示しない制御部が兼ねてもよい。搬送部320の搬送アームは被処理体Wを図1に示すゲートバルブ101を介して処理室102に導入する。
【0080】
次に、以上のように構成された本実施例のマイクロ波プラズマ処理装置100の動作について説明する。まず、図20に示す搬送部320の搬送アームが被処理体Wを処理室102に導入する。ここで、処理室102(図20においてはいずれかの処理室102A又は102B。以下、単に「処理室102」という。)では被処理体にプラズマCVD処理を施すとすると、クラスターツール300の図示しない制御部が、被処理体Wを処理室102に導入する前に、被処理体Wを例えば、300℃付近まで加熱するために、搬送部320に被処理体Wを予備加熱部340に搬送するように命令する。
【0081】
例えば、クラスターツール300は処理室102Aでシリコン基板にシリコン酸化膜をプラズマ処理により形成し、処理室102Bでシリコン酸化膜の形成されたシリコン基板上に窒素を導入してシリコン酸化膜の表面をプラズマ処理してシリコン窒化膜を形成することができる。処理室102Aにおけるプラズマシリコン酸化膜用の反応ガス系は、典型的には、SiH4−N2O系であるがSiH4の代わりにTEOS(tetraethylorthosilicate)、TMCTS(tetramethylcyclotetrasiloxane)、DADBS(diacetoxyditertiarybutoxysilane)等を利用してもよい。処理室102Bにおけるプラズマ表面窒化用の反応ガス系は、典型的には、SiH4−NH3系であるが、SiH4の代わりにSi26、NF3、SiF4なども使用することができる。
【0082】
これに応答して、搬送部320は予備加熱部340に被処理体Wを導入して加熱する。クラスターツール300の図示しない温度センサが被処理体Wの温度が300℃付近まで過熱されたことを検出すると、かかる検出結果に応答してクラスターツール300の図示しない制御部は搬送部172に被処理体Wを予備加熱部340から導出してゲートバルブ101から処理室102に導入する。被処理体Wを支持した搬送部320の搬送アームがサセプタ104の上部に到着すると、リフタピン昇降系がサセプタ104から(例えば、3本の)図示しないリフタピンを突出させて被処理体Wを支持する。この結果、被処理体Wの支持は、搬送アームからリフタピンに移行するので、搬送部320は搬送アームをゲートバルブ101より帰還させる。その後、ゲートバルブ101は閉口される。搬送部320は搬送アームをその後図示しないホームポジションに移動させてもよい。
【0083】
一方、リフタピン昇降系は、その後、図示しないリフタピンをサセプタ104の中に戻し、これによって被処理体Wをサセプタ104の所定の位置に配置する。図示しないベローズは昇降動作中処理室102の減圧環境を維持すると共に処理室102内の雰囲気が外部に流出するのを防止する。サセプタ104はその後、被処理体Wを300℃まで加熱するが、既に被処理体Wは予熱されているのでプロセス準備が完了するまでの時間は短くて済む。より詳細には、図2に示す制御装置191がヒータ装置198を制御してサセプタ104の温度が300℃にする。
【0084】
次に、高真空ポンプ106が図示しない圧力調整バルブを介して処理室102の圧力を、例えば、50mTorrに維持する。また、図1においては、バルブ151及び153が開口されて真空ポンプ152及び154がガス供給リング140及び170を排気する。代替的に、図7に示すバルブ181が開口されて高真空ポンプ106がバイアスライン182及び184を介してガス供給リング140及び170を排気する。この結果、ガス供給リング140及び170に残留する水分など十分に排気可能となる。
【0085】
また、サセプタ昇降系が予め設定されたプロセスポジションにサセプタ104と被処理体Wをホームポジションから移動させる。図示しないベローズは昇降動作中処理室102の減圧環境を維持すると共に処理室102内の雰囲気が外部に流出するのを防止する。その後、図1に示すバルブ151及び153又は図7に示すバルブ181は閉口される。
【0086】
次いで、バルブ132及び162が開口され、マスフローコントローラ134及び164を介してガス供給リング140及び170からNH3とヘリウム、窒素及び水素の混合ガスとをそれぞれ処理室102に導入する。図1に示すバルブ151及び153又は図7に示すバルブ181は閉口されるので、真空ポンプ152及び154又は106がガス供給系130及び160からのガスが処理室102に導入されることを妨げることはない。
【0087】
図15のシャワー板250が使用される場合には、処理室102内を所定の処理圧力、例えば、50mTorrに維持してガス供給路136から導入口241を介して、例えば、ヘリウム、窒素及び水素の混合ガスにNH3を更に混合した一以上の反応ガスを誘電板240に導入する。その後、ガスは、図16に示す空隙部242を通って凹部246から噴出部材260の流路268及び269を介して処理室102に導入される。ガスは空隙部242ではプラズマ化せずに流量制御良く安定かつ均一な密度で処理室102に導入される。
【0088】
処理室102の処理空間の温度は300℃程度になるようにより調整される。一方、マイクロ波源110からのマイクロ波を図示しない矩形導波管や同軸導波管などを介してアンテナ部材120の遅波材124に、例えば、TEMモードなどで導入する。遅波材124を通過したマイクロ波はその波長が短縮されてスロット電極200に入射し、スリット210から処理室102に誘電板230を介して導入される。遅波材124とスロット電極200は温度制御されているので、熱膨張などによる変形はなく、スロット電極200は最適なスリット長さを維持することができる。これによってマイクロ波は、均一に(即ち、部分的集中なしに)かつ全体として所望の密度で(即ち、密度の低下なしに)処理室102に導入される。
【0089】
その後、マイクロ波は、反応ガスをプラズマ化して積層成膜処理を行う。バッフル板が使用される場合には、バッフル板は処理空間の電位及び真空度を維持して処理空間からマイクロ波が逃げるのを防止する。これにより、所望のプロセス速度を維持することができる。
【0090】
継続的使用により、サセプタ104の温度が所望の設定温度よりも高くなれば制御装置191はサセプタ104を冷却する。同様に、処理開始時や過冷却によりサセプタ104の温度が設定温度よりも低くなれば制御装置191はサセプタ104を加熱する。
【0091】
成膜処理は、予め設定された所定時間(例えば、約2分)だけ行われてその後、被処理体Wは上述したのと逆の手順によりゲートバルブ101から処理室102の外へクラスターツール300の搬送部320により導出される。導出時に図示しない昇降装置は、サセプタ104と被処理体Wを搬送部320との接続位置に戻す。ここで、「約2分」としたのは積層窒化膜の形成に一般的な必要なプラズマCVD処理時間だからである。たとえ、温度調節装置190が温度を約250℃乃至約350℃に設定しても長時間成膜処理は温度を350℃以上に設定したのと同様の問題を引き起こす場合があるからである。また、短時間であれば被処理体Wから生成された半導体はリーク電流を効果的に防止できない場合があるからである。
【0092】
処理室102には所望の密度のマイクロ波が均一に供給されるので被処理体Wにはシリコン酸化膜とシリコン窒化膜が所定の厚さで形成される。また、処理室102の温度は水分などがウェハWに混入することのない温度に維持されるので所望の成膜品質を維持することができる。処理室102から導出された被処理体Wはまず予備冷却部360は導入されて常温まで短時間で冷却される。次いで、必要があれば、搬送部320は、被処理体Wを次段のイオン注入装置などに搬送する。
【0093】
以上、本発明の好ましい実施例を説明したが、本発明はその要旨の範囲内で種々の変形及び変更が可能である。例えば、本発明のマイクロ波プラズマ処理装置100は電子サイクロトロン共鳴の利用を妨げるものではないため、所定の磁場を発生させるコイルなどを有してもよい。また、本実施例のマイクロ波プラズマ処理装置100はプラズマCVD装置として説明されているが、マイクロ波プラズマ処理装置100は被処理体Wをエッチングしたりクリーニングしたりする場合にも使用することができることはいうまでもない。また、本発明は、RLSA方式のプラズマ装置だけでなくグロー放電を利用した平行平板型プラズマ装置への適用を妨げるものではない。
【0094】
【発明の効果】
本発明の例示的一態様であるプラズマ処理装置によれば、ガス供給機構に残留しうる水分などの不純物を効果的に除去して高品質なプラズマ処理を被処理体に施すことができる。
【図面の簡単な説明】
【図1】 本実施例の例示的一態様としてのマイクロ波プラズマ処理装置の構造を示す概略ブロック図である。
【図2】 図1に示すプラズマ処理装置の温度調節装置のより詳細な構造を示すブロック図である。
【図3】 図1に示すプラズマ処理装置により高温で被処理体Wに積層構造を形成する場合の深さ方向の窒素分布を示すグラフである。
【図4】 図1に示すプラズマ処理装置により適温で被処理体Wに積層構造を形成する場合の深さ方向の窒素分布を示すグラフである。
【図5】 シリコン窒化膜中の欠陥濃度の温度分布である。
【図6】 図1に示すプラズマ処理装置に適用可能なガス供給リングの平面図である。
【図7】 図1の変形例を示す概略断面図である。
【図8】 図1に示すプラズマ処理装置のスロット電極に適用可能な例示的な構造を示す平面図である。
【図9】 図1に示すプラズマ処理装置のスロット電極に適用可能な例示的な別の構造を示す平面図である。
【図10】 図1に示すプラズマ処理装置のスロット電極に適用可能な例示的な更に別の構造を示す平面図である。
【図11】 図1に示すプラズマ処理装置のスロット電極に適用可能な例示的な更に別の構造を示す平面図である。
【図12】 図1に示すプラズマ処理装置の誘電板の厚みとマイクロ波の透過電力との関係を示すグラフである。
【図13】 図1に示すプラズマ処理装置の誘電板の厚みと誘電板からの元素脱離量(スパッタ率)との関係を示すグラフである。
【図14】 図7に示すシャワー板のノズル付近の拡大断面図である。
【図15】 図1に示す給排気系の変形例を示す概略断面図である。
【図16】 図15に示す給排気系のシャワー板のノズル付近の拡大断面図である。
【図17】 図16に示すシャワー板に取り付けられる噴出部材の変形例の構造を示す概略断面図である。
【図18】 図16に示すシャワー板に取り付けられる噴出部材の別の変形例の構造を示す概略断面図である。
【図19】 図16に示すシャワー板に取り付けられる噴出部材の別の変形例の構造を示す概略断面図である。
【図20】 図1に示すプラズマ処理装置に接続可能なクラスターツールの構造を示す概略平面図である。
【符号の説明】
100 プラズマ処理装置
102 処理室
102A 処理室
102B 処理室
104 サセプタ
106 高真空ポンプ
110 マイクロ波源
120 アンテナ部材
130 (反応)ガス供給系
140 ガス供給リング
151 バルブ
152 真空ポンプ
153 バルブ
154 真空ポンプ
160 (放電)ガス供給系
170 ガス供給リング
182 バイパスライン
184 バイパスライン
190 温度制御装置
191 制御装置
192 冷却ジャケット
198 ヒータ装置
200 スロット電極
230 誘電板
300 クラスターツール

Claims (11)

  1. 被処理体に所定のプラズマ処理を行う処理室と、
    前記処理室に配置され、該処理室内に前記被処理体を処理するためのガスを供給するガス供給リング又はシャワーヘッドと、
    前記処理室に接続されて当該処理室内を減圧状態に維持する第1の真空ポンプと、
    前記ガス供給リング又はシャワーヘッドに接続されて当該ガス供給リング又はシャワーヘッドの内部の残留物を排気する第2の真空ポンプとを有するプラズマ処理装置。
  2. 被処理体に所定のプラズマ処理を行う処理室と、
    前記処理室に配置され、該処理室内に前記被処理体を処理するためのガスをノズルを介して供給するガス供給リング又はシャワーヘッドと、
    前記処理室に接続されて当該処理室内を減圧状態に維持する真空ポンプと、
    前記ガス供給リング又はシャワーヘッドを前記真空ポンプに接続して前記ガス供給リング又はシャワーヘッドの内部の残留物を排気し、前記ノズルよりも大きな口径を有するバイパスラインとを有するプラズマ処理装置。
  3. 被処理体に所定のプラズマ処理を行う処理室と、
    前記被処理体を処理するためのガスを供給するガス供給機構と、
    前記処理室に接続されて当該処理室内を減圧状態に維持する第1の真空ポンプと、
    前記ガス供給機構に接続されて当該ガス供給機構を排気する第2の真空ポンプとを有し、
    前記ガス供給機構は、前記処理室に接続され、当該処理室の上部から前記ガスを導入すると共に前記処理室内にプラズマの生成を可能にする誘電板を有し、
    当該誘電板は、前記ガス供給機構に接続されて前記ガスが供給される導入口と、前記第2の真空ポンプに接続された排出口とを有し、
    前記プラズマ処理装置は、前記導入口に接する前記ガスの流路を介して前記誘電体に接続されたシャワー板と、
    当該シャワー板に接続され、前記流路に接続された前記ガスの噴出部材とを有するプラズマ処理装置。
  4. 被処理体に所定のプラズマ処理を行う処理室と、
    前記被処理体を処理するためのガスをノズルを介して供給するガス供給機構と、
    前記処理室に接続されて当該処理室内を減圧状態に維持する真空ポンプと、
    前記ガス供給機構を前記真空ポンプに接続して前記ガス供給機構を排気し、前記ノズルよりも大きな口径を有するバイパスラインとを有し、
    前記ガス供給機構は、前記処理室に接続され、当該処理室の上部から前記ガスを導入すると共に前記処理室内にプラズマの生成を可能にする誘電板を有し、
    当該誘電板は、前記ガス供給機構に接続されて前記ガスが供給される導入口と、前記バイパスラインに接続された排出口とを有し、
    前記プラズマ処理装置は、前記導入口に接する前記ガスの流路を介して前記誘電体に接続されたシャワー板と、
    当該シャワー板に接続され、前記流路に接続された前記ガスの噴出部材とを有するプラズマ処理装置。
  5. 前記ガスは反応ガスである請求項3又は4記載のプラズマ処理装置。
  6. 前記プラズマ処理に必要なプラズマを生成するためのマイクロ波を導入するスリットを有するラジアルラインスロットアンテナを有する請求項1乃至4記載のプラズマ処理装置。
  7. 前記ラジアルラインスロットアンテナ上の前記スリットの密度は前記ラジアルラインスロットアンテナの放射方向に沿ってほぼ一定である請求項6記載のプラズマ処理装置。
  8. 被処理体に所定の処理を行う処理室に取り付け可能な取付部と、
    前記被処理体を処理するためのガスを外部装置から受け取る導入口と、当該導入口に接続された流路と、当該流路に接続されて前記処理室に前記ガスを供給するノズルと、前記流路に接続された排出口とを画定する本体部とを有するガス供給リング。
  9. 被処理体に所定のプラズマ処理を行う処理室に取り付け可能な取付部と、
    前記被処理体を処理するためのガスを外部装置から受け取る導入口と、当該導入口に接続された流路と、当該流路に接続されて前記処理室に前記ガスを供給するノズルと、前記流路に接続された排出口とを画定する本体部とを有する誘電板。
  10. 第1の真空ポンプを処理室に連通させて当該処理室を排気する工程と、
    前記処理室に収納された被処理体のプラズマ処理を行う際に、ガスを前記処理室内にガス供給リング又はシャワーヘッドを介して供給する工程と、
    前記ガス供給リング又はシャワーヘッドを排気する第2の真空ポンプと前記ガス供給リング又はシャワーヘッドとの連通を遮断する工程と、
    前記ガスをプラズマ化して前記プラズマ処理を行う工程と、
    前記プラズマ処理の終了後に前記ガス供給リング又はシャワーヘッドと前記処理室との前記連通を遮断して前記ガスの供給を停止する工程と、
    前記ガスの供給を停止させた後に、前記第2の真空ポンプを前記ガス供給リング又はシャワーヘッドに連通させて前記ガス供給リング又はシャワーヘッドを排気する工程とを有するプラズマ処理方法。
  11. 真空ポンプを処理室に連通させて当該処理室を排気する工程と、
    前記処理室に収納された被処理体のプラズマ処理を行う際に、ガスを前記処理室内にガス供給リング又はシャワーヘッドが有するノズルを介して供給する工程と、
    前記ガス供給リング又はシャワーヘッドを前記真空ポンプに接続可能であって前記ノズルよりも大きな口径を有するバイパスラインを閉口して前記ガス供給リング又はシャワーヘッドと前記真空ポンプとの連通を遮断する工程と、
    前記ガスをプラズマ化して前記プラズマ処理を行う工程と、
    前記プラズマ処理の終了後に前記ガス供給リング又はシャワーヘッドと前記処理室との前記連通を遮断して前記ガスの供給を停止する工程と、
    前記ガスの供給を停止させた後に、前記バイパスラインを開口して前記ガス供給リング又はシャワーヘッドを前記真空ポンプに接続して前記ガス供給リング又はシャワーヘッドを前記真空ポンプにより排気する工程とを有するプラズマ処理方法。
JP2000085351A 2000-03-24 2000-03-24 プラズマ処理装置及び方法、ガス供給リング及び誘電体 Expired - Lifetime JP4222707B2 (ja)

Priority Applications (12)

Application Number Priority Date Filing Date Title
JP2000085351A JP4222707B2 (ja) 2000-03-24 2000-03-24 プラズマ処理装置及び方法、ガス供給リング及び誘電体
KR1020010014799A KR100738767B1 (ko) 2000-03-24 2001-03-22 플라즈마 처리 장치, 가스 공급 링, 유전판 및 플라즈마 처리 방법
TW090106979A TW526278B (en) 2000-03-24 2001-03-23 Plasma processing apparatus having an evacuating arrangement to evacuate gas from a gas-introducing part of a process chamber
US09/815,305 US20020002948A1 (en) 2000-03-24 2001-03-23 Plasma processing apparatus having an evacuating arrangement to evacuate gas from a gas-introducing part of a process chamber
KR1020070010118A KR100873549B1 (ko) 2000-03-24 2007-01-31 평면 안테나 및 플라즈마 처리 장치
US11/785,355 US20070251453A1 (en) 2000-03-24 2007-04-17 Plasma processing apparatus having an evacuating arrangement to evacuate gas from a gas-introducing part of a process chamber
US11/785,356 US7629033B2 (en) 2000-03-24 2007-04-17 Plasma processing method for forming a silicon nitride film on a silicon oxide film
KR1020070048124A KR100985953B1 (ko) 2000-03-24 2007-05-17 플라즈마 처리 방법
KR1020090040832A KR100953037B1 (ko) 2000-03-24 2009-05-11 플라즈마 처리 방법
KR1020090120493A KR100960410B1 (ko) 2000-03-24 2009-12-07 플라즈마 처리 방법
KR1020100059596A KR101061608B1 (ko) 2000-03-24 2010-06-23 플라즈마 처리 장치
KR1020110003105A KR101116056B1 (ko) 2000-03-24 2011-01-12 플라즈마 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000085351A JP4222707B2 (ja) 2000-03-24 2000-03-24 プラズマ処理装置及び方法、ガス供給リング及び誘電体

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2008182797A Division JP4912363B2 (ja) 2008-07-14 2008-07-14 プラズマ処理方法
JP2008182911A Division JP4854712B2 (ja) 2008-07-14 2008-07-14 平面アンテナ及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2001274151A JP2001274151A (ja) 2001-10-05
JP4222707B2 true JP4222707B2 (ja) 2009-02-12

Family

ID=18601705

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000085351A Expired - Lifetime JP4222707B2 (ja) 2000-03-24 2000-03-24 プラズマ処理装置及び方法、ガス供給リング及び誘電体

Country Status (4)

Country Link
US (3) US20020002948A1 (ja)
JP (1) JP4222707B2 (ja)
KR (7) KR100738767B1 (ja)
TW (1) TW526278B (ja)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6682913B1 (en) * 1999-02-03 2004-01-27 Institute For Drug Research Ltd. Microbial process for preparing pravastatin
JP4504511B2 (ja) * 2000-05-26 2010-07-14 忠弘 大見 プラズマ処理装置
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
KR100419033B1 (ko) * 2001-12-24 2004-02-21 (주)울텍 고밀도 플라즈마에 의한 건식 식각 장치 및 방법
TW200402801A (en) * 2002-03-29 2004-02-16 Tokyo Electron Ltd Material for electronic device and process for producing the same
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
US6744024B1 (en) * 2002-06-26 2004-06-01 Cem Corporation Reaction and temperature control for high power microwave-assisted chemistry techniques
AU2003252352A1 (en) * 2002-07-30 2004-02-16 Tokyo Electron Limited Method for forming insulating layer
KR101113773B1 (ko) * 2003-02-06 2012-03-13 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 제조장치
ATE484607T1 (de) * 2003-04-16 2010-10-15 Toyo Seikan Kaisha Ltd Mikrowellenplasmaverarbeitungsverfahren
JP4408653B2 (ja) * 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050121833A1 (en) * 2003-12-09 2005-06-09 Jenn-Shing Wang Processing method for ceramic
KR100657354B1 (ko) * 2004-01-19 2006-12-14 염근영 상압에서 탄소나노튜브 형성 장치 및 방법
US7282158B2 (en) * 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
DE102004017236B4 (de) * 2004-04-05 2012-10-25 Schott Ag Verbundmaterial mit verbesserter chemischer Beständigkeit und Verfahren zu dessen Herstellung
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
JP4554378B2 (ja) * 2005-01-21 2010-09-29 富士通セミコンダクター株式会社 窒化膜の形成方法、半導体装置の製造方法及びキャパシタの製造方法
KR20080000630A (ko) * 2005-04-06 2008-01-02 도요 세이칸 가부시키가이샤 표면파 플라즈마에 의한 증착막의 형성 방법 및 장치
JP5017906B2 (ja) * 2005-04-19 2012-09-05 東洋製罐株式会社 プラズマcvd用マイクロ波供給装置及び該マイクロ波供給装置を備えた蒸着膜形成装置
KR101046902B1 (ko) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
JP4715474B2 (ja) * 2005-11-30 2011-07-06 株式会社島津製作所 太陽電池の反射防止膜成膜方法、および太陽電池反射防止膜成膜装置
US7369920B2 (en) * 2006-03-21 2008-05-06 Mks Instruments, Inc. Pressure control system with optimized performance
JP5057816B2 (ja) * 2006-03-27 2012-10-24 東京エレクトロン株式会社 プラズマ処理装置
US7603963B2 (en) * 2006-05-02 2009-10-20 Babcock & Wilcox Technical Services Y-12, Llc Controlled zone microwave plasma system
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
CZ17940U1 (cs) * 2007-09-13 2007-10-15 Špatenka@Petr Aplikátor mikrovlnného generátoru plazmatu, a mikrovlnný generátor plazmatu zahrnující tento aplikátor
JP4533926B2 (ja) 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
US7763551B2 (en) * 2008-03-31 2010-07-27 Tokyo Electron Limited RLSA CVD deposition control using halogen gas for hydrogen scavenging
JP5143662B2 (ja) * 2008-08-08 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置
KR101612502B1 (ko) * 2008-12-18 2016-04-14 주성엔지니어링(주) 반도체 소자의 제조방법 및 제조장치
US8110435B2 (en) 2008-12-18 2012-02-07 Jusung Engineering Co., Ltd. Method and apparatus for manufacturing semiconductor device
JP2010186891A (ja) * 2009-02-12 2010-08-26 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置のメンテナンス方法及びプラズマ処理装置の組み立て方法
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
WO2011125550A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 窒化処理方法及び窒化処理装置
US8980047B2 (en) * 2010-07-02 2015-03-17 Samsung Electronics Co., Ltd. Microwave plasma processing apparatus
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
KR101883360B1 (ko) * 2011-03-28 2018-07-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 게르마늄 스트레서 합금들의 선택적 증착을 위한 방법 및 장치
JP2013048227A (ja) * 2011-07-25 2013-03-07 Tokyo Electron Ltd シャワーヘッド装置及び成膜装置
KR101241049B1 (ko) * 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US20140042152A1 (en) * 2012-08-08 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Variable frequency microwave device and method for rectifying wafer warpage
JP2013047388A (ja) * 2012-09-18 2013-03-07 Tokyo Electron Ltd 微結晶シリコン膜形成方法、微結晶シリコン膜成膜装置および表示装置
KR101411993B1 (ko) * 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
KR101455158B1 (ko) * 2013-02-25 2014-10-27 (주)트리플코어스코리아 안테나 냉각 기능을 갖는 마이크로웨이브 헤드장치 및 마이크로웨이브 제너레이터
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
JP2015138885A (ja) * 2014-01-22 2015-07-30 東京エレクトロン株式会社 基板処理装置、シャワープレート及び基板処理方法
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10280770B2 (en) 2014-10-09 2019-05-07 Rolls-Royce Corporation Coating system including oxide nanoparticles in oxide matrix
US10047614B2 (en) 2014-10-09 2018-08-14 Rolls-Royce Corporation Coating system including alternating layers of amorphous silica and amorphous silicon nitride
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
KR20170090194A (ko) * 2016-01-28 2017-08-07 삼성전자주식회사 복수 개의 가스 배출관 들 및 가스 센서들을 가진 반도체 소자 제조 설비
JP6952542B2 (ja) * 2017-06-21 2021-10-20 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20190051495A1 (en) * 2017-08-10 2019-02-14 Qiwei Liang Microwave Reactor For Deposition or Treatment of Carbon Compounds
KR102577264B1 (ko) * 2018-04-20 2023-09-11 삼성전자주식회사 샤워헤드 및 기판 처리 장치
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
CN109950409B (zh) * 2019-02-28 2023-01-06 深圳市先进清洁电力技术研究有限公司 一种钙钛矿气氛处理装置
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
CN114717535B (zh) * 2022-03-21 2023-07-14 太原理工大学 一种在硅衬底上制备纤锌矿InGaN纳米棒的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715937A (en) * 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4900396A (en) * 1987-08-19 1990-02-13 Agency Of Industrial Science And Technology Method of forming modified layer and pattern
JP3053105B2 (ja) * 1989-06-30 2000-06-19 株式会社日立製作所 プラズマcvd装置及びその方法
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
US5087586A (en) * 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
JP2871235B2 (ja) * 1991-10-29 1999-03-17 ホシデン・フィリップス・ディスプレイ株式会社 能動液晶表示装置
KR960002086B1 (ko) * 1993-04-16 1996-02-10 엘지전자주식회사 박막 트랜지스터의 제조방법
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5545258A (en) * 1994-06-14 1996-08-13 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
KR0137613B1 (ko) * 1994-06-29 1998-06-15 배순훈 자동판매기의 승강장치
JP3123735B2 (ja) * 1995-04-28 2001-01-15 株式会社日立製作所 イオンビーム処理装置
JP3233575B2 (ja) * 1995-05-26 2001-11-26 東京エレクトロン株式会社 プラズマ処理装置
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
US5989338A (en) * 1995-11-22 1999-11-23 Micron Technology, Inc. Method for depositing cell nitride with improved step coverage using MOCVD in a wafer deposition system
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6331994B1 (en) 1996-07-19 2001-12-18 Canon Kabushiki Kaisha Excimer laser oscillation apparatus and method, excimer laser exposure apparatus, and laser tube
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR19990057863A (ko) 1997-12-30 1999-07-15 김영환 실리콘산화막과 실리콘질화막 간의 스트레스에 의한 균열 방지를 위한 반도체 소자 제조방법
TW512248B (en) 1998-07-15 2002-12-01 Toshiba Corp Manufacturing method and apparatus of semiconductor device
KR100338140B1 (ko) * 1998-09-25 2002-05-24 이마이 기요스케 전계 방사형 전자원
US6087236A (en) * 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP3621321B2 (ja) * 2000-01-17 2005-02-16 Necエレクトロニクス株式会社 半導体装置とその製造方法
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US7081419B2 (en) * 2000-06-28 2006-07-25 Agere Systems Inc. Gate dielectric structure for reducing boron penetration and current leakage
WO2003001578A1 (fr) * 2001-06-20 2003-01-03 Tokyo Electron Limited Dispositif de traitement au plasma par micro-ondes, procede de traitement au plasma, et organe de rayonnement de micro-ondes
US6649538B1 (en) * 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film

Also Published As

Publication number Publication date
JP2001274151A (ja) 2001-10-05
KR100738767B1 (ko) 2007-07-12
US20070254113A1 (en) 2007-11-01
KR100960410B1 (ko) 2010-05-28
KR100873549B1 (ko) 2008-12-11
US7629033B2 (en) 2009-12-08
KR100985953B1 (ko) 2010-10-06
KR101061608B1 (ko) 2011-09-01
KR20070059036A (ko) 2007-06-11
KR20090055540A (ko) 2009-06-02
KR100953037B1 (ko) 2010-04-14
KR20110010660A (ko) 2011-02-01
KR20010093073A (ko) 2001-10-27
KR20100007827A (ko) 2010-01-22
US20070251453A1 (en) 2007-11-01
KR20100075816A (ko) 2010-07-05
TW526278B (en) 2003-04-01
KR20070032743A (ko) 2007-03-22
KR101116056B1 (ko) 2012-02-14
US20020002948A1 (en) 2002-01-10

Similar Documents

Publication Publication Date Title
JP4222707B2 (ja) プラズマ処理装置及び方法、ガス供給リング及び誘電体
JP4849705B2 (ja) プラズマ処理装置、プラズマ生成導入部材及び誘電体
JP4338355B2 (ja) プラズマ処理装置
US7097735B2 (en) Plasma processing device
WO2007046414A1 (ja) 処理装置
US20120252226A1 (en) Plasma processing method
JP3477573B2 (ja) プラズマ処理装置、プラズマ生成導入部材及びスロット電極
JP2003168681A (ja) マイクロ波プラズマ処理装置および処理方法
JP2001274148A (ja) プラズマ処理装置及び方法
JP4912363B2 (ja) プラズマ処理方法
JP3222859B2 (ja) プラズマ処理装置
JP4854712B2 (ja) 平面アンテナ及びプラズマ処理装置
JP3238137B2 (ja) プラズマ処理室のクリーニング方法
JP4255163B2 (ja) マイクロ波プラズマ装置
JP2009087949A (ja) マイクロ波プラズマ装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4222707

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141128

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term