KR20100075816A - 플라즈마 처리 방법 - Google Patents

플라즈마 처리 방법 Download PDF

Info

Publication number
KR20100075816A
KR20100075816A KR1020100059596A KR20100059596A KR20100075816A KR 20100075816 A KR20100075816 A KR 20100075816A KR 1020100059596 A KR1020100059596 A KR 1020100059596A KR 20100059596 A KR20100059596 A KR 20100059596A KR 20100075816 A KR20100075816 A KR 20100075816A
Authority
KR
South Korea
Prior art keywords
plasma
gas
processing chamber
gas supply
temperature
Prior art date
Application number
KR1020100059596A
Other languages
English (en)
Other versions
KR101061608B1 (ko
Inventor
도시아키 혼고
테츠 오사와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100075816A publication Critical patent/KR20100075816A/ko
Application granted granted Critical
Publication of KR101061608B1 publication Critical patent/KR101061608B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Abstract

본 발명의 플라즈마 처리 장치는 처리실의 가스 도입부로부터 불순물을 제거함으로써 피처리체를 고품질 플라즈마 처리한다. 가스 도입부가 처리실에 접속되어 반응 가스가 처리실로 도입되게 한다. 제 1 진공 펌프는 상기 처리실이 감압 환경으로 유지되도록 처리실을 배기하기 위해서 처리실에 접속된다. 배기 장치는 가스 도입부로부터 반응 가스만을 배기하도록 가스 도입부에 접속된다. 배기 장치는 처리실을 우회함으로써 가스 도입부를 제 1 진공 펌프에 직접 접속하는 제 2 진공 펌프를 구비한다.

Description

플라즈마 처리 방법{PLASMA PROCESSING METHOD}
본 발명은 플라즈마 처리 장치에 관한 것으로, 보다 상세하게는 반응 가스를 처리실로 도입하는 가스 도입부를 갖는 플라즈마 처리 장치에 관한 것이다.
최근, 반도체 제품의 제조 공정에서, 반도체 디바이스가 고밀도화되고 보다 미세한 구조가 되기 때문에, 성막, 에칭, 애싱(ashing) 등의 처리를 위해서 플라즈마 처리 장치가 사용되는 경우가 있다. 예컨대, 전형적인 마이크로파 플라즈마 처리 장치에 있어서는 2.45㎓ 정도의 마이크로파가 슬롯 전극을 통과하여 처리실내에 도입된다. 반도체 웨이퍼나 LCD 기판 등의 피처리체가 진공 펌프에 의해 감압 환경으로 유지된 처리실내에 배치된다. 한편, 반응 가스도 처리실에 도입되어 마이크로파에 의해서 플라즈마화된다. 따라서, 활성 래디컬 및 활성 이온으로 되고, 이것들이 피처리체와 반응하여 성막 처리나 에칭 처리 등을 실행한다.
반응 가스는 처리실의 측벽상에 배치된 가스 공급 노즐을 통해서 처리실로 도입된다. 또한, 반응 가스는 처리실의 상부에 설치된 슬롯 전극 아래에 배치된 유전판을 통해서 처리실로 도입된다. 가스 공급 노즐 또는 유전판과 같은 가스 공급 구조는 처리실의 진공을 유지하도록 진공 펌프에 의해서 배기 처리된다.
그러나, 종래의 플라즈마 처리 장치에서는 수분 등의 잔류 불순물이 처리실 내측으로부터 완전히 제거될 수 없다는 문제점이 있었다. 처리실 내벽에 부착된 수분은 처리실을 진공으로 만들 때 증발되어 처리실 내측 분위기로 유리된다. 수분은 진공 펌프에 의해서 처리실 밖으로 배출된다. 그러나, 처리실에 제공된 가스 도입부가 구경이 매우 작은 가스 도입구(노즐)를 가지고 있기 때문에, 가스 도입부내부에 잔류하는 수분의 제거 속도가 느리다. 따라서, 수분중 일부는 처리실의 가스 도입부 내부에 잔류하는 경향이 있다.
가스 도입부 내부에 잔류하는 수분은 가스 도입구에 혼입되어 이를 폐쇄할 수도 있으며, 이것은 반응 가스의 처리실로의 도입을 방해한다. 따라서, 피처리체의 양품률이 저하된다. 또한, 가스 도입구의 일부가 폐쇄되면, 반응 가스가 처리실내에 균일하게 분포하지 못하며, 이에 따라 피처리체의 처리 정도가 불균일해질 수도 있다. 또한, 가스 도입구의 수분이 처리실로 분사되면, 수분은 불순물로서 작용하여 피처리체에 대한 고품질 처리를 저하시킨다.
따라서, 이러한 과제를 해결하는 신규하고 유용한 플라즈마 처리 장치를 제공하는 것을 본 발명의 개괄적 목적으로 한다.
보다 상세하게는 처리실의 가스 도입부로부터 불순물을 제거함으로써 피처리체에 고품질의 플라즈마 처리를 실시하는 플라즈마 처리 장치를 제공하는 것을 본 발명의 목적으로 한다.
전술한 본 발명의 목적을 달성하기 위해서, 본 발명의 일 특징에 따르면, 피처리체에 플라즈마 처리를 하기 위한 플라즈마 처리 장치가 제공되는 바, 이 플라즈마 처리 장치는 피처리체가 플라즈마 처리될 처리실과, 반응 가스를 처리실로 도입하기 위해서 처리실에 접속된 가스 도입부와, 처리실이 감압 환경으로 유지되도록 처리실로부터 배기하기 위해서 처리실에 접속된 제 1 진공 펌프와, 반응 가스를 가스 도입부로부터 배기하기 위해서 가스 도입부에 접속된 배기 장치를 포함한다.
본 발명에 따르면, 배기 장치는 가스 도입부에 잔류하는 반응 가스를 배기하기 위해서 가스 도입부에만 접속되어 있다. 따라서, 가스 도입부에 존재하는 수분 등의 불순물은 가스 도입부에만 접속되어 있는 배기 장치에 의해서 반응 가스가 배기될 때 함께 배기될 수 있다.
본 발명의 일 실시예에 있어서, 배기 장치는 가스 도입부에 접속된 제 2 진공 펌프를 포함할 수도 있다. 즉, 제 2 진공 펌프는 제 1 진공 펌프와 달리 가스 도입부에 잔류하는 반응 가스만을 배기하여 가스 도입부에 잔류하는 반응 가스를 신속하고 효율적으로 배기할 수도 있다.
본 발명의 다른 실시예에 있어서, 배기 장치는 처리실을 우회함으로써 제 1 진공 펌프에 가스 도입부를 접속하는 바이패스 통로를 포함할 수도 있다. 따라서, 가스 도입부는 처리실을 우회함으로써 바이패스 통로에 의해서 제 1 진공 펌프에 직접 접속될 수 있다.
가스 도입부는 환상으로 구성되고 처리실의 측벽에 결합될 수도 있으며, 반응 가스가 처리실로 도입되는 복수개의 원주방향으로 배치된 노즐이 형성되어 있다.
또한, 가스 도입부는 반응 가스가 공급되는 적어도 하나의 도입구와, 도입구에 접속된 환상 가스 통로(환상 가스 통로는 도입구를 통해 공급된 반응 가스가 환상 가스 통로를 통해서 유동함으로써 복수개의 노즐에 공급되도록 도입구에 설치됨)와, 배기 장치와 연결되게 환상 가스 통로에 설치된 유출구를 포함한다.
변형예로서, 가스 도입부는 처리실의 상부에 설치된 유전판과 샤워헤드를 포함하여 처리실 상부로부터 반응 가스를 도입하며, 가스 통로는 유전판과 샤워판 사이에 형성되어 반응 가스가 가스 통로를 통해 유동하여 샤워판을 통해 처리실로 도입되게 한다. 유전판은 반응 가스를 가스 통로에 공급하도록 가스 통로에 접속된 유입구를 가질 수도 있으며, 상기 가스 통로는 배기 장치가 접속되는 유출구를 가질 수도 있다.
본 발명에 따른 플라즈마 처리 장치는 피처리체를 플라즈마 처리하기 위해 결정되는 소정의 주파수의 마이크로파를 안내하기 위해서 복수개의 슬릿을 갖는 슬롯 안테나를 더 포함할 수도 있다. 슬릿 밀도는 슬롯 안테나의 방사 방향으로 거희 균일하게 처리될 수도 있다.
또한, 본 발명의 다른 특징에 따르면, 플라즈마 처리 장치의 처리실에 반응 가스를 도입하기에 적합한 가스 공급링이 제공되는바, 상기 가스 공급링은 반응 가스를 처리실로 도입하는 복수개의 원주방향으로 배열된 노즐과, 반응 가스가 공급되는 적어도 하나의 유입구와, 유입구에 접속된 환상 가스 통로(상기 환상 가스 통로는 유입구로부터 공급된 반응 가스가 이를 통해서 복수개의 노즐에 공급되게 설치됨)와, 반응 가스가 가스 공급링으로부터 유출구를 통해 배기되도록 환상 가스 통로에 설치된 유출구를 포함한다.
본 발명에 따르면, 배기 장치는 가스 공급 링의 유출구에 접속될 수 있어서 가스 도입부에 잔류하는 반응 가스를 배기한다. 따라서, 가스 공급 링에 존재하는 수분 등의 불순물이 가스 공급 링에만 설치된 배기 장치에 의해서 반응 가스가 배기될 때 함께 배기될 수 있다.
또한, 본 발명의 다른 특징에 따르면, 플라즈마 처리 장치의 처리실에 부착된 유전판이 제공되는바, 상기 유전판은 반응 가스가 처리실로 도입되는 복수개의 노즐과, 반응 가스가 공급되는 적어도 하나의 유입구와, 유입구에 접속되는 가스 통로(상기 가스 통로를 통해서 복수개의 노즐에 유입구로부터의 반응 가스가 공급됨)와, 반응 가스가 유출구를 통해 가스 통로로부터 배기되도록 가스 통로에 설치된 유출구를 포함한다.
본 발명에 따르면, 가스 진공 장치는 유전판의 유출구에 접속되어 유전판에 형성된 가스 통로에 잔류하는 반응 가스를 배기할 수 있다. 따라서, 가스 통로에 있는 수분 등의 불순물이 유전판에만 설치된 배기 장치에 의해서 반응 가스와 배기될 때 함께 가스 통로로부터 배기될 수 있다.
또한, 본 발명의 또 다른 실시예에 따르면, 플라즈마 처리 방법은 처리실에 접속된 진공 펌프에 의해서 처리실로부터 배기하는 단계와, 복수개의 노즐을 갖는 가스 유도부를 통해 반응 가스를 처리실로 도입하는 단계와, 반응 가스로부터 플라즈마를 생성함으로써 처리실에서 피처리체를 플라즈마 처리하는 단계와, 플라즈마 처리 종료후 처리실로의 반응 가스의 도입을 중지하는 단계와, 처리실을 우회하여진공 펌프에 가스 도입부를 접속함으로써 진공 펌프에 의해서 가스 도입부에 잔류하는 반응 가스를 배기하는 단계를 포함한다.
따라서, 가스 도입부에 잔류하는 반응 가스는 처리실을 우회하는 처리 통로에 의해서 제 1 진공 펌프에 의해서 가스 도입부로부터 신속하고 효율적으로 배기될 수 있다.
본 발명의 다른 목적 및 또 다른 특징은 이하 첨부 도면을 참조하여 설명되는 바람직한 실시예에 의해서 명확해질 것이다.
본 발명은 처리실의 가스 도입부로부터 불순물을 제거함으로써 피처리체에 고품질의 플라즈마 처리를 실시하는 플라즈마 처리 방법을 제공할 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 마이크로파 플라즈마 처리 장치의 구조를 도시하는 도면,
도 2는 도 1에 도시하는 온도 제어 장치의 블럭도,
도 3은 고온으로 피처리체에 적층 구조를 형성하는 경우의 깊이 방향의 질소 분포를 도시하는 그래프,
도 4는 적정 온도로 피처리체에 적층 구조를 형성하는 경우의 깊이 방향의 질소 분포를 도시하는 그래프,
도 5는 실리콘 질화막중의 결함 농도와 온도 사이의 관계를 도시하는 그래프.
도 6a는 도 1에 도시하는 가스 공급 링의 평면도,
도 6b는 도 6a의 Ⅵ-Ⅵ선을 따라 취한 단면도,
도 7은 도 1에 도시하는 슬롯 안테나의 일 실시예의 평면도,
도 8은 도 1에 도시하는 슬롯 안테나의 다른 실시예의 평면도,
도 9는 도 1에 도시하는 슬롯 안테나의 또 다른 실시예의 평면도,
도 10은 도 1에 도시하는 슬롯 안테나의 또 다른 실시예의 평면도,
도 11은 유전판의 두께와 마이크로파의 투과 전력의 관계를 도시하는 그래프,
도 12는 유전판의 두께와 유전판으로부터의 유리량(스퍼터율)의 관계를 도시하는 그래프,
도 13은 유전판의 두께 범위의 인디케이션을 갖는 도 11에 도시한 그래프,
도 14는 가스 공급계를 갖는 샤워헤드의 단면도,
도 15는 하나의 노즐이 형성된 샤워판의 일부의 확대 단면도,
도 16은 단일 노즐 개구를 갖는 노즐 통로가 구비된 분사 부재의 확대 단면도,
도 17은 2개의 노즐 개구를 갖는 노즐 통로가 구비된 분사 부재의 확대 단면도,
도 18은 3개의 노즐 개구를 갖는 노즐 통로가 구비된 분사 부재의 확대 단면도,
도 19는 도 1에 도시하는 마이크로파 플라즈마 처리 장치에 접속 가능한 클러스터 툴의 구조를 도시하는 개략 평면도.
도 20은 본 발명의 제 2 실시예에 따른 마이크로파 플라즈마 처리 장치의 구조의 도면,
도 21은 도 20에 도시한 배기계의 도면.
도면의 주요 부분에 대한 부호의 설명
100 : 플라즈마 처리 장치 102 : 처리실
102A : 처리실 102B : 처리실
104 : 서셉터 106 : 고진공 펌프
110 : 마이크로파 제너레이터 120 : 안테나부재
130 : (반응) 가스 공급계 140 : 가스 공급 링
151 : 밸브 152 : 진공 펌프
153 : 밸브 154 : 진공 펌프
160 : (방전) 가스 공급계 170 : 가스 공급 링
182 : 바이패스 통로 184 : 바이패스 통로
190 : 온도 제어 장치 191 : 제어 장치
192 : 냉각 자켓 198 : 히터 장치
200 : 슬롯 전극 230 : 유전판
300 : 클러스터 툴
이하, 본 발명의 일 실시예를 도 1를 참고로 설명할 것이다. 도 1은 본 발명의 일 실시예에 따른 마이크로파 플라즈마 처리 장치(100)의 구조의 도면이다. 본 발명의 제 1 실시예는 특히 마이크로파 플라즈마 처리 장치(100)의 처리실에 설치된 가스 도입구로부터 배기하기 위한 배기계에 관한 것이다.
도 1에 도시된 마이크로파 플라즈마 처리 장치(100)는 클러스터 툴(300)에 접속된 게이트 밸브(101)와, 반도체 웨이퍼 기판이나 LCD 기판 등의 피처리체를 탑재하고 있는 서셉터(104)를 수납 가능한 처리실(102)과, 처리실에 접속되어 있는 고진공 펌프(106)와, 마이크로파원(110)과, 안테나부재(120)와, 가스 공급계(130, 160)을 갖고 있다. 또한, 플라즈마 처리 장치(100)의 제어계에 대해서는 편의상 도 1에 도시가 생략되어 있다.
처리실(102)은 알루미늄 합금 등의 도체로 구성된다. 본 실시예에서는, 처리실(102)은 일반적으로 원통형상을 갖는다. 그러나, 그 형상은 원통형상에 한정되지 않고, 처리실(102)이 내부 진공에 의해서 변형되지 않는다면 어떠한 형상도 무방하다. 처리실(102)내에는 서셉터(104)와 그 위에 피처리체(W)가 지지되어 있다. 또한, 도 1에 있어서는 피처리체(W)를 서셉터(104)상에 고정하는 정전 척이나 클램프 기구 등은 편의상 생략되어 있다.
서셉터(104)는 처리실(102)내에서 피처리체(W)의 온도 제어를 실행한다. 서셉터(104)의 온도는 소정의 온도 범위로 온도 제어 장치(190)에 의해서 조절된다. 도 2는 도 1에 도시된 온도 제어 장치(190)의 블록 다이아그램이다. 도 2에 도시하는 바와 같이, 온도 제어 장치(190)는 제어 장치(191)와, 냉각 자켓(192)과, 밀봉부재(194)와, 온도 센서(196)와 히터 장치(198)를 갖는다. 온도 제어 장치(190)는 수도 등의 수원(199)으로부터 냉각수를 공급받는다. 제어 장치(191)는 피처리체(W)의 온도가 소정의 온도범위가 되도록 제어한다. 제어를 용이하게 하기 위해서, 수원(199)에서 공급되는 냉각수의 온도는 항온인 것이 바람직하다.
예컨대, 실리콘 기판상에 실리콘 질화막(Si3N4)을 직접 형성하는 경우(단층 질화막의 경우), 제어 장치(191)는 실리콘 기판의 온도가 약 450℃ 내지 약 500℃가 되도록 히터 장치(198)를 제어한다. 실리콘 기판은 약 450℃ 이상으로 유지되지 않으면, 후술하는 바와 같이 문턱값 전압을 변화시켜 바람직하지 못한 댕글링 본드(danglingbond : 고체 외측에 형성되는 화학결합)가 발생해 버린다.
다음에, 실리콘 기판상에 실리콘 산화막(SiO2)을 형성하고 나서 실리콘 질화막을 형성하는 적층 구조를 만드는 경우에 대하여 생각해 본다. 이 경우, 실리콘 산화막에 질소를 도입하고 플라즈마 처리를 하여 실리콘 산화막의 상부를 실리콘 질화막으로 변화시킨다. 이러한 처리에 있어서는, 제어 장치(191)는 실리콘 기판의 온도를 약 250℃ 내지 약 350℃가 되도록 히터 장치(198)를 제어한다.
우선, 실리콘 기판의 온도를 약 350℃ 미만으로 설정하는 이유를 도 3을 참고로 설명한다. 도 3은 고온(예컨대, 500℃ 정도)에서 피처리체에 적층 구조를 형성하는 경우의 깊이 방향의 질소 분포를 도시하는 그래프이다. 도 3에 도시된 바와 같이, 실리콘 기판의 온도를 히터(198)를 제어함으로써 350℃ 이상으로 설명하는 경우, 다량의 질소가 실리콘 산화막의 표면(상부) 뿐만 아니라 실리콘 산화막의 내부까지 혼입된다. 도 3으로부터 질소가 실리콘 산화막의 표면에서 20Å까지 도달하고 있는 것이 이해될 것이다.
이 경우, 질소가 실리콘 기판과 실리콘 산화막의 경계에 도달하여 실리콘 화합물, 산소 및 질소의 화합물을 형성한다. 실리콘 화합물의 형성은 반도체의 성능을 저하시키므로(예컨대, 증폭율을 저하시킴 등) 바람직하지 못하다. 질소가 실리콘 기판과 실리콘 산화막의 경계에 도달하는 비율은 반도체 소자의 크기에도 의존한다. 종래의 반도체 소자에서와 같이, 게이트 길이가 0.18㎛ 내지 0.3㎛ 정도이면, 그 영향도 무시할 수 있다. 그러나, 최근의 반도체 소자의 소형화에 따라 게이트 길이가 예컨대, 0.13㎛ 또는 0.10㎛ 등으로 감소된다. 따라서, 질소의 영향은 무시할 수 없다.
한편, 히터 장치(198)에 의해 제어되는 실리콘 기판의 온도를 약 350℃ 이하로 설정하면, 질소가 실리콘 산화막의 표면(상부)뿐만 아니라 실리콘 산화막의 내부로 분포된다. 도 4는 적정 온도(예컨대, 350℃ 정도)로 피처리체에 적층 구조를 형성하는 경우의 깊이 방향의 질소 분포를 도시하는 그래프이다. 도 4로부터 질소가 허용 범위내(10Å 이하)에 있으므로, 실리콘 기판의 설정 온도를 약 350℃ 미만으로 하면 상술한 문제는 피할 수 있는 것이 이해될 것이다.
다음에, 서셉터(104)의 온도를 약 250℃ 이상으로 설정하는 이유는 이하와 같다. 피처리체(W)(반도체 소자)의 동작 특성을 도시하는 것으로써, 게이트 전압(V)과 게이트 용량(C)의 관계를 나타내는 CV 특성이 종종 인덱스로서 사용된다. 이러한 CV 특성에 있어서 히스테리시스가 게이트 전압(V)의 인가시와 해제시에 발생한다. 히스테리시스가 폭이 크면, 게이트 전압(V)의 문턱값 전압(반도체 소자가 턴온하는 전압과 턴오프하는 전압)이 변하게 되어, 신뢰성이 저하된다. 따라서, 히스테리시스 폭을 소정 전압 이내(예컨대, 0.02V 이내)로 억제하는 것이 바람직하다. 이것은 적층 구조에도 적합할 수도 있다. 히스테리시스 폭은 실리콘 질화막의 결함(댕글링본드) 수가 증가함에 따라 더 커진다. 도 5에서, 허용가능한 결함 밀도를 점선으로 도시한다. 히스테리시스 폭을 유지하기 위해서는 도 5의 점선으로 도시하는 바와 같은 실리콘 질화막의 결함 밀도를 유지하여야 한다. 본 발명자들은 그 허용가능한 결함 밀도는 도 5에 도시된 바와 같이, 약 250℃ 에 대응하는 것을 본 발명자들은 발견했다.
제어 장치(191)는 CVD 프로세스 약 450℃로, 에칭 프로세스이면 적어도 80℃ 이하로 온도를 제어한다. 어떤 경우라도, 피처리체(W)에는 불순물로서 수분이 부착하지 않는 온도로 설정된다.
냉각 자켓(192)은 플라즈마 처리시 피처리체(W)를 냉각하기 위한 냉각수를 흘려 보낸다. 냉각 자켓(192)은, 예컨대, 열전도율이 좋고, 수분 통로(193)를 가공하기 쉬운 스테인레스강과 같은 재료가 선택된다. 수분 통로(193)는 예컨대 직사각형 형상의 냉각 자켓(192)을 종횡으로 연장하고, 나사 등의 밀봉부재(194)를 관통 구멍에 삽입함으로써 형성할 수 있다. 그러나, 냉각 자켓(192)의 형상은 직사각형 형상에 제한되지 않고, 냉각 자켓(192)과 통로 각각은 임의의 형상을 가질 수 있다. 냉각수 대신에 다른 종류의 냉매[알콜, 굴덴(gulden), 플레온 등]를 사용할 수도 있는 것은 물론이다. 온도 센서(196)는 PTC 써미스터(thermistor), 적외선 센서, 열전쌍 등 공지의 센서를 사용할 수 있다. 온도 센서(196)는 수분 통로(193)에 접속하거나 그렇치 않더라도 무방하다.
히터 장치(198)는, 예컨대 냉각 자켓(192)의 수분 통로(193)에 접속된 수도관의 주위에 감긴 히터 와이어 등으로 구성된다. 히터 와이어에 흐르는 전류의 크기를 제어함으로써 냉각 자켓(192)의 수분 통로(193)를 흐르는 냉각수의 온도를 조절할 수 있다. 냉각 자켓(192)은 열전도율이 높기 때문에, 수분 통로(193)를 흐르는 냉각수의 온도와 대략 동일한 온도로 제어될 수도 있다.
서셉터(104)는 처리실 내측에서 수직방향으로 이동가능하다. 서셉터(104)의 승강계는 승강부재, 벨로스, 및 승강 장치 등으로 구성된다. 이 승강계는 당해 기술분야의 공지된 어떠한 구조도 적용할 수 있다. 서셉터(104)는 홈위치(home position)와 프로세스 위치 사이를 승강한다. 플라즈마 처리 장치(100)가 작동 상태 또는 대기 상태에 있지 않은 경우, 서셉터(104)는 홈위치에 배치된다. 서셉터(104)는 클러스터 툴(300)로부터 게이트 밸브(101)를 거쳐서 피처리체(W)를 교환하는데, 그 반대여도 좋다. 홈위치 이외의 교환 위치는 게이트 밸브(101)와 연통하도록 형성될 수도 있다. 서셉터(104)의 승강 거리는 도시하지 않은 승강 장치의 제어 장치 또는 플라즈마 처리 장치(100)의 제어 장치에 의해서 제어할 수 있고, 처리실(102)에 제공된 뷰 포트(도시안함)를 통해서 서셉터는 관찰될 수 있다.
서셉터(104)는 리프터 핀 승강계(도시안함)에 접속된다. 리프터 핀 승강계는 승강부재, 벨로스, 승강 장치 등으로 구성된다. 이 승강계는 당업계에 공지된 어떠한 구조도 적용할 수 있다. 승강부재는 예컨대 알루미늄으로 구성되고, 정삼각형의 정상점으로부터 수직으로 연장하는 3개의 리프터 핀에 접속되어 있다. 리프터 핀은 서셉터(104) 내부를 관통하여 피처리체(W)를 지지하여 서셉터(104)상에서 승강시킬 수 있다. 피처리체(W)의 승강은 피처리체(W)를 클러스터 툴(300)에서 처리실(102)로 도입할 때 및 프로세스후의 피처리체(W)를 클러스터 툴(300)에 도출할 때에 실행된다. 승강 장치는 서셉터(104)가 소홈위치(예컨대, 홈위치)에 있을 때에만, 리프터 핀의 승강을 허용하도록 구성되어도 무방하다. 또한, 리프터 핀의 승강 거리는 도시하지 않은 승강 장치의 제어 장치 또는 플라즈마 처리 장치(100)의 제어 장치에 의해서 제어할 수 있고, 처리실(102)에 장착된 뷰 포트(도시안함)에서도 볼 수 있다.
서셉터(104)는 필요하면 배플판을 가지더라도 무방하다. 배플판은 서셉터(104)와 함께 수직하게 승강해도 무방하고, 또한 프로세스 위치에서 서셉터(104)와 결합하도록 구성되어도 무방하다. 배플판은 피처리체(W)가 존재하는 처리 공간과 그 하부의 배기공간을 분리한다. 배플판은 주로 처리 공간의 전위를 확보(즉, 마이크로파를 처리 공간에 확보)함과 동시에 사전결정된 진공도(예컨대, 50 mTorr)를 유지하는 기능을 갖는다. 배플판은 예컨대 순알루미늄제로 중공형 디스크형상을 갖는다. 배플판은 예컨대 두께 2㎜를 갖는 랜덤하게 배열된 다수의 관통 구멍을 갖는다. 각각의 관통 구멍은 각기 약 2㎜의 직경을 가지며, 배플판의 개구율은 50% 이상이다. 또한, 선택적으로 배플판은 메시 구조를 가지고 있어도 무방하다. 또한, 배플판은 배기 공간으로부터 처리 공간으로의 역류를 방지하거나, 처리공간과 배기공간의 차압을 생기게 하는 기능을 갖고 있어도 무방하다.
서셉터(104)는 바이어스용 고주파 전원(282 : bias radio frequency power supply)과 정합 박스(284 : matching box ; 정합 회로)가 접속되어 있고, 안테나 부재(120)와 함께 이온 도금(plating) 장치를 구성하고 있다. 바이어스용 고주파 전원(282)은 피처리체(W)에 음의 직류 바이어스(예컨대, 13.5㎒의 고주파)를 인가하고 있다. 정합 박스(284)는 처리실(102)내의 전극 부유 용량(a stray capacitance of an electrode), 전극 부유 인덕턴스(a stray inductance of an electrode) 등의 영향을 방지한다. 정합 박스(284)는, 예컨대 부하에 대하여 병렬 및 직렬로 배치된 가변 콘덴서를 이용한다. 결과적으로, 피처리체(W)를 향하여 이온이 그 바이어스 전압에 의해서 가속되어 이온에 의한 처리가 촉진된다. 이온 에너지는 바이어스 전압에 의해서 정해지고, 바이어스 전압은 고주파 전력에 의해서 제어할 수 있다. 전원(283)이 인가하는 주파수는 슬롯 전극(200)의 슬릿(210)에 따라 조절할 수 있다.
처리실(102) 내부는 고진공 펌프(106)에 의해서 소정의 감압으로 유지될 수 있다. 고진공 펌프(106)는 처리실(102)을 균일하게 배기하고, 플라즈마 밀도를 균일하게 유지하여, 부분적으로 플라즈마 밀도가 집중하여 부분적으로 피처리체(W)의 처리 깊이가 변화하는 것을 방지한다. 고진공 펌프(106)는 도 1에 있어서는 한개만 처리실(102) 바닥의 코너에 설치되어 있지만, 다수의 고진공 펌프가 처리실(102)에 설치될 수도 있고, 고진공 펌프(106)의 위치는 도 1에 도시된 위치에 제한되지 않는다. 고진공 펌프(106)는, 예컨대, 터보 분자 펌프(TMP)로 구성되고, 압력 조정 밸브(도시안함)를 거쳐서 처리실(102)에 접속되어 있다. 압력 조정 밸브는 소위 컨덕턴스 밸브, 게이트 밸브 또는 고진공 밸브 등의 명칭으로 당업계에 잘 알려져 있다. 압력 조정 밸브는 장치(100)가 사용되지 않을 때에는 폐쇄되고, 사용시에는 처리실(102)의 압력을 고진공 펌프(106)에 의해서 소정의 압력(예컨대, 0.1 내지 10mTorr)으로 유지하도록 개방된다.
또한, 도 1에 도시하는 바와 같이, 본 실시예에 의하면, 고진공 펌프(106)는 처리실(102)에 직접 접속되어 있다. 여기서, "직접 접속"이란 고진공 펌프(106)와처리실(102) 사이에 접속 부재 없이 처리실에 접속된다는 의미이다. 그러나, 압력 조정 밸브가 고진공 펌프(106)와 처리실(102) 사이에 제공될 수 있다.
처리실(102)의 측벽에는 석영 파이프제 가스 공급 링(140, 170)이 설치된다. 가스 공급 링(140)는 반응 가스 공급계(130)에 접속된다. 가스 공급 링(170)은 방전 가스 공급계(170)에 접속된다. 가스 공급계(130)는 가스 공급원(131)과, 밸브(132)와, 질량 흐름 콘트롤러(134)와, 이들을 접속하는 가스 공급로(136)를 갖고 있다. 마찬가지로, 가스 공급계(140)는 가스 공급원(161)과, 밸브(162)와, 질량 흐름 콘트롤러(164)와, 이들을 접속하는 가스 공급로(166)를 갖고 있다.
예컨대, 질화 실리콘막을 퇴적하는 경우에는 가스 공급원(131)은 NH3나 SiH4가스 등의 반응 가스(또는 재료 가스)를 공급하고, 가스 공급원은 네온, 크세논, 아르곤, 헬륨, 라돈, 크립톤 중 어느 하나에 N2와 H2를 가함으로써 형성된 혼합 가스와 같은 방전 가스를 공급한다. 그러나, 처리실(102)에 공급된 가스는 이들에 한정되지 않고, Cl2, HCl, HF, BF3, SiF3, GeH3, AsH3, PH3, C2H2, C3H8, SF6, Cl2, CCl2F2, CF4, H2S, CCl4, BCl3, PCl3 및 SiCl4CO 등 널리 공급할 수 있다.
가스 공급계(160)는 가스 공급원(131, 161)에 의해서 공급된 혼합 가스를 공급할 수 있는 가스 공급원으로 가스 공급원(131)을 대체함으로써 생략할 수 있다. 밸브(132, 162)는 피처리체(W)의 플라즈마 처리 기간중 개방되고, 플라즈마 처리 이외의 기간에 폐쇄되도록 제어된다.
질량 흐름 콘트롤러(134, 164)는 가스의 유량을 제어하며, 브릿지 회로, 증폭 회로, 비교기 회로 및 유량 조절 밸브 등을 포함한다. 즉, 각각의 질량 흐름 콘트롤러(134, 164)는 가스의 흐름에 따른 상류에서 하류로의 열 이동을 검출함으로써 유량 측정하여 유량 조절 밸브를 제어한다. 그러나, 질량 흐름 콘트롤러(134, 164)에는 전술한 구조 이외에 당업계에서 잘 알려진 어떠한 구조도 적용할 수 있다.
가스 공급로(136, 166)는 각기 이음매 없는 관을 사용하거나, 접속부에 슬리브 삽입식 관이음이나 금속 개스킷 커플링을 사용하여 공급 가스로의 배관으로부터의 불순물의 혼입을 방지하고 있다. 또한, 배관 내부의 오염이나 부식으로 인한 미립자의 발생을 방지하기 위해서, 가스 공급로(136, 166)는 PTFE(테플론), PFA, 폴리이미드, PBI와 같은 절연 재료에 의해서 피복될 수도 있다. 또한, 가스 공급로(136, 166)를 형성하는 배관의 내면이 전해 연마 처리가 되어도 무방하다. 또한, 가스 공급로(136, 137)에 먼지 이물질 포착 필터를 설치할 수도 있다.
도 6a는 가스 공급 링(140)의 평면도이고, 도 6b는 도 6a의 Ⅵ-Ⅵ선을 따라 취한 단면도이다. 도 6a 및 도 6b에 도시하는 바와 같이, 가스 공급 링(140)은 석영으로 이루어지는 링형상의 하우징 또는 본체부(146)와, 가스 공급로(136)에 접속된 도입구(141)와, 도입구(141)에 접속된 환상 가스 통로(142)와, 가스 통로(142)에 접속된 복수의 가스 공급 노즐(143)과, 가스 통로(142) 및 가스 배출로(138)에 접속된 배출구(144)와, 처리실(102)의 측벽에 고정되고 석영제로 된 노즐부(145)를 포함한다.
균일하게 원주방향으로 배치된 가스 공급 노즐(143)은 처리실(102)내에 가스의 균일한 흐름을 형성하는 것에 기여하고 있다. 물론, 본 발명의 가스 도입 수단은 이것에 한정되지 않고, 가스 공급 링(140), 반경류(radial flow) 방식 또는 샤워헤드 방식이 후술하는 바와 같이 적용할 수도 있다.
가스 공급 링(140) 내측의 가스는 가스 배출로(138)에 접속된 배출구(144)에서 배기 가능하다. 가스 공급 노즐은 각각 0.l㎜ 정도의 구경밖에 갖지 않기 때문에, 가스 공급 노즐을 거쳐서 처리실(102)에 접속된 고진공 펌프(106)로 배기하더라도 가스 공급 링(140) 내부에 잔류할 수 있는 수분을 효과적으로 제거할 수 없다. 이 때문에, 본 실시예의 가스 공급 링(140)은 가스 공급 노즐(143)보다 구경이 큰 배출구(144)를 거쳐서 통로(142) 및 가스 공급 노즐(143)내의 수분 등의 잔류물을 효과적으로 제거하는 것을 가능하게 하고 있다.
또한, 가스 공급 노즐(173)도 가스 공급 노즐(143)과 같이, 가스 공급 링(170)에 설치되어 있고, 가스 공급 링(170)은 가스 공급 링(140)과 동일한 구성을 갖고 있다. 따라서, 가스 공급 링(170)은 도입구(171)와, 환상 가스 통로(172)와, 복수의 가스 공급 노즐(173)과, 배출구(174)와, 노즐부(175)를 갖는다. 가스 공급 링(140)과 같이, 본 실시예의 가스 공급 링(170) 내측의 가스는 가스 배출로(168)에 접속된 배출구(174)를 통해서 배기 가능하다. 가스 공급 노즐도 각기 약 0.l㎜ 정도의 구경밖에 갖지 않기 때문에, 가스 공급 노즐을 거쳐서 처리실(102)에 접속된 고진공 펌프(106)로 배기하더라도 가스 공급 링(170) 내부에 잔류할 수 있는 수분을 효과적으로 제거할 수 없다. 이 때문에, 본 실시예의 가스 공급 링(170)은 가스 공급 노즐(173)보다 구경이 큰 배출구(174)를 거쳐서 환상 가스 통로(172) 및 가스 공급 노즐(173)내의 수분 등의 잔류물을 효과적으로 제거하는 것을 가능하게 하고 있다.
본 실시예에 있어서, 진공 펌프(152)는 가스 공급 링(140)의 배출구(144)에 접속되어 있는 가스 배출로(138)에 압력 조정 밸브(151)를 거쳐서 접속되어 있다. 마찬가지로, 진공 펌프(154)는 가스 공급 링(170)의 배출구(174)에 접속되어 있는 가스 배출로(168)에 압력 조정 밸브(153)를 거쳐서 접속되어 있다. 진공 펌프(152, 154) 각각에는 예컨대 터보 분자 펌프, 스퍼터 이온 펌프, 게터 펌프(getter pump), 흡수 펌프(sorption pump) 및 크라이오 펌프(cryopump) 등을 사용할 수 있다.
압력 조정 밸브(151, 153)는 각각의 밸브(132, 162)의 개방시에 폐쇄되고, 각각의 밸브(132, 162)의 폐쇄시에 개방된다. 이 결과, 밸브(132, 162)가 개방됨으로써 플라즈마 처리시에는 진공 펌프(152, 154)는 반응성 가스와 배출 가스가 처리실(102)에 도입되도록 압력 조정 밸브(151, 153)가 폐쇄되어 각각의 가스 공급 시스템으로부터 탈착되어 있다. 한편, 진공 펌프(152, 154)는 플라즈마 처리의 종료후, 압력 조정 밸브(151, 153)가 개방되는 동안, 가스 공급계에 접속되어 있다. 즉, 진공 펌프(152, 154)는 플라즈마 처리의 종료후, 플라즈마 처리 이외의 기간에 있어서는 각각의 가스 공급 링(140, 170)으로부터 배기될 수 있다. 상세하게는, 진공 펌프(152, 154)는 피처리체(W)를 처리실로 이송하거나 처리실로부터 취출하는 동안 또는 서셉터(104)를 이동시키는 동안에 작동될 수 있다. 따라서, 진공 펌프(152, 154)는 가스 공급 링(140, 170)을 각각 잔류 가스의 영향을 받지 않는 진공도까지 배기한다. 이 결과, 가스 공급 노즐(143, 173)은 가스 공급 링에 잔류하는 수분과 같은 불순물에 의해서 막히는 것을 방지할 수 있어서 가스 공급 링(140, 170)으로부터의 불균일한 가스 도입을 방지할 수 있다. 따라서, 피처리체(W)는 가스 공급 링(140, 170)으로부터 배출된 불순물에 의해서 오염이 방지된다. 그 결과, 진공 펌프(152, 154)는 피처리체(W)에 고품질 플라즈마 처리가 수행될 수 있게 한다.
본 실시예에 있어서, 마이크로파는 마이크로파 제너레이터(110)에 의해서 발생된다. 마이크로파 제너레이터(110)는, 예컨대 마그네트론으로 이루어지고, 보통 2.45㎓의 마이크로파(예컨대, 5㎾)를 발생할 수 있다. 마이크로파 제너레이터(110)로부터 발생한 마이크로파는 그 후 모드 변환기(112)에 의해서 전송형태가 TM, TE 또는 TEM 모드 등으로 변환된다. 또한, 도 1에서는 마이크로파 제너레이터(110)로 되돌아가는 반사파를 흡수하는 아이솔레이터와, 부하측과의 정합을 취하기 위한 스텁튜너(stub tuner)는 생략되어 있다.
안테나 부재(120)는 온도 조절판(122)과, 안테나 수납부재(123)와, 유전판(230)을 갖고 있다. 온도 조절판(122)은 온도 제어 장치(121)에 접속되어 있다. 안테나 수납부재(123)는 저속파 부재(124 ; slow-wave member)와, 저속파 부재(124)에 접촉하는 슬롯 전극(200)을 수납하고 있다. 또한, 슬롯 전극(200)의 하부에는 유전판(230)이 배치되어 있다. 안테나 수납부재(123)로는 열전도율이 높은 재료(예컨대, 스테인레스)가 사용되고 있다. 안테나 수납부재(123)의 온도는 온도 조절판(122)의 온도와 거의 같은 온도로 설정될 수 있다.
저속파 부재(124)는 그를 통해 전파되는 마이크로파의 파장을 짧게 하기 위해서 소정의 유전율을 갖는 재료로 이루어진다. 처리실(102)에 도입되는 플라즈마 밀도를 균일하게 하기 위해서, 슬롯 전극(200)에 많은 슬릿을 형성해야 한다. 따라서, 저속파 부재(124)는 슬롯 전극(200)에 많은 슬릿을 형성하는 것을 가능하게 하는 기능을 갖는다. 저속파 부재(124)로서는, 예컨대 SiN, AlN을 사용할 수 있다. 예컨대, AlN은 비유전율(εt)이 약 9이면, 파장 단축율 n=1/(εt)1/2=0.33이다. 이것에 의해서, 저속파 부재(124)를 통과한 마이크로파의 투과율은 원래 투과율의 0.33배가 되고, 파장도 원래 파장의 0.33배가 된다. 따라서, 슬롯 전극(200)의 슬릿(210) 간격을 짧게 할 수 있으므로, 보다 많은 슬릿(210)이 슬롯 전극(200)에 형성되게 할 수 있다. 슬롯 전극(200)은 예컨대 약 직경 50㎝, 두께 1㎜ 이하의 원통형상 동판으로 구성된다. 슬롯 전극(200)은 저속파 부재(124)에 나사 고정되어 있다.
슬롯 전극(200)은 당업계에서는 사선(radial line) 슬롯 안테나(RLSA) 또는 초고능률 평면안테나(ultra high efficiency flat antenna)라고 불리는 경우도 있다. 단, 본 발명은 그 외의 형식의 안테나(일층 구조의 도파관 평면안테나, 유전체 기판 평행평판 슬롯 어레이 등)의 적용을 배제하는 것이 아니다.
도 7, 도 8, 도 9 및 도 10은 도 1에 도시된 슬롯 안테나(200)의 예시적인 평면도이다. 이 후에, 참조 번호(200)은 첨자가 붙은 모든 참조 번호(200a, 200b, 200c, 200d)중 임의의 하나가 도 1에 도시된 플라즈마 처리 장치(100)에 사용될 수 있다.
슬롯 전극(200)은 대략 T 자형상으로 약간 이격된 한쌍의 슬릿(212, 214)으로 구성된 복수개의 T 자형상 슬릿(210)을 가진다. 이 T 자형상 슬릿(210)은 일 대 일로 슬롯 전극(200)의 표면을 수직하게 분할함으로써 규정된 복수개의 영역 또는 부분으로 배열된다. 도 7에 도시하는 슬롯 전극(200a)에서 각각의 수직하게 분할된 영역은 6각형태를 갖는다. 도 8, 도 9 및 도 10에 도시하는 슬롯 전극(200b, 200c, 200d)에서, 각각의 수직하게 분할된 영역은 4각형태를 갖는다. 도 10에 도시하는 슬롯 전극(200d)의 T 자형상 슬릿(210)은 각기 T 자형상 슬릿(210)의 치수와 배치에 있어서 서로 다르다. 또한, 슬릿 전극(211d, 214d)에 의해서 형성된 실제 형상은 V자형을 갖는다.
T 자형상 슬릿(210)은 슬롯 전극(200)의 표면에 배열되어 T 자형상 슬릿(210)의 밀도가 전극(200)의 전체 표면에 걸쳐서 거의 균일하게 한다. 이것은 유전판(230)을 이루는 물질이 유리하여 반응 가스에 불순물로서 혼입하는 것을 방지한다. 슬롯 전극(200)은 유전판(230)에 대략 균일한 이온 에너지의 분포를 가져오기 때문에, 유전판(230)의 유리를 방지하여 고품질인 플라즈마 처리를 달성할 수 있다.
전술한 바와 같이, 각각의 T 자형상 슬릿(210)은 사전결정된 거리를 갖는 한쌍의 슬릿(212, 214)을 포함한다. 보다 상세하게는, 각 슬릿(212, 214)의 길이(L1)는 마이크로파의 파장(λ0)의 약 1/2에서 자유 공간 파장의 약 2.5배의 범위내로 설정된다. 각 슬릿(212, 214)의 폭은 1㎜ 정도이다. 방사 방향으로 인접한 2쌍의 슬릿 사이의 간격(L2)은 파장(λ0)과 대략 동일한 길이이다. 즉, 각각의 슬릿(212, 214)의 길이(L1)는 다음 식으로 나타내여지는 범위를 만족한다.
0 x 1/√ εt ) ≤ L1 ≤(λ0 x 2.5)
이와 같이 각 슬릿(212, 214)을 형성함으로써, 처리실(102)에 균일한 마이크로파의 분포를 형성할 수 있게 된다.
각 슬릿(214, 214)은 슬롯 전극(200)의 중심과 접속하는 사선과 슬릿(212, 214)의 종축들간의 교차점에 대해서 경사져 있다. T 자형상 슬릿(210)은 슬롯 전극(200)의 중심에서 멀어질수록 그 형상은 커지게 설정되어 있다. 예컨대, 중심에서의 거리가 2배가 되면, 슬릿(212, 214)의 크기는 1.2배 내지 2배로 설정된다.
또한, 슬릿(210)의 형상이나 배치는 슬릿 밀도를 슬롯 전극(200)의 전체 표면에서 균일하게 할 수 있는 한, 도 7, 도 8, 도 9 및 도 10에 도시된 것에 제한되지 않는다. 즉, 슬릿(212, 214) 쌍의 구성은 전술한 형상에 제한되지 않고, 예컨데 L 자형상 슬릿이 슬롯 전극(200)으로 이용될 수도 있다. 또한, 수직하게 분할된 각 영역의 형상도 6각형 또는 4각형에 제한되지 않고, 3각형 등 임의의 형상을 채용할 수 있다. 따라서, 수직하게 분할된 영역은 그들의 크기 및 형상이 다를 수도 있다. 또한, 슬릿(210)은 슬릿 밀도가 일정하지 않더라도 동심원 또는 소용돌이 형상으로 다수 배열될 수도 있다.
슬롯 전극(200)의 둘레부를 향해 마이크로파가 방사되지 않게 하기 위해서, 슬롯 전극(200)의 둘레부에는 이것에 따라 폭 수㎜ 정도의 방사 소자가 형성되어도 무방하다. 이 방사 소자는 슬롯 전극(200)의 안테나 효율을 증가시키기 위해서 제공된다.
온도 조절판(122)는 안테나 수납부재(123) 및 이 근방의 구성요소의 온도변화가 소정의 범위가 되도록 제어하는 기능을 갖는다. 온도 센서와 히터 장치(둘다 도시안함)를 온도 조절판(122)에 접속한다. 온도 제어 장치(121)는 온도 조절판(122)에 냉각수나 냉매(알콜, 굴덴, 플레온 등)를 도입함으로써 온도 조절판(122)의 온도를 소정의 온도로 제어한다. 온도 조절판(122)은 예컨대 스테인레스 등 열전도율이 좋고, 냉각수 등이 흐르는 통로를 내부에 가공하기 쉬운 재료로 제조된다.
온도 조절판(122)은 안테나 수납부재(123)에 접촉하고, 안테나 수납부재(123)와 저속파 부재(124)는 각각 열전도율이 높다. 이 결과, 온도 조절판(122)의 온도를 단순히 제어함으로써, 저속파 부재(124)와 슬롯 전극(200) 각각의 온도를 제어할 수 있다. 저속파 부재(124)와 슬롯 전극(200) 각각의 온도는 마이크로파 제너레이터(110)의 마이크로파가 장시간 공급될 때 에너지 흡수로 인하여저속파 부재(124)와 슬롯 전극(200)에서의 전력 손실로 전극 자체의 온도가 상승한다. 이 결과, 저속파 부재(124)와 슬롯 전극(200)이 열팽창하여 변형한다.
예컨대, 슬롯 전극(200)이 열적으로 변형하면, 슬릿 길이가 변화되어 처리실(102)내의 전체의 플라즈마 밀도가 저하하거나 부분적으로 플라즈마 밀도가 집중하거나 한다. 전체의 플라즈마 밀도가 저하하면, 에칭율 또는 성막율과 같은 플라즈마 처리 속도가 변화될 수도 있다. 그 결과, 플라즈마 처리를 시간적으로 관리하여 소정 시간(예컨대, 2분)을 경과한 후 소망하는 처리 깊이(에칭 깊이나 성막 깊이)가 얻어지지 않는 경우, 예컨데 피처리체(W)가 소정 시간(예컨대, 2분) 처리된 후 처리실(102)로부터 제거된 경우가 있을 수도 있다. 또한, 처리실(102)에서 부분적으로 플라즈마 밀도가 집중하면, 반도체에 가해진 플라즈마 처리 크기가 변화해 버린다. 전술한 바와 같이, 슬롯 전극(200)이 온도 변화에 의해서 변형하면 플라즈마 처리의 고품질이 저하한다.
또한, 온도 조절판(122)이 없으면, 저속파 부재(124)와 슬롯 전극(200)의 재질이 다르고, 또한 양자는 나사 고정되어 있으므로, 슬롯 전극(200)이 뒤집히게 된다. 이 경우도 마찬가지로 플라즈마 처리의 품질이 저하하는 것이 이해될 것이다.
유전판(230)은 처리실(102)의 상부 개구를 덮도록 슬롯 전극(200)과 처리실(102) 사이에 배치되어 있다. 슬롯 전극(200)과 유전판(230)은, 예컨대 땜납에 의해서 단단하게 면접합된다. 대체적으로, 슬롯 전극(200)은 유전판(230)의 표면에 도포된 동판으로 형성될 수 있다.
또한, 온도 조절판(122)의 기능을 유전판(230)에 갖게 하더라도 무방하다. 즉, 유전판(230)의 온도는 유전판(230)의 측부 주변에 통로를 갖는 온도 조절판을 일체적으로 장착함으로써 제어할 수 있다. 유전판(230)의 온도 제어에 의해서, 저속파 부재(124)와 슬롯 전극(200)의 온도를 제어할 수 있다. 유전판(230)은 O 링을 사이에 배치하여 처리실(102)에 고정되어 있다. 따라서, O 링의 온도를 제어함으로써 유전판(230), 그리고 이 결과, 저속파 부재(124)와 슬롯 전극(200)의 온도를 제어할 수 있다.
유전판(230)은 질화알루미늄(AlN)과 같은 유전 재료로 이루어진다. 유전판(230)은 처리실(102)의 감압에 의해서 슬롯 전극(200)이 변형되지 않게 한다. 또한, 유전판(230)은 슬롯 전극(200)이 처리실(102) 내측의 분위기에 노출되어 처리실(102)이 동에 의해서 오염을 되는 것을 방지하고 있다. 필요하다면, 유전판(230)을 열전도율이 낮은 재질로 구성함으로써, 슬롯 전극(200)이 처리실(102)의 온도에 의해서 영향을 받는 것을 방지하더라도 무방하다.
본 실시예에서, 유전판(230)의 두께는 유전판(230)내의 마이크로파의 파장의 0.5배보다 크고 0.75배보다 작다. 바람직하게는 유전판(230)내의 마이크로파의 파장의 약 0.6배에서 약 0.7배의 범위로 설정되어 있다. 2.45㎓의 마이크로파는 진공속에서 약 122.5㎜의 파장을 갖는다. 유전판(230)이 질화알루미늄(AlN)으로 구성되면, 상술한 바와 같이, 비유전율(εt)이 약 9이므로 파장 단축율(n)은 0.33이 된다. 따라서, 유전판(230)내의 마이크로파의 파장은 약 40.8㎜로 된다. 따라서, 유전판(230)이 AlN으로 구성되면, 유전판(230)의 두께는 약 20.4㎜보다 크고 약 30.6㎜보다 작으며, 보다 바람직하게는 약 24.5㎜ 내지 약 28.6㎜의 범위로 설정된다. 일반적으로는 유전판(230)의 두께(H)는 0.5λ< H < 0.75λ를 만족시키고, 보다 바람직하게는 0.6λ≤H ≤0.7λ을 만족시킨다. 여기서, 유전판(230)내의 마이크로파의 파장(λ)은 진공중의 마이크로파의 파장(λO)과 파장 단축율 n=1/(εt)1/2을 이용하여, λ=λOn을 만족시킨다.
유전판(230)의 두께가 유전판(230)내의 마이크로파의 파장의 0.5배인 경우에는 정재파가 유전판(230)의 표면으로의 전진파와 이면에서 반사된 후퇴파의 합성파로서 발생된다. 이에 의해서, 반사가 최대로 되어 도 11에 도시하는 바와 같이 마이크로파의 처리실(102)로의 투과 전력이 최저가 된다. 따라서, 이 경우에는 플라즈마의 생성이 불충분하게 되어, 소망하는 처리 속도를 얻을 수 없게 된다.
한편, 유전판(230)의 두께가 유전판(230)내의 마이크로파 파장의 0.75배인 경우에는 마이크로파의 투과 전력은 최대가 되지만 플라즈마중의 이온 에너지도 최대가 된다. 본 발명자들은 마이크로파의 투과로 인한 플라즈마중의 이온 에너지는 도 12에 도시된 바와 같이 유전판(230)의 재료를 유리시킨다는 것을 발견했다. 여기서, 도 12는 유전판(230)의 두께와 유전판(230)에서의 유리량(스퍼터율)의 관계를 도시하는 그래프이다. 유전판(230)의 재료가 유리되면, 불순물로서 피처리체(W)도입되어 고품질의 플라즈마 처리를 저해한다.
따라서, 본 발명에서는 도 13에 도시된 바와 같이, 유전판(230)의 두께(H)를 0.3λ 내지 0.4λ(0.3λ≤ H ≤0.4λ) 또는 0.6λ 내지 0.7λ(0.6λ≤H ≤0.7λ)로 설정한다. 유전판(230)의 두께(H)가 증가할지라도, 유전판(230)의 두께(H)는 0.8λ 내지 0.9λ(0.8λ≤ H ≤0.9λ) 또는 1.1λ 내지 1.2λ(1.1λ≤H ≤1.2λ)로 설정된다. 보다 일반적으로, 유전판(230)의 두께(H)는 (0.1+0.5N)λ 내지 (0.2+0.5N)λ 또는 (0.3+0.5N)λ 내지 (0.4+0.5N)λ로 설정되며, N은 정수이다. 바꾸어 말하면, 유전판(230)의 두께(H)는 (0.1+0.5N)λ ≤H ≤(0.2+0.5N)λ또는 (0.3+0.5N)λ ≤H ≤(0.4+0.5N)λ을 만족시킨다. 또한, 유전판(230) 재료의 기계적 강도를 고려하여 유전판(230)의 두께(H)를 0.6λ 내지 0.7λ의 범위로 채용하는 것이 바람직하다. 그러나, 예컨대, 유전판(230)이 석영(비유전율3.8)에 의해서 형성되는 경우, 0.3λ 내지 0.4λ 또는 0.1λ 내지 0.2λ범위가 사용될 수도 있다. 또한, 상술한 일반식은 마이크로파에 한정되지 않고, 널리 플라즈마 생성에 사용되는 그 밖의 파에도 적용할 수 있다.
가스 공급계(130, 160)는 각기 노즐(143, 173)로부터 반응 가스와 방전 가스를 공급하도록 배열되기 때문에, 가스가 피처리체(W)의 상면을 가로지를 수도 있다. 또한 노즐(143, 173)을 서셉터(104)의 중심에 대한 점 대칭 위치에 설치하더라도, 균일한 플라즈마 밀도를 달성할 수 없다. 이것을 해결하기 위해서, 서셉터(104)의 상방에 유리제 샤워헤드 구조를 설치하는 것이 고려된다. 이하, 이러한 샤워헤드 구조에 대하여 도 14를 참조하여 설명한다. 도 14는 가스 공급계를 갖는 샤워헤드의 단면도를 도시한다.
도 14에 도시하는 샤워헤드는 유전판(240)과 샤워판(250)을 갖고 있다. 또한, 유전판(240)과 샤워판(250)을 하나의 유전체로 서로 일체가 되게 형성할 수도 있다. 유전판(240)은 30㎜ 두께의 질화알루미늄(AlN)으로 구성된다. 샤워판(250)은 유전판(240)의 하부면에 장착된다. 유전판(240)은 도입구(241)와, 가스 통로(242)와, 배출구(244)를 갖는다.
가스 공급계(130)의 공급로(136)가 유전판(240)의 도입구에 접속되어 있다. 유전판(240)의 배출구(144)에는 가스 배출로(138)가 접속되어 있다. 도 14에 도시하는 유전판(240)은 가스 공급계(130)에만 적용되어 있지만, 가스 공급계(130, 160)에 의해서 공급된 가스 혼합물이 유전판(240)의 도입구(141)에 공급될 수도 있다. 복수개의 도입구(141)가 도입구(241)를 통해 공급되는 가스가 샤워헤드를 통해서 처리실에 균일하게 도입되도록 유전판(241)에 설치될 수도 있다. 또한, 도입구(241)의 일부분은 가스 공급로(136)에 접속될 수도 있으며, 도입구(241)의 나머지는 가스 공급로(166)에 접속될 수도 있다.
대체적으로, 가스 공급계(160)는 처리실의 측부에 도 1과 같이 접속되어도 무방하다. 왜냐하면, 아르곤 등의 방전 가스는 실란이나 메탄 등의 반응 가스와 비교하여 분해하기 어려우므로 방전 가스가 처리실(102)의 측부에서 처리실(102)에 도입하더라도 불균일한 플라즈마 밀도를 가져오지 않기 때문이다.
도 14에 도시된 바와 같이, 유전판(240)의 유출구(144)는 압력 조정 밸브(151)를 거쳐서 진공 펌프(152)에 접속되는 가스 배출로(138)에 접속된다. 진공 펌프(151)의 기능은 전술한 바와 동일하므로 설명은 생략한다.
다음에, 도 14에 도시하는 샤워판(250)의 구조를 도 15를 참조하여 설명한다. 여기서, 도 15는 샤워판(250)에 형성된 노즐(253)중 하나를 갖는 샤워판(250)부분의 확대 단면도이다. 도 15에 도시하는 바와 같이, 유전판(240)은 샤워판(250)의 노즐(253)에 대응하는 위치에 오목부(246)를 갖는다.
샤워판(250)은 약 6㎜ 두께의 질화알루미늄(AlN)으로 구성된다. 샤워판(250)은 소정의 균일한 배치로 다수의 노즐(253)을 형성하고 있다. 도 15에 도시하는 바와 같이, 각 노즐(253)에는 분사 부재(260)가 장착되어 있다. 분사 부재(260)는 나사(262, 264)와 너트(266)로 구성되어 있다.
나사 헤드(262)는 약 2㎜의 높이를 갖는다. 그 내부에는 한 쌍의 분사 통로(269)가 형성되어 있다. 각각의 분사 통로(269)는 샤워판(250)의 하면(256)에 대하여 45도의 각도로 나사 헤드(262)의 중심으로부터 연장되어 있다. 각 분사 통로(269)의 단부는 약 0.1㎜ 직경을 갖는다. 분사 통로(269)는 반응 가스가 균일하게 분사되도록 경사져 있다. 본 발명자들의 실험에 의하면, 샤워판(250)의 하면(256)에 대하여 수직하게 연장된 하나의 분사 통로가 처리실(102)내에서 균일한 분사를 성공리에 달성하지 못함을 알 수 있다. 또한, 분사 통로(269)는 반응 가스의 균일한 분포를 달성하도록 경사지는 것이 바람직하다는 것을 알 수 있다.
나사부(264)에 형성된 노즐 통로(268)는 약 1㎜ 직경을 갖고, 나사부(264)의 종방향으로 연장된다. 노즐 통로(268)의 단부는 유전판(240)과 샤워판(250) 사이에 형성된 공간부(242)에 대해 개방되어 있다. 나사부(264)는 샤워판(250)에 형성된 관통구로 삽입되며, 나사는 너트(266)에 의해서 샤워판(250)에 체결되어 나사 부(264)의 단부와 결합한다. 너트(266)는 샤워판(250)에 대면하는 유전판(240)의 표면에 형성된 오목부(246)에 수용된다.
공간부(242)는 플라즈마 발생을 억제하도록 제공된다. 플라즈마의 발생을 억제하는 데 필요한 공간부(242)의 두께는 반응 가스의 압력에 따라 변화된다. 즉, 예컨대 압력 10Torr 하에서는 공간부(242)의 두께는 약 0.5㎜로 설정된다. 또한, 이 경우, 샤워판(250) 아래의 처리공간은 약 50mTorr로 설정된다. 이와 같이 처리실(102)의 분위기와 반응 가스 사이의 압력차를 조절함으로써 반응 가스를 소정의 속도로 처리실(102)로 도입하고 있다.
본 실시예의 샤워판(250)에 의하면, 반응 가스는 처리 공간에 도달하기 전에 플라즈마를 발생시키지 않고 처리실(102)의 처리공간에 균일하게 도입 및 분포된다. 반응 가스의 유량은 공간부(242)와 처리실(102)의 처리공간 사이의 압력차, 분사 통로(269)의 수, 각도, 크기 등에 의해 제어될 수 있다.
분사 부재(260)는 샤워판(250)의 일부 또는 전체에 일체로 형성될 수도 있으며, 임의의 형상을 가져도 무방하다. 예컨대, 분사 부재(260)는 도 16, 17 및 도 18에 도시된 분사 부재로 대체될 수도 있다. 도 16은 하나의 노즐 개구(354a)가 형성된 노즐 통로(352a)가 구비된 분사 부재(350a)의 확대 단면도이다. 도 17은 2개의 노즐 개구(354b)가 형성된 노즐 통로(352b)가 구비된 분사 부재(350b)의 확대 단면도이다. 도 18은 3개의 노즐 개구(354c)가 형성된 노즐 통로(352c)가 구비된 분사 부재(350c)의 확대 단면도이다.
도 19를 참조하여 도 1에 도시한 플라즈마 처리 장치(100)에 접속될 수 있는 클러스터 툴에 관해서 설명할 것이다. 도 19는 도 1에 도시한 마이크로파 플라즈마 처리 장치(100)에 접속가능한 클러스터 툴(300)의 단면도를 도시한다. 전술한 바와 같이, 피처리체(W)의 온도는 서셉터(104)에 의해서 제어될 수 있다. 그러나, CVD 처리에 있어서, 서셉터(104)에 의해서 피처리체(W)의 온도를 실온에서 약 250℃ 내지 350℃까지 상승시키는데에는 상당한 시간이 걸린다. 이러한 문제를 해결하기 위해서, 클러스터 툴(300)로 피처리체(W)를 마이크로파 플라즈마 처리 장치(100)의 처리실(102)에 제공하기 전에 가열시킨다. 마찬가지로, 서셉터(104)에 의해서 피처리체(W)의 온도를 약 250℃ 내지 350℃로부터 실온으로 하강시키는데에는 상당한 시간이 걸린다. 이러한 문제를 해결하기 위해서, 클러스터 툴(300)로 피처리체(W)를 마이크로파 플라즈마 처리 장치(100)의 처리실(102)로부터 취출한 후 또 다른 처리를 시작하기 전에 냉각시킨다.
도 19에 간략적으로 도시하는 바와 같이, 본 발명의 클러스터 툴(300)은 피처리체(W)를 보유 및 이송하는 반송부(320)와, 피처리체(W)를 가열하는 예비 가열부(340)와, 피처리체(W)를 냉각하는 예비 냉각부(360)와, 로드록(L/L)실(380)을 포함한다. 또한, 도 19에서는 2개의 처리실(102A, 102B)을 도시한다. 각각의 처리실(102A, 102B)은 도 1에 도시한 마이크로파 플라즈마 처리 장치(100)의 처리실(102)일 수 있다. 클러스터 툴(300)에 제공된 처리실의 수는 2개로 제한되지 않는다.
반송부(320)는 피처리체(W)를 지지하는 반송아암과, 반송아암을 회전시키는 회전기구를 포함하고 있다. 예비 가열부(340)는 히터를 가져 피처리체(W)가 어느 하나의 처리실(102A 또는 102B)에 도입되기 전에, 처리 온도 부근까지 이것을 가열한다. 또한, 예비 냉각부(340)는 냉매에 의해 냉각되어 있는 냉각실을 가져 처리실(102A 또는 102B)로부터 취출하는 피처리체(W)를 다음 단계의 장치[이온 주입 장치나 에칭 장치(etcher) 등]에 반송하기 전에 상온까지 냉각한다. 또한, 바람직하게는 클러스터 툴(300)은 회전각 검출센서와, 온도 센서와, 적어도 하나의 제어부와, 제어 프로그램을 저장하고 있는 메모리를 포함하여 반송부(320)의 반송아암 제어, 예비 가열부(340) 및 예비 냉각부(360)의 각각의 온도 제어를 실행한다. 이러한 센서, 제어부 및 제어 프로그램은 당업계에 공지된 어떠한 것도 적용할 수 있으므로, 여기에서는 자세한 설명은 생략한다. 또한, 반송부(320)의 반송아암은 처리실(102A 또는 102B)에 피처리체(W)를 게이트 밸브(101)를 거쳐서 도입한다.
다음에, 도 1에 도시한 마이크로파 플라즈마 처리 장치(100)의 동작에 대하여 설명한다. 우선, 도 19에 도시하는 반송부(320)의 반송아암이 피처리체(W)를 처리실(102)에 배치한다[도 19에서, 처리실(102A 또는 102B)중 어느 하나가 처리실(102)에 대응한다]. 처리실(102)에서, 피처리체(W)에 플라즈마 CVD 처리를 실시한다고 하면, 클러스터 툴(300)의 제어부(도시안함)가 피처리체(W)를 처리실(102)에 도입하기 전에 피처리체(W)를 약 300℃ 부근까지 가열하기 위해서, 피처리체(W)를 예비 가열부(340)에 반송하도록 반송부(320)에 명령한다.
예컨대, 클러스터 툴(300)은 처리실(102A)에서 실리콘 기판에 실리콘 산화막을 플라즈마 처리로 형성한다. 그 후, 클러스터 툴(300)은 실리콘 기판을 처리실(102B)로 이송하여 처리실(102B)에 질소를 도입함으로써 실리콘 산화막을 플라즈마 처리하여 실리콘 질화막을 형성할 수 있다. 실리콘 산화막을 형성하도록 처리실(102A)로 도입된 반응 가스는 전형적으로는 SiH4-N2O이다. 그러나, SiH4 대신에 TEOS(tetraethylorthosilicate), TMCTS(tetramethylcyc1otetrasiloxane), DADBS(diacetoxyditertiarybutoxysilane) 등을 이용하더라도 무방하다. 처리실(102B)로 도입된 반응 가스는 전형적으로는 SiH4-NH3이다. 그러나, SiH4 대신에, Si2F6, NF3, SiF4 등도 사용할 수도 있다.
이것에 응답하여, 반송부(320)는 예비 가열부(340)에 피처리체(W)를 도입하여 가열한다. 클러스터 툴(300)의 온도 센서(도시안함)가 피처리체(W)의 온도가 300℃ 부근까지 과열된 것을 검출하면, 이러한 검출 결과에 응답하여 클러스터 툴(300)의 제어부는 반송부(320)에 피처리체(W)를 예비 가열부(340)로부터 게이트 밸브(101)를 거쳐서 처리실(102)로 이동하도록 지령한다. 가열된 피처리체(W)가 처리실(102)의 서셉터(104)의 상부에 도착하면, 리프터 핀 승강계가 서셉터(104)의 상부면으로부터 돌출되는 3개의 리프터 핀(도시안함)에 의해서 피처리체(W)를 지지한다. 피처리체(W)가 반송아암으로부터 리프터 핀으로 이행한 후, 반송아암은 게이트 밸브(101)를 통해서 귀환된다. 그 후, 반송아암을 홈위치(도시안함)로 이동시켜도 무방하다.
피처리체(W)가 리프터 핀에 이송된 후, 승강부(146)는 승강 부재(142)를 하향으로 이동시켜서 서셉터 내측으로 리프터 핀을 되돌리고, 이것에 의해서 피처리체(W)를 서셉터(104)의 소정의 위치에 배치한다. 이 때, 벨로스(도시안함)가 승강 동작중의 처리실(102)의 감압 환경을 유지하는 동안 서셉터 이동 부재가 이동될 수 있다. 서셉터(104)는 피처리체(W)를 300℃까지 가열한다. 이 때, 피처리체(W)는 예열되어 있으므로, 프로세스 준비가 완료하기까지의 시간은 짧아도 된다. 보다 상세하게는, 히터 제어 장치(191)가 히터 장치(198)를 제어하여 서셉터(104)의 온도를 300℃로 상승시키다.
다음에, 고진공 펌프(106)가 압력 조정 밸브에 의해서 처리실(102)의 압력을 50mTorr로 유지한다. 또한, 밸브(151, 153)가 개방되어 진공 펌프(152, 154)가 가스 공급 링(140, 170)을 배기한다. 결과적으로 가스 공급 링(140, 170)에 잔류하는 수분이 상당히 그로부터 제거된다.
또한, 서셉터 승강계가 홈위치로부터 사전결정된 처리 위치까지 서셉터(104)와 피처리체(W)를 이동시킨다. 벨로스(도시안함)는 승강 동작중 처리실(102)의 감압 환경을 유지함과 동시에 처리실(102)내의 분위기가 외부로 유출되는 것을 방지한다. 그 후, 밸브(151, 153)가 폐쇄된다.
그 후, 밸브(132, 162)가 개방되어, 질량 흐름 콘트롤러(134, 164)를 거쳐서 가스 공급 링(140, 170)으로부터 NH3, 헬륨, 질소 및 수소의 혼합물을 처리실(102)에 도입한다. 밸브(151, 153)가 폐쇄되므로, 진공 펌프(152, 154)가 가스 공급계(130, 160)로부터의 가스를 처리실(102)로 도입되는 것을 방해하는 일은 없다.
도 14의 샤워판(250)이 사용되는 경우에는 처리실(102)내를 소정의 처리 압력, 예컨대 50mTorr로 유지하고, 예컨대 헬륨, 질소, 수소 및 NH3의 혼합 가스가 유전판(240)에 도입된다. 그 후, 혼합 가스는 공간부(242), 오목부(246) 및 분출부재(260)의 노즐 통로(268, 269)를 거쳐서 처리실로 도입된다. 혼합 가스는 플라즈마로 변환되지 않고 흐름 및 균일한 밀도가 고정밀도로 제어되어 처리실로 도입된다.
처리실(102)의 처리공간의 온도는 300℃ 정도로 조정된다. 한편, 마이크로파 제너레이터(110)로부터의 마이크로파를 직사각형 도파관이나 동일축 도파관을 거쳐서 안테나 부재(120)의 저속파 부재(124)에 TEM 모드로 도입한다. 저속파 부재(124)를 통과한 마이크로파는 그 파장이 단축되어 슬롯 전극(200)에 입사한다. 이 마이크로파는 슬릿(210)과 유전판(230)을 거쳐서 처리실(102)로 도입된다. 저속파 부재(124)와 슬롯 전극(200)은 온도 제어되므로, 열팽창에 의한 변형은 없다. 따라서, 적절한 슬릿(210) 길이를 유지할 수 있다. 이것에 의해서 마이크로파는 부분적 집중 없이 소망하는 밀도로 처리실(102)에 도입될 수 있다.
그 후, 마이크로파는 처리실(102)의 반응 가스를 플라즈마화하여 플라즈마 CVD 처리가 서셉터(104)상의 피처리체(W)상에서 수행된다. 배플판(194)이 사용되는 경우에는, 배플판은 처리공간의 전위를 유지한다. 이것에 의해서, 소망하는 프로세스 속도를 유지할 수 있다.
계속적인 사용으로 인하여 서셉터(104)의 온도가 소망하는 설정 온도보다 높아지면, 제어 장치(191)는 서셉터(104)를 냉각한다. 한편, 처리 개시시나 과냉각에 의해서 서셉터(104)의 온도가 설정 온도보다 낮아지면 제어 장치(191)는 서셉터(104)를 가열한다.
플라즈마 CVD 처리는 사전에 설정된 소정 시간(예컨대, 약 2분)만큼 실행된다. 그 후, 피처리체(W)는 상술한 것과 반대의 순서로 게이트 밸브(101)를 통해서 처리실(102) 밖으로 클러스터 툴(300)의 반송부(320)에 의해서 도출된다. 서셉터(104)의 도출시, 승강 장치(도시안함)는 서셉터(104)와 피처리체(W)를 홈위치로 되돌린다. 여기서, 「약 2분」이라고 하는 사전결정된 처리 시간은 적층 질화막의 형성에 일반적으로 필요한 플라즈마 CVD 처리 시간에 의해서 결정된다. 즉, 가령, 온도 제어 장치(190)가 온도를 약 250℃ 내지 약 350℃로 설정하더라도 장시간 성막 처리는 온도를 350℃ 이상으로 설정한 것과 같은 문제를 야기할 수도 있다. 또한, 너무 단시간이면, 피처리체(W)에서 생성된 반도체는 누출 전류를 효과적으로 방지할 수 없는 경우가 있기 때문이다.
처리실(102)에는 소망하는 밀도의 마이크로파가 균일하게 공급되므로 피처리체(W)에는 실리콘 산화막과 실리콘 질화막이 소정의 두께로 형성된다. 또한, 처리실(102)의 온도는 수분(불순물)이 웨이퍼(W)에 혼입하지 않는 온도로 유지되기 때문에 소망하는 성막 품질을 유지할 수 있다. 처리실(102)에서 도출된 피처리체(W)는 우선 예비 냉각부(360)로 도입되어 상온까지 단 시간에 냉각된다. 이어서, 필요하면, 반송부(320)는 피처리체(W)를 다음 단계의 이온 주입 장치 등으로 반송한다.
이제 본 발명의 제 2 실시예가 도 20을 참고로 설명될 것이다. 도 20은 본 발명의 제 2 실시예에 따른 마이크로파 플라즈마 철 장치(400)의 구조를 도시한다. 도 20에서, 도 1에 도시한 부분과 동일한 부분에는 동일한 참조 번호를 부여하며, 그 설명은 생략할 것이다.
제 1 실시예와 마찬가지로, 본 발명의 제 2 실시예는 마이크로파 플라즈마 처리 장치(400)의 처리실에 설치된 가스 도입부로부터 배기되는 배기계에 관한 것이다. 제 1 실시예에 있어서, 배기계는 가스 공급링(140, 170)에 잔류하는 가스를 배기하는 진공 펌프(151, 153)로 구성된다. 한편, 제 2 실시예에 있어서, 배기계는 처리실(102)을 우회함으로써 진공 펌프(106)에 가스 공급링(140, 170)를 직접 접속하는 바이패스 통로로 구성된다.
보다 상세하게는, 제 2 실시예에 따른 플라즈마 처리 장치(400)에 있어서, 가스 공급링(140)의 유출구는 바이패스 통로(182)의 일단부에 접속되며, 바이패스 통로(280)의 타단부는 처리실(102)로부터 배기하도록 플라즈마 처리 장치(400)에 원래 설치된 진공 펌프(106)에 접속되어 있다. 또한, 밸브(181)는 바이패스 통로(182)를 개폐하도록 이에 설치되어 있다. 마찬가지로, 가스 공급링(170)의 유출구는 바이패스 통로(184)의 일단부 접속되어 있고 바이패스 통로(184)의 타단부는 진공 펌프(106)에 접속되어 있다. 또한, 밸브(183)는 바이패스 통로(184)를 개폐하도록 바이패스 통로(184)에 설치된다. 도 21은 상기 가스 배기계의 배기 장치의 예시적인 도면이다.
본 발명에 있어서, 각각의 바이패스 통로(182, 184)는 가스 공급 노즐(143, 172)의 구경보다 큰 25㎜ 내지 40㎜의 구경을 가진다. 따라서, 진공 펌프(106)는 가스가 가스 공급 노즐(143, 173)을 통해 배기될 때보다 훨씬 효율적이고 훨씬 신속하게 가스 공급링(140, 170)에 잔류하는 가스를 배기할 수 있다. 바이패스 통로(182, 184)가 고진공 펌프(106)에 접속되어 있지만, 바이패스 통로(182, 184)는 플라즈마 처리 장치(400)에 원래 설치된 강성 펌프(roughing pump)나 다른 진공 펌프에 접속되어도 무방하다.
본 실시예에 있어서, 가스 공급계(130, 160)는 도 14에 도시한 바와 같이 유전판(240)과 샤워판(250)을 사용하도록 배열될 수도 있다. 이러한 경우에 있어서, 유전판의 유출구(244)는 바이패스 통로(182, 184)에 접속되어 가스 통로(242)는 처리실(102)를 우회함으로써 진공 펌프(6)에 접속된다.
마이크로파 플라즈마 처리 장치(100, 400)는 전자 사이클로트론 공명의 이용할 수 있기 때문에, 처리실(102)에 자기장을 발생하도록 전자기장 코일이 설치될 수도 있다. 또한, 본 실시예의 마이크로파 플라즈마 처리 장치(100)가 플라즈마 CVD 장치를 플라즈마 처리로서 수행하지만, 플라즈마 처리는 플라즈마 CVD 처리에 제한되지 않는다. 즉, 예컨데, 플라즈마 처리 장치(100)는 피처리체(W)를 에칭하거나 클리닝하거나 하는 경우에도 사용할 수 있는 것은 말할 필요도 없다. 또한, 본 발명은 RLSA 방식의 플라즈마 장치뿐만 아니라 글로 방전을 이용한 평행평판형 플라즈마 장치로의 적용을 허용할 수도 있다. 또한, 마이크로파 플라즈마 처리 장치(100)에 의해서 처리되는 피처리체(W)는 반도체 디바이스를 제조하기 위한 웨이퍼에 제한되지 않고, 마이크로파 플라즈마 처리 장치(100)는 LCD 기판 또는 유리 기판을 처리하는데 사용될 수도 있다.
본 발명은 상세히 설명한 실시예에 제한되지 않고 본 발명의 정신으로부터 벗어남 없이 변형 및 수정될 수도 있다. 본 발명은 2000 년 3월 24일자로 출원된 일본 특허 출원 제 2000-085351 호에 기초하며, 그 전체 내용은 본 명세서에 참고로 인용되어 있다.

Claims (7)

  1. 실리콘 산화막 상에 실리콘 질화막을 형성하는 플라즈마 처리 방법에 있어서,
    상기 실리콘 산화막이 형성된 기판을 준비하는 단계와,
    상기 실리콘 산화막 상으로 질소 가스를 공급함으로써 플라즈마를 발생시키는 단계와,
    상기 실리콘 산화막의 상부를 실리콘 질화막으로 변화시키기 위해 상기 플라즈마에 의해 상기 실리콘 산화막을 질화처리하는 단계를 포함하는
    플라즈마 처리 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 산화막과 실리콘 질화막 사이의 경계면에서의 질소 농도는 상기 실리콘 질화막 아래의 상기 실리콘 산화막의 질소 농도보다 낮은
    플라즈마 처리 방법.
  3. 실리콘 질화막을 형성하는 플라즈마 처리 방법에 있어서,
    실리콘 산화막이 형성된 기판을 준비하는 단계와,
    상기 실리콘 산화막 상으로 질소 가스를 공급함으로써 플라즈마를 발생시키는 단계와,
    상기 실리콘 산화막의 상부를 실리콘 질화막으로 변화시키기 위해 상기 플라즈마에 의해 상기 실리콘 산화막의 상부를 질화처리하는 단계를 포함하고,
    상기 플라즈마는 복수의 슬릿을 갖는 평면 안테나를 통해 상기 질소 가스에 마이크로파를 방사함으로써 발생되는
    플라즈마 처리 방법.
  4. 제 3 항에 있어서,
    상기 실리콘 산화막과 상기 기판 사이의 경계면에서의 질소 농도는 상기 실리콘 질화막 아래의 상기 실리콘 산화막의 질소 농도보다 낮은
    플라즈마 처리 방법.
  5. 실리콘 질화막을 형성하는 플라즈마 처리 방법에 있어서,
    실리콘 기판을 준비하는 단계와,
    상기 실리콘 기판 상으로 질소 가스를 공급함으로써 플라즈마를 발생시키는 단계와,
    실리콘 질화막을 형성하도록 상기 플라즈마에 의해 상기 실리콘 기판의 상부를 직접적으로 질화처리하는 단계를 포함하고,
    상기 질화처리에 있어서, 상기 플라즈마에 의한 처리는 상기 실리콘 기판의 온도를 450℃ 이상으로 설정한 후에 수행되는
    플라즈마 처리 방법.
  6. 제 5 항에 있어서,
    상기 플라즈마는 복수의 슬릿을 갖는 평면 안테나를 통해 상기 질소 가스에 마이크로파를 방사함으로써 발생되는
    플라즈마 처리 방법.
  7. 실리콘 질화막을 형성하는 플라즈마 처리 방법에 있어서,
    기판을 준비하는 단계와,
    상기 실리콘 기판 필름 상으로 질소 가스를 공급함으로써 플라즈마를 발생시키는 단계와,
    실리콘 질화막을 형성하도록 상기 플라즈마에 의해 상기 실리콘 기판의 상부를 직접적으로 질화처리하는 단계를 포함하고,
    상기 플라즈마는 복수의 슬릿을 갖는 평면 안테나를 통해 상기 질소 가스에 마이크로파를 방사함으로써 발생되고, 상기 플라즈마에 의한 처리는 상기 실리콘 기판의 온도를 450℃ 이상으로 설정한 후에 수행되는
    플라즈마 처리 방법.
KR1020100059596A 2000-03-24 2010-06-23 플라즈마 처리 장치 KR101061608B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000085351A JP4222707B2 (ja) 2000-03-24 2000-03-24 プラズマ処理装置及び方法、ガス供給リング及び誘電体
JPJP-P-2000-085351 2000-03-24

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020070048124A Division KR100985953B1 (ko) 2000-03-24 2007-05-17 플라즈마 처리 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020110003105A Division KR101116056B1 (ko) 2000-03-24 2011-01-12 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
KR20100075816A true KR20100075816A (ko) 2010-07-05
KR101061608B1 KR101061608B1 (ko) 2011-09-01

Family

ID=18601705

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020010014799A KR100738767B1 (ko) 2000-03-24 2001-03-22 플라즈마 처리 장치, 가스 공급 링, 유전판 및 플라즈마 처리 방법
KR1020070010118A KR100873549B1 (ko) 2000-03-24 2007-01-31 평면 안테나 및 플라즈마 처리 장치
KR1020070048124A KR100985953B1 (ko) 2000-03-24 2007-05-17 플라즈마 처리 방법
KR1020090040832A KR100953037B1 (ko) 2000-03-24 2009-05-11 플라즈마 처리 방법
KR1020090120493A KR100960410B1 (ko) 2000-03-24 2009-12-07 플라즈마 처리 방법
KR1020100059596A KR101061608B1 (ko) 2000-03-24 2010-06-23 플라즈마 처리 장치
KR1020110003105A KR101116056B1 (ko) 2000-03-24 2011-01-12 플라즈마 처리 장치

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020010014799A KR100738767B1 (ko) 2000-03-24 2001-03-22 플라즈마 처리 장치, 가스 공급 링, 유전판 및 플라즈마 처리 방법
KR1020070010118A KR100873549B1 (ko) 2000-03-24 2007-01-31 평면 안테나 및 플라즈마 처리 장치
KR1020070048124A KR100985953B1 (ko) 2000-03-24 2007-05-17 플라즈마 처리 방법
KR1020090040832A KR100953037B1 (ko) 2000-03-24 2009-05-11 플라즈마 처리 방법
KR1020090120493A KR100960410B1 (ko) 2000-03-24 2009-12-07 플라즈마 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020110003105A KR101116056B1 (ko) 2000-03-24 2011-01-12 플라즈마 처리 장치

Country Status (4)

Country Link
US (3) US20020002948A1 (ko)
JP (1) JP4222707B2 (ko)
KR (7) KR100738767B1 (ko)
TW (1) TW526278B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101455158B1 (ko) * 2013-02-25 2014-10-27 (주)트리플코어스코리아 안테나 냉각 기능을 갖는 마이크로웨이브 헤드장치 및 마이크로웨이브 제너레이터

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6682913B1 (en) * 1999-02-03 2004-01-27 Institute For Drug Research Ltd. Microbial process for preparing pravastatin
JP4504511B2 (ja) * 2000-05-26 2010-07-14 忠弘 大見 プラズマ処理装置
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
EP1804274A3 (en) * 2001-03-28 2007-07-18 Tadahiro Ohmi Plasma processing apparatus
KR100419033B1 (ko) * 2001-12-24 2004-02-21 (주)울텍 고밀도 플라즈마에 의한 건식 식각 장치 및 방법
TW200402801A (en) 2002-03-29 2004-02-16 Tokyo Electron Ltd Material for electronic device and process for producing the same
AU2003231516A1 (en) * 2002-05-16 2003-12-02 Tokyo Electron Limited Method of treating substrate
US6744024B1 (en) * 2002-06-26 2004-06-01 Cem Corporation Reaction and temperature control for high power microwave-assisted chemistry techniques
JP4580235B2 (ja) * 2002-07-30 2010-11-10 東京エレクトロン株式会社 絶縁膜の形成方法
CN101552230B (zh) 2003-02-06 2011-05-25 株式会社半导体能源研究所 半导体制造装置
EP1614770B1 (en) * 2003-04-16 2010-10-13 Toyo Seikan Kaisha, Ltd. Microwave plasma processing method
JP4408653B2 (ja) * 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050121833A1 (en) * 2003-12-09 2005-06-09 Jenn-Shing Wang Processing method for ceramic
KR100657354B1 (ko) * 2004-01-19 2006-12-14 염근영 상압에서 탄소나노튜브 형성 장치 및 방법
US7282158B2 (en) * 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
DE102004017236B4 (de) * 2004-04-05 2012-10-25 Schott Ag Verbundmaterial mit verbesserter chemischer Beständigkeit und Verfahren zu dessen Herstellung
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
JP4554378B2 (ja) * 2005-01-21 2010-09-29 富士通セミコンダクター株式会社 窒化膜の形成方法、半導体装置の製造方法及びキャパシタの製造方法
AU2006234466A1 (en) * 2005-04-06 2006-10-19 Toyo Seikan Kaisha, Ltd. Method and device for forming vapor deposition film by surface liquid plasma
JP5017906B2 (ja) * 2005-04-19 2012-09-05 東洋製罐株式会社 プラズマcvd用マイクロ波供給装置及び該マイクロ波供給装置を備えた蒸着膜形成装置
WO2007055185A1 (ja) * 2005-11-08 2007-05-18 Tohoku University シャワープレート及びシャワープレートを用いたプラズマ処理装置
JP4715474B2 (ja) * 2005-11-30 2011-07-06 株式会社島津製作所 太陽電池の反射防止膜成膜方法、および太陽電池反射防止膜成膜装置
US7369920B2 (en) * 2006-03-21 2008-05-06 Mks Instruments, Inc. Pressure control system with optimized performance
JP5057816B2 (ja) * 2006-03-27 2012-10-24 東京エレクトロン株式会社 プラズマ処理装置
US7603963B2 (en) * 2006-05-02 2009-10-20 Babcock & Wilcox Technical Services Y-12, Llc Controlled zone microwave plasma system
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
WO2008123391A2 (en) * 2007-03-23 2008-10-16 Panasonic Corporation Apparatus and method for plasma doping
CZ17940U1 (cs) * 2007-09-13 2007-10-15 Špatenka@Petr Aplikátor mikrovlnného generátoru plazmatu, a mikrovlnný generátor plazmatu zahrnující tento aplikátor
JP4533926B2 (ja) 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
US7763551B2 (en) * 2008-03-31 2010-07-27 Tokyo Electron Limited RLSA CVD deposition control using halogen gas for hydrogen scavenging
JP5143662B2 (ja) * 2008-08-08 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置
US8110435B2 (en) * 2008-12-18 2012-02-07 Jusung Engineering Co., Ltd. Method and apparatus for manufacturing semiconductor device
KR101612502B1 (ko) * 2008-12-18 2016-04-14 주성엔지니어링(주) 반도체 소자의 제조방법 및 제조장치
JP2010186891A (ja) * 2009-02-12 2010-08-26 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置のメンテナンス方法及びプラズマ処理装置の組み立て方法
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
WO2011097178A2 (en) * 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
WO2011125550A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 窒化処理方法及び窒化処理装置
US8980047B2 (en) * 2010-07-02 2015-03-17 Samsung Electronics Co., Ltd. Microwave plasma processing apparatus
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
WO2012134512A1 (en) * 2011-03-28 2012-10-04 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
JP2013048227A (ja) * 2011-07-25 2013-03-07 Tokyo Electron Ltd シャワーヘッド装置及び成膜装置
KR101241049B1 (ko) * 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US20140042152A1 (en) * 2012-08-08 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Variable frequency microwave device and method for rectifying wafer warpage
JP2013047388A (ja) * 2012-09-18 2013-03-07 Tokyo Electron Ltd 微結晶シリコン膜形成方法、微結晶シリコン膜成膜装置および表示装置
KR101411993B1 (ko) * 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
JP2015138885A (ja) * 2014-01-22 2015-07-30 東京エレクトロン株式会社 基板処理装置、シャワープレート及び基板処理方法
JP6001015B2 (ja) 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10280770B2 (en) 2014-10-09 2019-05-07 Rolls-Royce Corporation Coating system including oxide nanoparticles in oxide matrix
US10047614B2 (en) 2014-10-09 2018-08-14 Rolls-Royce Corporation Coating system including alternating layers of amorphous silica and amorphous silicon nitride
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
KR20170090194A (ko) * 2016-01-28 2017-08-07 삼성전자주식회사 복수 개의 가스 배출관 들 및 가스 센서들을 가진 반도체 소자 제조 설비
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP6952542B2 (ja) * 2017-06-21 2021-10-20 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US20190051495A1 (en) * 2017-08-10 2019-02-14 Qiwei Liang Microwave Reactor For Deposition or Treatment of Carbon Compounds
KR102577264B1 (ko) * 2018-04-20 2023-09-11 삼성전자주식회사 샤워헤드 및 기판 처리 장치
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
CN109950409B (zh) * 2019-02-28 2023-01-06 深圳市先进清洁电力技术研究有限公司 一种钙钛矿气氛处理装置
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
CN114717535B (zh) * 2022-03-21 2023-07-14 太原理工大学 一种在硅衬底上制备纤锌矿InGaN纳米棒的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715937A (en) * 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4900396A (en) * 1987-08-19 1990-02-13 Agency Of Industrial Science And Technology Method of forming modified layer and pattern
JP3053105B2 (ja) * 1989-06-30 2000-06-19 株式会社日立製作所 プラズマcvd装置及びその方法
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
US5087586A (en) * 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
JP2871235B2 (ja) * 1991-10-29 1999-03-17 ホシデン・フィリップス・ディスプレイ株式会社 能動液晶表示装置
KR960002086B1 (ko) * 1993-04-16 1996-02-10 엘지전자주식회사 박막 트랜지스터의 제조방법
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5545258A (en) * 1994-06-14 1996-08-13 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
KR0137613B1 (ko) * 1994-06-29 1998-06-15 배순훈 자동판매기의 승강장치
JP3123735B2 (ja) * 1995-04-28 2001-01-15 株式会社日立製作所 イオンビーム処理装置
JP3233575B2 (ja) * 1995-05-26 2001-11-26 東京エレクトロン株式会社 プラズマ処理装置
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
US5989338A (en) * 1995-11-22 1999-11-23 Micron Technology, Inc. Method for depositing cell nitride with improved step coverage using MOCVD in a wafer deposition system
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
JP2000514136A (ja) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6331994B1 (en) 1996-07-19 2001-12-18 Canon Kabushiki Kaisha Excimer laser oscillation apparatus and method, excimer laser exposure apparatus, and laser tube
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR19990057863A (ko) 1997-12-30 1999-07-15 김영환 실리콘산화막과 실리콘질화막 간의 스트레스에 의한 균열 방지를 위한 반도체 소자 제조방법
TW512248B (en) 1998-07-15 2002-12-01 Toshiba Corp Manufacturing method and apparatus of semiconductor device
KR100338140B1 (ko) * 1998-09-25 2002-05-24 이마이 기요스케 전계 방사형 전자원
US6087236A (en) * 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP3621321B2 (ja) * 2000-01-17 2005-02-16 Necエレクトロニクス株式会社 半導体装置とその製造方法
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US7081419B2 (en) * 2000-06-28 2006-07-25 Agere Systems Inc. Gate dielectric structure for reducing boron penetration and current leakage
EP1398826A4 (en) * 2001-06-20 2006-09-06 Tadahiro Ohmi MICROWAVE PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD, AND MICROWAVE RADIATION MEMBER
US6649538B1 (en) * 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101455158B1 (ko) * 2013-02-25 2014-10-27 (주)트리플코어스코리아 안테나 냉각 기능을 갖는 마이크로웨이브 헤드장치 및 마이크로웨이브 제너레이터

Also Published As

Publication number Publication date
KR20010093073A (ko) 2001-10-27
KR20100007827A (ko) 2010-01-22
TW526278B (en) 2003-04-01
KR20070032743A (ko) 2007-03-22
KR100953037B1 (ko) 2010-04-14
KR100873549B1 (ko) 2008-12-11
US7629033B2 (en) 2009-12-08
KR20090055540A (ko) 2009-06-02
KR20070059036A (ko) 2007-06-11
JP2001274151A (ja) 2001-10-05
US20070254113A1 (en) 2007-11-01
KR100960410B1 (ko) 2010-05-28
KR101061608B1 (ko) 2011-09-01
US20070251453A1 (en) 2007-11-01
KR20110010660A (ko) 2011-02-01
KR100985953B1 (ko) 2010-10-06
KR101116056B1 (ko) 2012-02-14
US20020002948A1 (en) 2002-01-10
KR100738767B1 (ko) 2007-07-12
JP4222707B2 (ja) 2009-02-12

Similar Documents

Publication Publication Date Title
KR100738767B1 (ko) 플라즈마 처리 장치, 가스 공급 링, 유전판 및 플라즈마 처리 방법
KR100693695B1 (ko) 유전판 및 플라즈마 처리 장치
JP4338355B2 (ja) プラズマ処理装置
TWI469238B (zh) 電漿蝕刻處理裝置及電漿蝕刻處理方法
US20110033636A1 (en) Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
WO2007046414A1 (ja) 処理装置
JP2008515160A (ja) 表面波プラズマソースと、プラズマ空間との間の結合を改良するための方法とシステム
US7857984B2 (en) Plasma surface treatment method, quartz member, plasma processing apparatus and plasma processing method
JP3477573B2 (ja) プラズマ処理装置、プラズマ生成導入部材及びスロット電極
JP2003168681A (ja) マイクロ波プラズマ処理装置および処理方法
JP2001274148A (ja) プラズマ処理装置及び方法
JP2000082698A (ja) プラズマ処理装置
JP4912363B2 (ja) プラズマ処理方法
JP3238137B2 (ja) プラズマ処理室のクリーニング方法
JP4854712B2 (ja) 平面アンテナ及びプラズマ処理装置
JP2002124511A (ja) プラズマ処理室のクリーニング方法
JP2012134235A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 7