JP2015065434A - デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御 - Google Patents

デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御 Download PDF

Info

Publication number
JP2015065434A
JP2015065434A JP2014184979A JP2014184979A JP2015065434A JP 2015065434 A JP2015065434 A JP 2015065434A JP 2014184979 A JP2014184979 A JP 2014184979A JP 2014184979 A JP2014184979 A JP 2014184979A JP 2015065434 A JP2015065434 A JP 2015065434A
Authority
JP
Japan
Prior art keywords
plate
plasma
subchamber
plate assembly
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014184979A
Other languages
English (en)
Other versions
JP6641077B2 (ja
Inventor
ラジンダー・ディンドサ
Dhindsa Rajinder
サン・キ・ナム
Sang Ki Nam
アレクセイ・マラクタノフ
Marakhtanov Alexei
エリック・エー.・ハドソン
A Hudson Eric
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015065434A publication Critical patent/JP2015065434A/ja
Application granted granted Critical
Publication of JP6641077B2 publication Critical patent/JP6641077B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Abstract

【課題】デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御方法を提供する。【解決手段】上側サブチャンバ132は、誘導結合プラズマを含むよう構成され、下側サブチャンバ134は、容量結合プラズマを含むよう構成されている。さらに、下側サブチャンバ134の体積は調節可能であり、下側プレート130は、ガス供給部104から下側サブチャンバ134に処理ガスを供給するためのガス供給シャワーヘッドとして動作するよう構成されている。処理ガスが、上側シャワーヘッドプレート112への供給を行うガス供給部106を通して上側サブチャンバ132に別個に供給される。上側シャワーヘッドプレート112は、上側および下側プレート116および130の間の空間の量を増減させるために、基板に近づいたり離れたりするように移動しうる。【選択図】図1

Description

半導体製造にしばしば用いられる1つの操作(工程)は、エッチング操作である。エッチング操作では、1または複数の材料が、製造途中の集積回路から部分的または全体的に除去される。関係する形状が小さい場合、高アスペクト比が用いられる場合、または、正確なパターン転写が必要とされる場合は特に、プラズマエッチングがしばしば利用される。通例、プラズマは、電子、イオン、および、ラジカルを含む。ラジカルおよびイオンは、基板と相互作用して、基板上のフィーチャ、表面、および、材料をエッチングする。
デバイス寸法が縮小するにつれ、プラズマエッチング処理は、高品質の製品を製造するために、ますます正確かつ均一である必要がある。デバイス寸法の減少を推し進める1つの要因は、基板当たりで、より多くのデバイスを提供しようとする圧力である。関連する要因は、平面構造から3Dトランジスタ構造(例えば、論理デバイスのためのFinFET ゲート構造)および高度なメモリ構造(例えば、磁気抵抗ランダムアクセスメモリ(MRAM)および抵抗ランダムアクセスメモリ(ReRAM))への移行である。かかる正確かつ均一な処理を達成するために、いくつかの関連要因(例えば、デバイスが用いられる用途、関係する化学物質、基板の感度など)に基づいて、様々な処理を最適化する必要がある。要因の中でも特に、エッチング処理において最適化されうるいくつかの重要な変数は、基板へのイオン流束、基板へのラジカル流束、および、これら2つの流束の間の関連する比を含む。
異なる処理は異なる方法で最適化されるので、第1のエッチング処理に適切な装置が、第2のエッチング処理に適していない場合がある。部分的には、処理工場の限られた空間と、半導体製造装置のコストの理由で、半導体製造装置が基板にわたって幅広い処理条件を提供できることが望ましい。さらに、半導体装置が、特定の形状不均一性に対処するために、処理中に基板の様々な部分にわたって幅広い処理条件を提供できることが求められうる。この考慮は、大型の基板(例えば、300mmおよび特に450mmの直径)が処理される場合に特に重要である。形状不均一性は、かかる大型のワークピースで悪化するからである。このように、均一な結果を達成するために、多くの異なる用途で、単一の装置が利用されうる。本明細書に記載の技術は、特に大型基板に実行される場合に、複数工程のエッチング処理(FinFET構造に関連する処理など)およびバックエンド(BEOL)処理(特定のデュアルダマシン処理など)を実行するのに特に有用である。開示された実施形態は、40nmノード、10nmノード、および、7nmノードなど、特定の先進技術のノードで特に有用でありうる。
本明細書の特定の実施形態は、基板をエッチングするための装置および方法に関する。本明細書の実施形態の一態様において、基板をエッチングするための装置は、(a)反応チャンバと、(b)反応チャンバ内に配置され、反応チャンバを上側サブチャンバおよび下側サブチャンバに分割するプレートアセンブリであって、(i)第1のプレートと、(ii)第1のプレートに対して独立的に回転可能な少なくとも2つの略同心プレートセクションを備えた第2のプレートとを備えるプレートアセンブリと、第1のプレートおよび第2のプレートは、各プレートの厚さを貫通する開口部を有し、(c)上側サブチャンバへの1または複数のガス流入口と、(d)反応チャンバからガスを除去するよう設計または構成されている反応チャンバへの1または複数のガス流出口と、(e)上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、を備える。
いくつかの実施形態において、装置は、少なくとも3つの略同心プレートセクションを備える。これらの例または他の例において、プレートアセンブリのプレートの少なくとも一方の開口部の内の少なくとも一部は、約0.2〜0.4の間のアスペクト比を有してよい。プレートアセンブリのプレートの少なくとも一方は、約40〜60%の開口面積を有してよい。特定の実装例において、第2のプレートのプレートセクションは、絶縁材料を含み、第1のプレートは、導電材料を含む。上側サブチャンバは、1または複数の絶縁壁によって隔てられた複数の同心プラズマ領域に分割されてよい。様々な実施形態において、エッチング方法を実施するために、コントローラが用いられてよい。例えば、コントローラは、基板上の中央から縁部までのエッチング条件を制御するために、同心プレートセクションの内の1または複数を回転させるよう設計または構成されてよい。コントローラは、イオン対ラジカルの流束比を制御するために、第1のプレートに対して少なくとも第1の同心プレートセクションを移動させて第1および第2のプレートの開口部を位置調整するよう設計または構成されてもよい。
本明細書の実施形態の別の態様において、基板をエッチングするための装置が提供される。この装置は、(a)上側サブチャンバおよび下側サブチャンバを備える反応チャンバと、上側サブチャンバは、少なくとも2つの略同心プラズマ領域を備え、各プラズマ領域は、1または複数の絶縁壁によって他のプラズマ領域から隔離されており、(b)上側サブチャンバおよび下側サブチャンバの間に配置され、第1のプレートおよび第2のプレートを備えたプレートアセンブリと、各プレートは、プレートの厚さを貫通する開口部を有し、第2のプレートは、第1のプレートに対して回転可能であり、(c)上側サブチャンバへの1または複数のガス流入口と、(d)下側サブチャンバからガスを除去するよう設計または構成されている下側サブチャンバへの1または複数のガス流出口と、(e)上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、を備える。
装置は、さらに、第1のプレートおよび第2のプレートの間の距離が可変になるように、プレートアセンブリの少なくとも一方のプレートをプレートアセンブリの他方のプレートに向か方向におよび他方のプレートから離れる方向に移動させるよう設計または構成されている移動メカニズムを備えてもよい。一部の例において、プレートの少なくとも一方は、上側または下側サブチャンバにガスを供給するためのシャワーヘッドとして機能するよう設計または構成されてもよい。通例、第1および第2のプレートの間には或る程度の距離がある。いくつかの実施形態において、この距離は、約1〜6mmである。プレートアセンブリの少なくとも一方のプレートは、約3〜20mmの厚さであってよい。様々なタイプのプラズマ発生源が用いられてよい。一例において、プラズマ発生源は、誘導結合プラズマを生成するよう設計または構成される。また、同心プラズマ領域の数は様々であってよい。いくつかの実施形態において、上側サブチャンバは、少なくとも3つの略同心プラズマ領域を備える。様々な実装例が、エッチング方法を実施するよう構成されたコントローラを利用する。一例において、コントローラは、同心プラズマ領域でのプラズマ生成を独立的に制御することにより、基板上の中央から縁部までの条件を制御するよう設計または構成される。コントローラは、イオン対ラジカルの流束比を制御するために、第1のプレートに対して少なくとも1つの同心プレートセクションを移動させて第1および第2のプレートの開口部を位置調整するよう設計または構成されてもよい。
開示されている実施形態のさらなる態様において、基板をエッチングする方法が提供される。この方法は、(a)エッチング装置の反応チャンバ内に基板を受け入れ、エッチング装置は、(i)反応チャンバ内に配置されることにより、反応チャンバを上側サブチャンバおよび下側サブチャンバに分割するプレートアセンブリであって、第1のプレートおよび第2のプレートを備えるプレートアセンブリと、第2のプレートは、少なくとも2つの同心セクションを備え、同心セクションは、第1のプレートに対して独立して回転可能であり、第1のプレートおよび第2のプレートは、各プレートの厚さを貫通する開口部を有し、(ii)上側サブチャンバへの1または複数のガス流入口と、(iii)下側サブチャンバからガスを除去するよう設計または構成されている下側サブチャンバへの1または複数のガス流出口と、(iv)上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、を備え、(b)プラズマ生成ガスを上側サブチャンバ内に流して、プラズマを生成し、(c)プラズマ内に存在する中性種を上側サブチャンバからプレートアセンブリを通して下側サブチャンバ内に流し、(d)基板をエッチングすること、を備える。
方法は、さらに、イオンが上側サブチャンバからプレートアセンブリを通して下側サブチャンバ内に流れるように、プレートアセンブリの上側および下側プレートの少なくとも一部の開口部を整列させてもよい。一部の例では、異なるラジカル対イオンの流束比が、プレートアセンブリの異なる部分を通して達成される。例えば、プレートアセンブリの第1の部分を通るラジカル対イオンの第1の流束比が、プレートアセンブリの第2の部分を通るラジカル対イオンの第2の流束比と異なってもよい。いくつかの実施形態において、方法は、さらに、第2のプレートの同心セクションの少なくとも1つを回転させることにより、プレートアセンブリを通るラジカル対イオンの流束比を制御することを備える。方法は、さらに、下側サブチャンバ内に配置された基板支持体にバイアスを印加することを備えてもよい。基板支持体に印加されたバイアスは、下側サブチャンバ内でプラズマを生成しうる。別の例では、基板支持体に印加されたバイアスは、下側サブチャンバ内でプラズマを生成しない。特定の例において、方法は、プレートアセンブリの1または複数のプレートにバイアスを印加することを備えてもよい。特定の一実施形態では、異なるレベルのバイアスが、第2のプレートの異なる同心プレートセクションに印加される。方法は、さらに、基板上の中央から縁部までのエッチング条件を制御するために、同心プレートセクションの内の1または複数を回転させることを備えてもよい。
本明細書の実施形態の別の態様において、基板をエッチングする方法が提供される。この方法は、(a)エッチング装置の反応チャンバ内に基板を受け入れ、エッチング装置は、(i)上側サブチャンバおよび下側サブチャンバと、上側サブチャンバは、少なくとも2つの略同心プラズマ領域を備え、各プラズマ領域は、1または複数の絶縁壁によって他のプラズマ領域から隔離されており、(ii)上側サブチャンバおよび下側サブチャンバの間に配置され、第1のプレートおよび第2のプレートを備えたプレートアセンブリと、各プレートは、プレートの厚さを貫通する開口部を有し、第2のプレートは、第1のプレートに対して回転可能であり、(iii)上側サブチャンバへの1または複数のガス流入口と、(iv)下側サブチャンバからガスを除去するよう設計または構成された下側サブチャンバへの1または複数のガス流出口と、(v)上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、を備え、(b)プラズマ生成ガスを各プラズマ領域内に流して、各プラズマ領域内でプラズマを生成し、(c)プラズマ内に存在する中性種をプラズマ領域からプレートアセンブリを通して下側サブチャンバ内に流し、(d)前記基板をエッチングすること、を備える。
方法は、工程(b)を達成するために、第1の組成のプラズマ生成ガスを第1のプラズマ領域に流し、第2の組成のプラズマ生成ガスを第2のプラズマ領域に流す工程を備えてもよい。第1の組成および第2の組成は、異なってよい(例えば、異なるガス、または、異なる濃度の同じガスを含んでよい)。これらの例または他の例において、工程(b)は、第1のプラズマ領域で第1のプラズマを生成し、第2のプラズマ領域で第2のプラズマを生成する工程を含んでよく、第1のプラズマおよび第2のプラズマは、異なる密度を有する。方法は、さらに、第1のプレートおよび第2のプレートの間の距離を変化させることにより、プレートアセンブリを通るイオン対中性種の流束比を制御する工程を備えてもよい。特定の実装例では、第1のプラズマ領域からプレートアセンブリを通して下側サブチャンバに至る第1のイオン対中性種の流束比が、第2のプラズマ領域からプレートアセンブリを通して下側サブチャンバに至る第2のイオン対中性種の流束比と異なる。
これらの特徴および他の特徴については、関連する図面を参照しつつ以下で説明する。
開示された実施形態に従って、プラズマエッチングリアクタの一例を示す図。
どの種がアセンブリを通過できるのかを示すプレートアセンブリの整列した開口部の拡大図。 どの種がアセンブリを通過できるのかを示すプレートアセンブリの整列していない開口部の拡大図。
見通しのある場合(すなわち、整列時)および見通しのない場合(すなわち、非整列時)について、開口部付近の位置に対して中性種の流束を示すグラフ。
開示された実施形態に従って、エッチング動作を実行する方法を示すフローチャート。
開示されたエッチング動作が実行されうる半導体製造の流れを示すフローチャート。
特定の開示された実施形態に従って、一連の注入制御リングの例を示す図。 特定の開示された実施形態に従って、一連の注入制御リングの例を示す図。 特定の開示された実施形態に従って、一連の注入制御リングの例を示す図。
開示された実施形態に従って、複数の別個のプラズマ領域を有するプラズマエッチング装置の一例を示す図。
本願において、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および、「部分的に加工された集積回路」という用語は、交換可能に用いられている。当業者であれば、「製造途中の集積回路」という用語は、集積回路加工の多くの段階の内のいずれかの途中のシリコンウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハまたは基板は、通例、200mm、または、300m、または、450mmの直径を有する。さらに、「プレート」および「グリッド」という用語は交換可能に用いられている。以下の詳細な説明では、本発明がウエハに実施されることを仮定している。ただし、本発明は、それに限定されない。ワークピースは、様々な形状、サイズ、および、材料を有してよい。半導体ウエハに加えて、本発明を利用しうるその他のワークピースは、プリント回路基板など、様々な物品を含む。
以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。
さらに、記載では、「上側」および「下側」要素(また、同様に「上部」および「底部」、「左」、および、「右」など)と言及するが、これらの用語は、明確にするために過ぎず、限定するために用いられることはない。当業者であれば、他の構成も利用できることがわかる。特定の実施形態において、本明細書で「上側」および「下側」と記載された要素を、例えば、「下側」および「上側」もしくは「左」および「右」要素にしてもよい。
本明細書の実施形態は、一般に、半導体処理方法および装置を扱う。より具体的には、実施形態は、半導体基板をエッチングするための方法および装置に関する。開示された技術を実施する際、基板が、処理チャンバ内に準備される。図1は、適切な処理装置100の断面図を示す。反応チャンバは、プレートアセンブリ150によって上側サブチャンバ132および下側サブチャンバ134に分割されている。プレートアセンブリ150は、上側プレート116および下側プレート130を備える。上側および下側プレート116および130の各々は、プレートの厚さを貫通して伸びる開口部を有する。上側および下側プレート116および130の開口部が整列されると、上側サブチャンバから下側サブチャンバへのイオンおよび中性種の通過が可能になる。上側および下側プレート116および130の開口部が整列されていない時、中性種は、ずれた開口部を通過できるが、イオンは、実質的に通過を妨げられる。
この概念は、図2A〜図2Cに示されている。図2Aでは、プレートアセンブリ250の上側プレート216および下側プレート230の開口部が整列されており、イオン(実線矢印)および中性種(点線矢印)の両方が、下側サブチャンバへと通過できる。図2Bでは、プレートアセンブリ250の上側および下側プレート216および230は整列されておらず、中性種だけが通過できる。図2Cは、上側および下側プレートの開口部が整列された時(見通し時:点線)ならびに開口部が整列されていない時(非見通し時:実線)の下側プレートの出口での中性種の流束を示す。中性種の全流束は、非見通し時には小さくなるが、その減少は約16%にすぎない。見通し時と非見通し時との間の中性種流束の差は、これらの2つの場合の間でのイオン流束の差と比べるとかなり小さい。
図1の実施形態に戻り、処理装置100のさらなる詳細について説明する。上側サブチャンバ132は、誘導結合プラズマを含むよう構成され、下側サブチャンバ134は、容量結合プラズマを含むよう構成されている。さらに、下側サブチャンバ134の体積は調節可能であり、下側プレート130は、ガス供給部104から下側サブチャンバ134に処理ガスを供給するためのガス供給シャワーヘッドとして動作するよう構成されている。処理ガスが、上側シャワーヘッドプレート112への供給を行うガス供給部106を通して上側サブチャンバ132に別個に供給される。一部の例では、上側シャワーヘッドプレート112は、シリコン、窒化シリコン、炭化シリコン、または、石英などの材料で製造される。上側シャワーヘッドプレート112には、スロットが設けられてよい。さらに、上側シャワーヘッドプレート112は、上側および下側プレート116および130の間の空間の量を増減させるために、基板に近づいたり離れたりするように移動しうる。この動きは、特定の実施形態において、プレートアセンブリ150を通るラジカルの流束を制御するのに役立ちうる。
上側シャワーヘッドプレート112の上には、絶縁プレート110(例えば、セラミック絶縁プレート)があってよい。TCPコイル108が、絶縁プレート110の上方に配置されてよい。図1の実施形態では、デュアルTCPコイル108が用いられる。上側領域のプラズマが誘導結合プラズマではない実施形態では、これらのコイル108は無くてよい。リアクタ壁114が、下側および上側サブチャンバ134および132をそれぞれ囲んでいる。いくつかの実施形態において、リアクタ壁114は、陽極酸化アルミニウム製である。リアクタ壁114は、例えば、Yまたは厳しいプラズマ条件から半導体装置を保護するよう設計された他の材料などの保護材料でコーティングされてもよい。さらに、様々な実施形態において、リアクタ壁114は、温度制御ヒータリング128などの温度制御要素を備えてよい。これらの温度制御要素は、上側領域および下側領域のプラズマ内で所望のプラズマ条件を実現するのに役立つ。
上側プレート116は、注入制御プレートとも呼ばれ、上側サブチャンバ132の底部付近に配置される。この上側プレート116は、プレートの表面に垂直な軸を中心に回転可能であり、下にある下側プレート130のスロット/穴と少なくとも部分的に一致する穴および/またはスロットを含む。図1の実施形態において、上側プレート116は絶縁性であり、下側プレート130は導電性で接地されている。上側プレート116を回転させることにより、プレートアセンブリ開口面積が変化し、上側領域のプラズマから様々な相対量の種が、プレートアセンブリ150を通して下側サブチャンバ132内に移動できる。プレートアセンブリ開口面積(より簡単には、アセンブリ開口面積とも呼ぶ)は、或る時点で上側サブチャンバ132から下側サブチャンバ134までの明確な見通しがあるプレートアセンブリの面積と定義される。換言すると、上側および下側プレート116および130の開口部が整列している面積の量を表す。プレートアセンブリ開口面積は、プレートアセンブリの開口部の整列の程度に基づいて変化しうる。最大プレートアセンブリ開口面積は、与えられたプレートのセットについて達成できる最大のアセンブリ開口面積と定義される。同様に、プレート開口面積という用語は、上側サブチャンバ132から下側サブチャンバ134まで明確な見通しがある単一のプレートの面積と定義される。様々な実施形態において、本明細書の別の箇所で述べるように、上側プレート116を回転させることにより、上側サブチャンバから下側サブチャンバに通過する荷電種および中性種の相対量を調節することができる。
図1に示す他の特徴は、圧力制御リング118(しばしば、石英などの絶縁材料で製造される)、高出力調節可能静電チャック120、同軸RFスイッチ122、冷却スペーサリング126(しばしば、流体(水を含むがこれに限定されない)を収容するために用いられる)、および、温度制御ヒータリング128を含む。さらに、距離124は、下側サブチャンバの高さが調節可能でありうることを示す。
方法
図3Aは、本明細書の様々な実施形態に従って材料をエッチングするためのフローチャートである。処理300Aは、除去すべき材料を有する基板が処理装置の下側サブチャンバ内に受け入れられるブロック301から始まる。処理チャンバの一例は、図1を参照して上述した。ブロック303では、プラズマが、処理装置の上側サブチャンバ内で生成される。ブロック305では、バイアスが、基板支持体に印加される。一部の例では、このバイアスは、下側サブチャンバ内でプラズマを形成させる。他の例では、バイアスは、下側サブチャンバ内にプラズマが実質的に存在しないように、(例えば、周波数および/または印加電力の点で)十分に弱くてもよい。いずれの例においても、バイアスは、処理のためにイオンを基板に向かって引き寄せるよう作用する。ブロック307では、上側サブチャンバからイオン抽出プレートを通して下側サブチャンバ内に至るイオンおよび中性種の相対流束が制御される。イオンの流束は、主に、プレートアセンブリ開口面積の量を変化させることによって制御される。プレートアセンブリ開口面積の量が増大すると、それに正比例して実質的に、プレートアセンブリを通るイオンの流束が増加する。プレートアセンブリ開口面積を増大させると中性種の流束も増加するが、図2Cに示すように、中性種の流束は、イオンの流束と比べて、この開口面積による影響が著しく小さい。
中性種の流束は、主に、上側および下側プレート間の距離の影響を受ける。2つのプレート間の距離が増大すると、通過できる中性種の量が増加する。プレート間のギャップが広いほど、中性種が通過して下側プレートの開口部に達しうる開けた入り組んでいない通路ができる。いくつかの実装例において、プレートアセンブリ開口面積および/または上側プレートと下側プレートとの間の距離は、基板の処理中に変化しうる。もちろん、これらの変数は、様々なタイプの用途に必要とされうる通りに、異なる基板の処理の合間に変化してもよい。処理300Aは、基板がエッチングされるブロック309に続く。一部の例では、反応性エッチング剤が、エッチングの達成を助けるために、上側および/または下側サブチャンバに供給されてよい。他の例では、エッチングは、反応性の化学物質の助けなしにイオンエッチングによって実現される。
図3Bは、開示された技術が役立ちうる半導体製造処理の一例を示す。特に、図3Bは、より幅広い製造の流れに関し、その中で、図3Aに記載したエッチング処理が行われうる。この幅広い半導体製造方法の例については、米国特許第6,689,283号「DRY ETCHING METHOD,MICROFABRICATION PROCESS AND DRY ETCHING MASK」および米国再発行特許第RE40,951号「DRY ETCHING METHOD FOR MAGNETIC MATERIAL」で、さらに議論および記載されており、それらの各々は、参照により本明細書に全体が組み込まれる。
処理300Bは、材料のスタックが基板上に蒸着されるブロック302で始まる。一実施形態において、スタックは、導電材料および絶縁材料の層が交互に重なってできている。様々な例において、スタックが蒸着される基板は、半導体ウエハである。次に、ブロック304では、レジスト層が交互の層のスタック上に蒸着される。レジスト層は、リソグラフィ技術を用いてマイクロパターニングされてよい。特定の例において、パターニングされたレジスト層は、例えば、スピンコーティング法で蒸着されてUVまたは電子ビーム露光装置でパターニングされたポジ型レジストである。ブロック306では、マスク層が、パターニング済みのレジスト層上に蒸着される。一部の例において、マスク層は、窒化チタン(TiN)で形成されており、反応性スパッタリング法によって蒸着されうる。
次に、ブロック308では、パターニングされたレジスト層が、パターニングされたマスク層を形成するために除去される。いくつかの実施形態において、除去は、パターニングされたレジストを除去するために、溶媒浴に基板を浸漬して超音波エネルギを印加するリフトオフ法によって達成されてよい。次に、基板上のスタックは、エッチングされたスタックを形成するために、ブロック310においてエッチングされてよい。エッチングは、開示されたプラズマエッチング技術によって行われてよい。例えば、図3Aに示した処理300Aが、動作310で実施されてよい。
エッチングメカニズム
本明細書に記載の技術は、各種のメカニズムによって起こりうる多様なエッチング処理の実施に役立ちうる。一部の例において、必要のない材料の除去は、イオンエッチング(すなわち、イオンスパッタリングまたはイオンミリング)のみを用いて達成されてよい。他の実施形態において、反応性の化学物質が、反応性イオンエッチングと呼ばれる処理で材料除去を促進するために、イオン暴露と共に用いられる。
イオンエッチングは、一般に、不活性ガスを用いた物理的スパッタリングによって原子を除去することである。物理的スパッタリングは、イオンと、イオンが衝突する材料との間の運動量交換によって引き起こされる。衝突後、入射イオンは、ターゲットに衝突カスケードを引き起こす。かかるカスケードが跳ね返って、表面結合エネルギよりも大きいエネルギでターゲット表面に到達すると、スパッタリングとして知られるように、原子が放出されうる。
反応性イオンエッチングは、一般に、化学的に活性なイオンおよび/またはラジカルの作用で材料を除去することであり、イオンおよび/またはラジカルは、必要のない材料と反応してその除去を支援しうる。反応性の化学物質が用いられる場合、イオンの1つの目的は、反応のために表面を活性化させることでありうる。作用の理論にもメカニズムにも縛られることは望まないが、イオン衝撃は、エッチングされる金属または他の材料の上にダングリングボンドおよび/または物理化学的に受容性のあるその他の特徴を作り出すことによって、基板上に活性部分を生成しうると考えられている。一部の例では、イオンスパッタリングおよびラジカル誘起反応の組み合わせが用いられる。
処理中、上側サブチャンバのみ、下側サブチャンバのみ、または、両方のサブチャンバに、ガスが供給されてよい。各サブチャンバに供給されたガスは、同じであっても異なってもよい(例えば、異なるガス、または、異なる濃度の同じガス)。プラズマを形成するために用いられるガスは、用いられるエッチング剤およびエッチングされる材料に部分的に基づいて、反応チャンバ内の望ましくない反応を低減または排除するように選択されてよい。本明細書に記載する材料は、単に例示であり、決して実施形態を限定することを意図されていない。当業者であれば、様々な材料および反応と共に本明細書の技術を利用できることがわかる。
一部の例において、上側および/または下側サブチャンバに供給されるガスは、Ar、He、Ne、Krなどの不活性ガスを含む。エッチングがイオンスパッタリングによって実行される場合、サブチャンバに供給されるガスは、不活性ガスのみでありうる。しかしながら、エッチングが反応性イオンエッチングによって起こる場合、上側および/または下側サブチャンバに供給されるガスは、反応性ガス(例えば、エッチャントおよび/またはさらなる反応性処理ガス)を含みうる。利用可能なガスの例は、フッ化炭素(C)、炭化水素(C)、水素(H)、酸素(O)、窒素(N)、メタン(CH)、四フッ化炭素(CF)、塩素(Cl)、臭化水素(HBr)、アンモニア(NH)、三フッ化リン(PF)、フッ化カルボニル(COF)、一酸化炭素(CO)、一酸化窒素(NO)、メタノール(CHOH)、エタノール(COH)、アセチルアセトン(C)、ヘキサフルオロアセチルアセトン(C)、塩化チオニル(SOCl)、フッ化チオニル(SOF)、酢酸(CHCOOH)、ピリジン(CN)、および/または、ギ酸(HCOOH)を含む。様々な実施形態において、これらのエッチング反応剤の組み合わせが用いられる。例えば、一部の例では、CO+NOの組み合わせが用いられる。別の例では、CO+NOの組み合わせが用いられる。さらなる例では、ピリジンが塩化チオニルおよび/またはフッ化チオニルと組み合わせられる。いくつかの例では、反応性ガスが、上側サブチャンバまたは下側サブチャンバにのみ供給されるが、他の例では、反応性ガスが、両方のサブチャンバに供給されてもよい。さらに、いくつかの実施形態において、さらなる処理ガスが、特定の機能を実行するために、(例えば、下側サブチャンバに)供給される。例えば、さらなる処理ガスは、表面を保護するために(例えば、マスク層を保護するために)供給されてよい。さらなる処理ガスは、エッチング処理前または処理中に供給されてよい。いくつかの実装例において、不活性ガスおよび反応性ガスの組み合わせが用いられる。
任意のタイプのガス流入口、例えば、ガスシャワーヘッド、中央流入ノズル、または、サブチャンバの異なる地点(例えば、サブチャンバの周縁部の周り)に配置された複数の流入ノズルが用いられてよい。一実施形態では、プレートアセンブリの下側プレートが、ガス供給シャワーヘッドとして用いられる。この例では、下側プレートは、下側サブチャンバに処理ガスを供給するための流路を備える。
ガス供給のためのいくつかの特定の可能性が記載されるが、これらの例は、実施形態を限定する意図はない。一実装例では、1または複数のエッチャント種が、シャワーヘッドとして機能するプレートアセンブリの下側プレートを通して下側サブチャンバに供給される。別の実装例では、エッチャントは、シャワーヘッドの一部ではない1または複数のポートを通して下側サブチャンバに供給される。さらなる実装例では、エッチャントおよびさらなる反応性処理ガスの両方が、上側サブチャンバに供給される。さらに別の実装例では、エッチャントおよびさらなる反応性処理ガスの両方が、下側サブチャンバに供給される。追加の実装例において、以下でさらに十分に説明するように、異なるガス混合物が、上側サブチャンバの半径方向の異なる部分(例えば、同心のリング形状部分)に供給されてもよい。
いくつかの実装例において、エッチングされる材料は、Si、SO、SiN、SiON、SiCOH、TiN、W、Al、低誘電率材料、高誘電率材料などである。特定の実施形態において、エッチングされる基板は、製造途中のMRAMまたはReRAMデバイスである。さらに、エッチングされる材料は、基板上に蒸着された材料のスタックであってよい。スタックは、誘電材料および導電材料の交互の層/インターリービング層を有してよい。
いくつかの実施形態では、さらなる処理ガスが、不活性ガスおよび反応性エッチング剤と組み合わせて用いられる。これらのさらなる処理ガスは、プラズマ領域に存在するプラズマ条件を調整するために用いられる「調整ガス」であってよい。調整ガスの追加によって調整されうる1つの条件は、エッチング種のフラグメント化の程度である。例えば、特定の実施形態において、酸素、水素、および/または、アルゴンが、特定のフラグメント化したエッチャント種を再結合させるために用いられてよい。利用可能な調整ガスの他の例は、上記した反応性ガスを含む。さらなる処理ガスは、表面がエッチングから保護されるように、表面(または、その一部)を不動態化するために用いられるガスを含んでよい。不動態化ガスの例は、H、Cl、C、Cなどを含む。
リアクタ内でのプレートアセンブリの位置
プレートアセンブリは、反応チャンバ内に配置されることにより、反応チャンバを上側および下側サブチャンバに分割する。本明細書に記載したようなプレートアセンブリを備える変形に適したチャンバの一例は、カリフォルニア州フレモントのラムリサーチ社製のKiyo Reactorである。背景として、上で詳述した図1を参照して、以下の記載を考察することができる。特定の実装例において、プレートアセンブリ150は、下側プレートの下面と基板の上面との間の距離が約10〜50mmになるように配置される。上側サブチャンバの高さは、例えば、電力最適化で考慮される事項に基づいて選択されてよい。上側サブチャンバが大きいほど、大きい領域にプラズマを維持するために大きい電力利用が必要になる。いくつかの実施形態において、上側サブチャンバの高さは、約2〜20インチ(50.8〜508.0mm)、例えば、約5〜15インチ(127〜381mm)である。特定の一実施形態において、上側サブチャンバは、約11インチ(279.4mm)の高さを有する。
プレートパターンがウエハの面に転写しうるため、プレートアセンブリは、ウエハに近すぎないように配置されることが好ましい。換言すると、プレートのスロット/穴のパターンが、処理後のウエハの面上に不必要に現れて、基板表面上で深刻なエッチングの不均一性を引き起こす場合がある。多くの用途で、少なくとも約10mmの分離距離で十分である。
プレートアセンブリの設計
このセクションでは、プレートアセンブリの基本的な実施形態を提供する。プレートアセンブリの別の設計に関するさらなる詳細については、後の「半径方向に均一な結果の促進」セクションに記載する。
プレートアセンブリは、貫通した開口部を有する2つのプレート/グリッドを備える。プレートは、短い距離(例えば、約1〜6mm)だけ分離された上側プレートおよび下側プレートとなるように、互いに重ねて配置される。上側および下側プレートは、実質的に互いに平行である(例えば、約10°以内)。いくつかの実施形態において、プレートは、約3〜20mmの厚さ、例えば、約5〜15mmの厚さまたは約6〜10mmの厚さを有する。プレートが厚すぎる場合、または、プレートの穿孔が小さすぎる場合、プレートは、イオンの通過を遮断しすぎることがある(すなわち、イオンは、プレートを通過する代わりに、プレートと衝突し、時にプレートの開口部の側壁に衝突する)。グリッドが薄すぎると、硬さが不十分で、プラズマ処理に耐えることができず、かなり頻繁に交換する必要がありうる。グリッドは、反応チャンバ内に配置された時に撓むなどして曲がらないように、十分に硬いことが好ましい。そのことが、均一なエッチング結果を保証する助けとなる。
プレートは、絶縁材料および導電材料の両方を含む多様な材料で製造されてよい。さらに、プレートの内の1または複数がコーティングされてもよい。エッチング中にバイアスがプレートに印加される実施形態では、プレートを構成またはコーティングするために用いられる材料は、導電性であることが好ましい。様々な実施形態において、1または複数のプレートが、金属または金属合金から構成されるか、もしくは、金属または金属合金でコーティングされている。これらまたはその他の実施形態において、プレートの内の1または複数が、絶縁材料で構成される。一部の例において、1または複数のプレートが、硬質炭素材料でコーティングされてもよい。いくつかの特定の例において、プレートは、Y、YF、YAG、窒化チタン、または、CeOの層でコーティングされてもよい。グリッド材料は、例えば、腐食耐性のために陽極酸化またはその他の方法で不動態化されてもよいし、されなくてもよい。一実施形態において、上側プレートは、絶縁材料(例えば、石英)で製造され、下側プレートは、導電材料(例えば、金属)で製造される。開示された実施形態の範囲内で他の構成も可能である。
プレートアセンブリは、一般に、チャンバの水平断面にわたる。チャンバが(上方から見た時すなわちワークピースに向かって見た時に)円形である場合、プレートアセンブリも円形になる。これは、アセンブリが反応チャンバを2つのサブチャンバに効果的に分割することを可能にする。特定の設計において、プレートアセンブリの形状は、基板の形状(通例は円形ウエハであるが必ずしもそうではない)によって規定される。周知のように、ウエハは、しばしば、200mm、300mm、450mmなどの様々なサイズで提供される。正方形または他の多角形の基板、もしくは、より小さい/大きい基板について、他の形状も可能である。したがって、(上方から見た場合の)プレートアセンブリの断面は、様々な形状およびサイズを有しうる。いくつかの実施形態において、プレートアセンブリとチャンバ壁との間に分離距離があってもよい。この距離は、プレートアセンブリとチャンバ壁との間でのアーク発生の防止に役立ちうる。特定の実施形態において、この距離は、約3cm以上である。
一方のプレートの他方のプレートに対する配置を変化させることにより、イオン流束対ラジカル流束の比(流束比とも呼ばれ、イオン流束/中性種流束として定義される)が制御されうる。この制御を行う1つの方法は、上側および下側プレートの開口部が整列するように、プレートを回転させることによる方法である。図2A〜図2Bに関して述べたように、整列した開口部は、イオンおよび中性種の両方の移動を可能にするが、整列していない開口部は、主に、中性種の移動のみを可能にする。この制御を行う別の方法は、2つのプレート間の距離を変化させることによる方法である。プレート間の距離が広くなるほど、プレートアセンブリを通るラジカルの流束が大きくなるが、距離が狭くなると、ラジカル流束が小さくなる。
プレートの開口部は、様々な形状を取り得る。例えば、開口部は、円形の穴、スロット、C字形の開口部、T字形の開口部などであってよい。開口部は、開口部の中心を通る軸がプレートの面と垂直になるように方向付けられてよい。特定の一実施形態では、開口部すべてが、このように方向付けられる。別の実施形態では、開口部の一部が、プレートに対して垂直ではない角度に方向付けられる。上側および下側プレートの開口部は、同じ形状であってもよいし、異なっていてもよい。上側および下側プレートの開口部の配列は、同じであってもよいし、異なっていてもよい。開口部は、プレートが互いに対して回転した時にプレートアセンブリ開口面積の量が変化するように設計される。一部の例において、穿孔は、プラズマ生成中に電流がほとんどまたは全くプレート内で誘導されないように設計されうる。この結果を保証する1つの設計は、放射状に向けられたスロットを有するプレートである。装置がこの種の問題を防ぐように設計されていない場合、電流が誘導されて、プレートの周りで実質的に円形に流れるか、または、グリッド上で小さい渦電流として流れ、寄生電力消費の増大につながる。
開口部のアスペクト比は、開口部の幅/直径を開口部の深さで割った値として定義される。開口部は、各プレートの厚さを貫通しているので、開口部の深さは、プレートの厚さに等しい。スロットのアスペクト比は、プラズマが開口部の中で点火しないように、十分に小さいことが好ましい。適切なアスペクト比は、上側サブチャンバに存在するプラズマ条件に依存する。例えば、上側サブチャンバが高圧/高密度プラズマを含む場合、アスペクト比は、幾分小さいことが好ましい。同様に、上側サブチャンバが低圧/低密度プラズマを含む場合、アスペクト比は、幾分大きくてもよい(ただし、この例では柔軟性がある)。高圧/高密度プラズマが用いられる場合、プラズマシースの厚さがより薄い。したがって、開口部が十分に広い場合、開口部内にプラズマが存在する可能性がより高くなる。この現象は、例えば、適切に狭い開口部を用いることによって避けることが好ましい。いくつかの実施形態において、開口部のアスペクト比は、約0.2〜0.4の間である。これらまたはその他の実施形態において、開口部の直径またはその他の主要寸法は、約1〜10mmの間であってよい。主要寸法は、ワークピースに平行な方向であり、開口部の最長の線形経路にわたる寸法である。
上で定義したプレート開口面積およびプレートアセンブリ開口面積の両方は、絶対面積を単位として記載されてもよいし、プレート/アセンブリに対する総面積の割合を単位として記載されてもよい。例えば、300mm直径のプレートは、約700cmの面積を有する。プレートが約350cmの開口面積を有する場合、そのプレートは、約50%の開口面積を有すると見なされてもよい。一部の例では、プレート開口面積および最大アセンブリ開口面積が等しい。他の例では、最大プレートアセンブリ開口面積は、1または複数のプレートのプレート開口面積よりも小さい。いくつかの実装例では、少なくとも1つのプレートが、約30〜70%のまたは約40〜60%のプレート開口面積を有する。これらまたはその他の実装例において、最大プレートアセンブリ開口面積は、約30〜70%または約40〜60%であってよい。
上述のように、プレートアセンブリは、サブチャンバの内の1または複数にガスを供給するためのシャワーヘッドとしても機能しうる。特定の一実施形態において、プレートアセンブリの下側プレートは、下側サブチャンバにガスを供給するためのシャワーヘッドとして機能する。同様に、上側プレートは、上側サブチャンバにガスを供給するためのシャワーヘッドとして実装されうる。アセンブリの1つのプレートのみがシャワーヘッドとして機能する場合、移動しないプレートであってよい。この構成では、工学的に考慮する事項が少なくなるからである。シャワーヘッドとして用いられるプレートは、通例、複数のシャワーヘッド排出口に1または複数のガス供給流入口を接続する1または複数の流路を備える。
いくつかの実装例において、プレートアセンブリは、プレートアセンブリを通してプロービング装置を配置することを可能にするための特徴を備える領域(例えば、中央領域)を有する。プロービング装置は、動作中にプラズマ処理システムに関するプロセスパラメータを調べるために提供されうる。プロービング処理は、光学発光終点検出、干渉終点検出、プラズマ密度測定、イオン密度測定、および、その他の測定値プロービング動作を含みうる。特定の実施形態では、プレートアセンブリの中央領域が開いている。別の実施形態では、アセンブリの中央領域は、グリッドを通して光を透過させることを可能にするために、光学的に透明な材料(例えば、石英、サファイアなど)を含む。
いくつかの実施形態において、プレートアセンブリは、プレートの内の1または複数に埋め込まれた冷却流路を備えてよく、これらの冷却流路は、流動性または非流動性の冷却材料で満たされてよい。特定の実施形態において、冷却材料は、ヘリウムまたはその他の不活性ガスなどの流体、もしくは、脱イオン(DI)水、処理冷却水、3M社のfluoroinert(商標)などの液体、もしくは、ペルフルオロカーボン、ハイドロフルオロカーボン、アンモニア、および、COなどの冷却剤である。これらまたはその他の実施形態において、プレートアセンブリは、埋め込み加熱素子および/または温度測定装置を備えてよい。冷却流路および埋め込みヒータにより、正確な温度制御を行うことが可能であり、粒子および壁の条件を厳密に制御することが可能になる。この制御は、特定の例において、下側サブチャンバ内の条件を調整するために用いられてよい。例えば、下側プレートまたはプレートアセンブリが、より低い温度に維持されると、ウエハからのエッチング副生成物が、下側プレート上に選択的に蒸着することにより、下側サブチャンバ内のエッチング副生成物の気相密度が低減しうる。あるいは、下側プレートまたはプレートアセンブリは、プレートへの蒸着を低減して、チャンバが比較的清浄な状態を保持できることを保証するおよび/またはウエハレス自動洗浄(WAC)中にチャンバを洗浄するのに必要な時間を削減するために、高い温度(例えば、80℃超)に維持されてもよい。
いくつかの実施形態において、プレートは、エッチング処理が行われている間は動かない(回転も平行移動もしない)。かかる実施形態において、プレートは、MRAMスタックまたはFinFETゲートなどの複雑な構造を加工するために用いられる複数工程のエッチング処理の個々の工程など、異なる工程の合間に動く。別の実施形態において、プレートは、処理中に回転および/または平行移動してもよい。これは、例えば、エッチング処理中の異なる時間に異なるイオン流束:中性種流束の比(すなわち、流束比)を有することが望ましい場合に、処理にさらなる柔軟性を提供するのに役立つ。特定の一例において、プレートアセンブリを通るイオン流束:中性種流束の比は、エッチング処理の開始に向けて高く、エッチング処理の終了に向けて低くなる。他の実装例では、逆のことが当てはまりうる。
プレートアセンブリの別の実施形態に関するさらなる詳細については、後の「半径方向に均一な結果の促進」に記載する。
上側サブチャンバの条件と構成
処理中、上側サブチャンバは、通例、プラズマを含む。プラズマは、様々な方法によって生成されてよい。図1の実施形態において、例えば、上側サブチャンバは、高密度(例えば、1010〜1012荷電粒子/cm)の誘導結合プラズマを含むよう構成されている。別の実施形態において、上側サブチャンバは、容量結合プラズマを含むよう構成されてもよい。どのようなプラズマ生成技術が用いられても、上側サブチャンバ内のプラズマは、ラジカル結合プラズマ(RCP:Radically Coupled Plasma)と見なされうる。この用語は、基板を直接囲む処理領域から空間的に除去されたプラズマを指しており、そこからラジカルが基板を処理するために調節可能に抽出されうる。この記載において、「調節可能に抽出」という表現は、特定の処理のためにおよび/または特定の処理中に、ラジカルおよびイオンの相対流束(流束比)を所望の通りに調節できることを意味する。
上側サブチャンバに供給されうる処理ガスについては、「エッチングメカニズム」のセクションで上述した。
特定の実施形態において、上側サブチャンバ内のプラズマ形成を駆動するために用いられる電力は、約0〜10,000W、例えば、約1,500〜4,500Wである。特定の一実装例において、プラズマ形成を駆動するための用いられるRF電力は、約3,000Wである。
エッチング装置内の圧力は、真空ポンプによって制御されてよい。真空ポンプは、反応チャンバの排気ポートを通して排気を行いうる。排気ポートは、下側サブチャンバおよび/または上側サブチャンバ内に配置されてよい。排気ポートは、可変/制御可能なコンダクタンスを有してよい。プレートアセンブリの配置(例えば、開口部の整列ならびに上側および下側プレートの間の距離)も、サブチャンバ内で経験される圧力に影響しうる具体的には、これらの配置特性は、上側および下側プレートの間の適切な圧力勾配を提供するために調節されうる。
一動作モードでは、上側サブチャンバが用いられず、すべてのプラズマ生成および処理が下側サブチャンバ内で実行される。このモードでの実行時、プレートアセンブリの上側および下側プレートの間の距離はゼロまで減少されてよく、開口部は、プレートアセンブリ開口面積がなくなるように意図的にずらされてよい。このモードでは、エッチング装置は、基本的に、単一チャンバの従来のプラズマエッチャまで単純になる。基板が配置されると、すべてのガスが、下側サブチャンバに直接供給されてよい。プラズマが、下側サブチャンバ内で生成されてよく、基板は、従来の方法に従ってエッチングされてよい。上側サブチャンバを使わずに従来の方法で動作できることは、装置の柔軟性および有用性を高める。
下側サブチャンバの条件および基板支持体に印加されるバイアス
様々な実施形態において、バイアスが、エッチング中に基板支持体に印加されうる。一般に、基板支持体(例えば、静電チャック)がバイアスされる場合、基板もバイアスされる。一部の例において、バイアス周波数は、容量結合プラズマが下側サブチャンバ内で形成されるのに十分な大きさである(例えば、約60MHz)。別の例では、バイアス周波数は、エッチング中に下側サブチャンバ内にプラズマが実質的に存在しないように、はるかに小さい(例えば、約10MHz以下)。いくつかの実施形態において、基板支持体をバイアスするために用いられる電力は、バイアスの周波数がこの領域でのプラズマ形成を支持するのに十分に高い場合でも、下側サブチャンバに実質的にプラズマが存在しないほど十分に低い。いくつかの実施形態では、エッチング中に下側サブチャンバ内にプラズマを存在させることが有益でありうる。例えば、エッチャント種が下側サブチャンバ内に存在し、エッチャントをより多い/より小さいフラグメントに解離させることが望ましい場合、下側サブチャンバ内のプラズマの存在は、かかる解離を促進するのに役立ちうる。エッチャント種のフラグメント化の程度に影響しうる他の要素は、下側サブチャンバ内のプラズマの密度および有効電子温度を含む。
下側サブチャンバに供給されるガスは、「エッチングメカニズム」のセクションで上述したガスの内のいずれを含んでもよい。
基板支持体に印加されるバイアスは、イオンが基板に衝突するエネルギレベルに影響する。したがって、バイアスは、特定の用途に適切なレベルのイオンエネルギを提供するように調節されうる。イオンエネルギに影響する他の要素は、上側サブチャンバ内のプラズマ源に供給される電力、プレートアセンブリにわたる電場勾配(アセンブリの下側プレートに印加されるバイアスによって制御されうる)、ならびに、上側および下側サブチャンバの間の圧力勾配を含む。
プレートアセンブリに印加されるバイアス
いくつかの実施形態において、バイアスが、プレートアセンブリの1または複数のプレートに印加されてよい。一例では、負のバイアスが、プレートアセンブリの下側プレートに印加される。このように、上側サブチャンバ内で生成されプレートアセンブリを通過するイオンが、特定のイオンエネルギで基板に向かって加速されうる。プレートのバイアスは、所望のイオンエネルギを提供するように調節されうる。
半径方向に均一な結果の促進
基板をエッチングする時、或る程度の不均一性が生じうる。特に、半径方向の不均一性は、基板エッチング時に一般的な問題である。いくつかの例において、例えば、エッチングは、基板の中央領域および縁部領域付近で高い程度で起こりうるが、これら2つの領域の間の環状領域では低い程度で起こりうる。これらの半径方向の不均一性は、より大型の基板(例えば、300mm、および、特に450mm以上の基板)が処理される場合に高くなる。可能であれば、これらの不均一性を低減または排除することが望ましい。
一部の例において、プレートアセンブリの開口面積は、異なるレベルのイオン流束を基板の異なる部分に提供するように設計される。例えば、開口面積がプレートアセンブリの中央に向かって高密度になっている場合、イオンは、ウエハの縁部よりも高い程度でウエハの中央領域に作用しうる。同様に、プレートアセンブリ開口面積がプレートアセンブリの縁部付近で高密度になっている場合、イオンは、ウエハの中央よりも高い程度でウエハの縁部領域に作用しうる。これらの技術は、中央から縁部までの不均一性に対処するのに特に有効でありうる。しかしながら、基板表面のエッチング条件の半径方向のプロファイルに十分な柔軟性を提供しえない。
半径方向の不均一性に対処するための別の技術は、プレートアセンブリのプレートの内の1または複数を、単一の連続的なプレートではなく、一連の略同心のリングとして実装することによる。この場合、プレートリングは、注入制御リング、セグメント、または、プレートセクションと呼ばれうる。プレートアセンブリの上側プレートの代わりに利用できる注入制御リング/プレートセクションの例を、図4A〜図4Cに示す。図4Aを参照すると、一連のリング400Aが、内側プレート406を囲む外側リング402および中間リング404を備える。内側プレート406は、中央に穴を持たなくてもよいものの、簡単のため、リングと呼ぶこととする。各リングは、イオンおよびラジカルが(適切な条件下で)通過しうる複数の開口部408を備える。図4Bに示す一連のリング400Bは、図4Aに示した3つのリングではなく、4つの注入制御リング(外から内に向かって、要素412、414、416、および、417)を備える。この実施形態において、一部の注入制御リング412および414は、同心の2列の開口部408を備えるが、他の注入制御リング416および417は、3列の同心の開口部を備える。多くの様々な開口部の配置が用いられてよい。例えば、図4Cに示す注入制御リングセット400Cでは、各注入制御リングが、1列の開口部を備える。このセクションで用いられているように、開口部の「列」は、環状である(すなわち、直線の列を指すのではない)。図4Cに示した実施形態において、開口部の各列は、自身専用の注入制御リングに配置されているので、他の列と独立して回転されうる。図4Cは、11個の異なるプレートセクションを有するリングセット400Cを示しているが、簡単のために、個々のプレートセクション/注入制御リングには符号をつけていない。
いくつかの実施形態では、開口部の密度が、異なる注入制御リングの間で異なっていてもよく(例えば、少なくとも20%または少なくとも50%の差)、一方、他の実施形態では、開口部の密度が、異なる注入制御リングの間で均一または実質的に均一(例えば、約20%以内)である。
プレートの1つを同心リングとして実装することにより、イオン流束:ラジカル流束の比を容易に半径方向で調節することができる。各リングは、イオンおよびラジカルが通過しうる所望の量の開口面積を提供するために、独立して回転されうる。例えば、外側リングは、イオンが最大限にプレートアセンブリを通過しうるように整列されてよく、一方で、内側リングは、非常にわずかな(または、一部の例では、ゼロの)イオンだけが上側サブチャンバから下側サブチャンバに通過しうるように整列されてよい。
さらに、いくつかの実施形態において、各リングは、各リングを通るラジカルの流束を調節するために、プレートアセンブリの他のプレートに向かうように/プレートから遠ざかるように、独立して移動できる。この場合、注入制御リングの隣接する縁部を接続するために、バリアが用いられることが好ましい。図4において、リングが独立して平行移動するよう構成されている場合、内側プレート406の縁部および中間リング404の内縁部の間に、バリアが備えられることが好ましい。同様に、中間リング404の外縁部および外側リング402の内縁部の間に、バリアが備えられることが好ましい。これらのバリアは、静止していてもよいし、注入制御リングと共に移動してもよい。バリアの目的は、上側サブチャンバ内のプラズマが下側サブチャンバに漏れるのを防ぐことである。バリアの最小の高さは、隣接する注入制御リング間の垂直位置の差によって決まる。
注入制御リングを用いる場合、各制御リングは、異なる制御リングを通るイオンエネルギおよび流束を制御するために、独立してバイアスされてよい。一実施形態において、プレートアセンブリの上側プレートは、単一の連続的なプレートとして実装され、アセンブリの底部プレートは、一連の3つの注入制御リングとして実装される。第1のバイアスが、外側注入制御リングに印加され、第2のバイアスが、中間制御リングに印加され、第3のバイアスが、内側注入制御リング/プレートに印加される。第1、第2、および、第3のバイアスは、特に、半径方向に均一なエッチング結果を促すために、所望の通りにイオンエネルギおよび流束を制御するように、異なるレベルに設定されてよい。いくつかの実施形態において、底部プレートの所定のセグメントまたはセクタが、独立制御可能なバイアスを有する。このように、イオンエネルギおよび流束は、所望のレベルの精度で半径方向および/または方位角方向で制御されうる。一部の例において、バイアスメカニズムは、電極のグリッドである。一部の例では、電極が、底部プレートの各穴に提供されてもよい。底部プレートの穴に対する電極の比は、1:1、1:2、1:3、1:4、1:5などであってよい。
各リングは、他のリングと独立して動くことができるので、基板の異なる部分で異なるプレーティング条件を達成することが容易である。この制御は、基板の表面全体にわたって、より均一なエッチング結果を生み出しうる。このタイプの制御は、この産業がより大きい基板(例えば、450mm以上の直径)へと向かうにつれて、エッチング条件の半径方向の制御がより重要になるため、特に有利である。一部の例において、(内側の中央プレートを含めた)リングの数は、約2〜10または約3〜5である。リングの数を増やすと、エッチング条件を半径方向でより精密に制御できるが、工学的な課題も大きくなる。エッチングの不均一性は、一般に、中央領域および縁部領域が中間の半径方向位置よりも互いに類似したエッチング条件を経験する「W」字形になることが観察されている。かかる状況では、少なくとも3つのリングを含むプレートアセンブリが、半径方向のエッチングプロファイルに特有の不均一性に対処するのに有効でありうる。例えば、中間リングが、中央リングおよび縁部リングに比べて、比較的高いイオン:ラジカル流束比を生み出すために回転されてよい。
いくつかの実施形態において、リングは、同じ幅(環状リングついては、この幅は内半径および外半径の間の距離として測定され、円形「リング」に対してはこの幅は半径である)または実質的に同じ幅(例えば、約10%以内)である。別の実施形態において、リングは、異なる幅を有してもよい(例えば、少なくとも約10%、少なくとも約30%、または、少なくとも約50%だけ異なってよい)。リングが異なる幅を有する場合、より幅の広いリングが、一連のリングの周囲にまたは周囲に向かって、リングの中央にまたは中央に向かって、もしくは、中間の位置に、配置されてよい。この柔軟性は、特定の用途およびその関連の不均一性に応じて、エッチング処理の最適化を行うことを可能にする。
上側または下側プレートのいずれか(または両方)が、一連の注入制御リングとして実装されてよい。特定の一実施形態において、上側プレートは、絶縁材料でできた一連の注入制御リングで形成される。別の特定の実施形態において、下側プレートは、導電材料でできた一連の注入制御リングで形成される。他の構成も可能である。下側プレートが静止し、上側プレートが一連の可動注入制御リングで形成された実施形態は、温度、材料挙動、粒子形成、RFリターンの問題などを制御する点で好ましい場合がある。ただし、いずれの構成を用いてもよい。
注入制御リングが用いられる場合、メカニズム(例えば、プレートアセンブリの他方のプレートに組み込まれたマイクロアクチュエータ)が、各リングを独立して動かす(例えば、回転および平行移動させる)ために備えられることが好ましい。回転を引き起こすメカニズムおよび平行移動を引き起こすメカニズムは、別個に実装されてもよいし、運動を引き起こす単一のメカニズムの一部として実装されてもよい。一実施形態において、運動を引き起こすメカニズムは、移動しないプレート(例えば、下側プレート)に備えられ、移動するプレート(例えば、上側プレート)の回転および/または平行移動を引き起こす。運動を引き起こすメカニズムは、リアクタの周囲壁に向かって外側に伸びる構造を備えてよく、それらの構造は、移動しないプレートを通して伸びてもよい。装置が上側サブチャンバを異なるプラズマ領域に分割する絶縁壁を備える場合(このセクションで後述)、運動を引き起こす要素は、これらの絶縁壁を通して伸びてもよい。
通例は、小さい程度の運動だけでよい。例えば、約1〜10°の間または約1〜5°の間の回転で十分でありうる。様々な実装例において、角回転の量は、最大のイオン:ラジカル流束比および最小のイオン:ラジカル流束比ならびにそれらの間の多くの比またはすべての比を可能にするように設定される。比較的小さい開口部については、必要な回転量はかなり小さい場合がある。同様に、ほとんどの実装例では、比較的小さい量の平行移動のみが用いられる。例えば、いくつかの実施形態において、装置は、少なくとも約0.5mmまたは少なくとも約1mmだけ各リングを独立的に平行移動させることができる。一部の例では、リングは、約0〜10mmだけ平行移動しうる。いくつかの実装例では、1つの半径方向セクションにおけるアセンブリのプレートおよびリングの間の距離が、第2の半径方向セクションにおけるアセンブリのプレートおよびリングの間の距離よりも少なくとも約0.5mm大きくなるようにして、エッチングが行われる(半径方向セクションは、注入制御リングと同一の広がりを持つ)。
半径方向の不均一性の問題に対処するためのさらなる技術は、単一の連続的な上側プラズマ領域ではなく、一連の同心のプラズマ領域として上側サブチャンバを実装することである。この技術を実施するための装置を図5に示す。上側プラズマ領域は、より簡単にプラズマ領域と呼ばれてもよい。ここでは、3つのプラズマ領域132a、132b、および、132cが用いられる。別の実施形態において、プラズマ領域の数は、約2〜10または約3〜5の範囲であってよい。理論的には、任意の数のプラズマ領域が用いられてよい。より多い領域数は、プラズマ条件をより細かく調節するために用いられてよく、より少ない領域数は、実装がより簡単になる。上記に示唆したように、3つの半径方向に分離したセクションを有して、下側サブチャンバにおけるイオン:ラジカル流束比の独立制御を行うことにより、多くの典型的な不均一性パターンに対処できる。図5に示す実施形態において、最内のプラズマ領域132aは、上から見ると、円形の断面を有する。その他のプラズマ領域132b、132cは、上から見ると、環状の断面を有しており、最内のプラズマ領域132aを囲んでいる。プラズマ領域132a〜132cは、絶縁壁142によって隔てられている。一部の例において、絶縁壁は、セラミックまたは石英などの誘電材料から形成されるが、他の絶縁材料が用いられてもよい。絶縁壁142の目的は、プラズマ領域132a〜132cの各々を互いに隔離することである。
各プラズマ領域は、別個のガス供給口を有する。例えば、プラズマ領域132aは、ガス供給口106aによって供給され、プラズマ領域132bは、ガス供給口106bによって供給される、などである。ガス供給物は、ガス供給物の間の分離を維持すると共に正しい供給物を各プラズマ領域に送ることができるシャワーヘッドプレート141に供給される。さらに、独立した電源が、各プラズマ領域に提供される。図5の実施形態では、複数領域用のRF電源140が、各プラズマ領域132a〜132cに近接するコイル108に電力を独立的に供給するために用いられる。別個のガス供給口106a〜106cと、各領域に独立して電力を提供するためのメカニズムとを、各プラズマ領域132a〜132cに提供することにより、異なるタイプのプラズマが、各プラズマ領域132a〜132cで生成されうる。異なるプラズマは、エッチング中に生じうる中央から縁部までの不均一性に対処するのに役立ちうる。
いくつかの実施形態において、異なる組成のガスが、異なるプラズマ領域132a〜132cに供給される。例えば、或るガスは、プラズマ領域のいくつかに供給されうるが、その他のプラズマ領域には供給されない。一例において、ガスAは、プラズマ領域132aおよび132cに供給されうるが、プラズマ領域132bには供給されない。同様に、一実施形態において、異なる調整ガスが、プラズマ領域132a〜cの各々に供給される。異なるプラズマ領域においてガスの組成を異ならせる別の方法は、異なる相対濃度の成分ガスを各プラズマ領域に供給することである。一例において、プラズマ領域132a、132bは、約50%のガスAおよび50%のガスBを含むガス供給物を受け入れ、プラズマ領域132cは、約75%のガスAおよび約25%のガスBを含むガス供給物を受け入れる。このセクションで用いられているように、ガスAおよびBは、「エッチングメカニズム」のセクションで述べた適切なガスの内のいずれかを表しうる。
プラズマ領域132a〜132cの間で可変または一定であってよい他の要素は、各プラズマ領域に供給される総流量、各プラズマ領域内の圧力、各プラズマ領域内の温度、各プラズマ領域内のプラズマ密度、各領域のためのプラズマ源に供給される電力、各領域内でプラズマを生成するために用いられる周波数、などを含む。
特定の一実施形態では、分離されたプラズマ領域が、一連の注入制御リングと共に実装される。リング数およびプラズマ領域数は、通例は等しいが、必ずしもそうでない。制御リングは、プラズマ領域と同じ幅であるように(または、若干小さいように)、そして、特定の注入制御リングが、対応するプラズマ領域の底面として効果的に機能するように、設計されうる。
動作モードの例
本明細書に開示された方法および装置は、異なる基板の処理の合間または複数工程のエッチング処理の異なる工程の合間ならびに単一処理の単一基板の処理中の両方に、幅広いエッチング条件を達成することを可能にする。したがって、開示された技術は、多くの異なる種類のエッチング動作を実施するために利用できる。明確にして理解しやすくするために、いくつかのタイプまたはモードの動作について言及する。しかしながら、簡単のために、本開示によって可能になる特定のタイプの処理については、個別に議論しない。さらに、モードは、例示のために記載されており、モードに関する詳細は、所望の用途に従って修正されてもよい。特定の変数(通例、特定の動作モードで装置を動作させるのに重要ではない変数)は、以下のセクションでの議論から除外されうる。
イオン衝撃のみ
この動作モードでは、不活性ガスが上側サブチャンバに供給され、エッチャントは利用されない。プラズマは、上側サブチャンバ内でのみ生成され、下側サブチャンバには実質的にプラズマは存在しない。プレートアセンブリを通過して下側サブチャンバに至るイオンのエネルギは、アセンブリの下側プレートに印加されるバイアスを制御することによって調節されうる。様々な例において、静電チャックは、このモードでの動作時にはバイアスされない。基板へのイオン流束は、本明細書に記載のメカニズムのいずれかによって制御できる(例えば、プレートアセンブリの開口部の整列の程度、注入制御リング、異なるプラズマ領域、プラズマを生成するために供給される電力など)。
エッチャント存在下でのイオン衝撃
このモードでは、プラズマ生成ガスが上側サブチャンバに供給され、エッチャントがいずれかのサブチャンバまたは両方のサブチャンバに供給される。エッチャントは、フラグメント化されてもされなくてもよい。エッチャントがフラグメント化されないことが望ましい場合、下側サブチャンバに直接供給されることが好ましく、この領域には実質的にプラズマが存在しないことが好ましい。フラグメント化の程度は、様々なメカニズムによって、中でも特に、下側サブチャンバ内のプラズマの存在によって制御されうる。フラグメント化は、プラズマの電子温度および下側サブチャンバ内の圧力などのパラメータを制御することによって調節されうる。
一例では、エッチャントが、完全に解離またはフラグメント化されて、フッ素種および同様の原子種または原子種に近いものを生成しうる。かかるエッチャントの例には、CおよびCガスが含まれる。あるいは、エッチャントは、複数原子のエッチング成分に部分的にフラグメント化されてもよい。一部の例において、エッチャントは、ラジカル化されてもよい。プレートアセンブリを通過して下側サブチャンバに至るイオン種および中性種(例えば、ラジカル化されフラグメント化されたエッチャント種)の相対流束は、本明細書に記載のメカニズム(例えば、プレートアセンブリの開口部の整列の程度、注入制御リング、異なるプラズマ領域、プレートアセンブリの上側および下側プレートの間の距離、プラズマ生成のために供給される電力、上側サブチャンバへのイオン生成ガスおよびラジカル生成ガスの流量など)のいずれかによって制御されうる。
エッチャントのみ
この動作モードでは、プラズマは上側サブチャンバ内でのみ生成され、下側サブチャンバには実質的にプラズマが存在しない。プレートアセンブリ開口面積は、ゼロに設定される(すなわち、上側および下側プレートの開口部は、完全または実質的に完全にずらされる)。このように、中性種(例えば、ラジカル化されたエッチャント種)は、プレートアセンブリを通して上側サブチャンバから下側サブチャンバに通過しうるが、イオンは、完全または実質的に完全に下側サブチャンバに入るのを妨げられる。中性種の流束は、例えば、プレートアセンブリの上側および下側プレートの間の距離を変化させることによって制御されてよい。
蒸着/不動態化
この動作モードでは、基板の一部に保護層を形成するために、さらなる処理ガスが下側サブチャンバに供給される。一例では、SiClが、マスク層の保護を助けるために供給される。不動態化ガスとして利用可能なその他のガスは、CFy、C、COS、H、HBrなどを含むが、これらに限定されない。別の例において、さらなる処理ガスは、トレンチまたは別のフィーチャの側壁を保護するよう機能する。このさらなる処理ガスは、別個に供給されてもよいし(すなわち、エッチングが起こっていない間、例えば、エッチング動作の直前など)、エッチング処理中に供給されてもよい。
下側サブチャンバ内のプラズマ
エッチングリアクタを動作させる様々なモードで、プラズマが下側サブチャンバに存在しうる。一動作モードでは、上側サブチャンバは利用されない。プレートアセンブリの上側および下側プレートは、互いに接触する(すなわち、それらの間の距離がゼロまで減少される)ように配置され、開口部は、完全にずらされる。プラズマ生成ガス(上記の「エッチングメカニズム」のセクションで言及したガスの内の1または複数を含みうる)が、下側サブチャンバに直接供給され、この領域でプラズマが生成される。この動作モードでは、エッチング装置は、基本的に、従来の単一チャンバエッチングリアクタに単純化する。
プラズマが下側サブチャンバ内に存在する場合、プラズマは、通例、静電チャック/基板支持体に高周波バイアスを印加することによって生成される。あるいは、プレートは、特定の実装例において、上側サブチャンバから下側サブチャンバにプラズマが漏れることを許容するように設計されてもよい。プラズマが下側サブチャンバ内に存在する様々な実施形態において、エッチャント種が存在するが、これは必ずしも常に当てはまるわけではない。
装置
本明細書に記載の方法は、記載された変形(例えば、プレートアセンブリ、注入制御リング、および/または、別個のプラズマ領域など)を有する任意の適切なプラズマエッチング装置によって実行されてよい。適切な装置は、本発明に従って、処理動作を完了するためのハードウェアと、処理動作を制御するための命令を有するシステムコントローラとを備える。例えば、いくつかの実施形態において、ハードウェアは、処理ツールに含まれる1または複数の処理ステーションを備えてよい。
システムコントローラ
いくつかの実施形態において、システムコントローラ(1または複数の物理または論理コントローラを含みうる)が、処理ツールの動作の一部または全部を制御する。システムコントローラは、通例、1または複数のメモリデバイスと、1または複数のプロセッサとを備える。プロセッサは、中央処理装置(CPU)またはコンピュータと、アナログおよび/またはデジタル入力/出力接続と、ステッパモータコントローラボードと、その他の同様の構成要素とを備えてよい。適切な制御動作を実施するための命令が、プロセッサで実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されてもよいし、ネットワークを介して提供されてもよい。特定の実施形態において、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、タイミング、処理ガス成分の混合(例えば、エッチングガスの組成、プラズマ生成に用いられるガスの組成、任意の他の処理ガスなど)、チャンバ圧、チャンバ温度、ウエハ温度、チャック/ウエハおよび任意の他の電極に印加される電流および電位、グリッドアセンブリの各グリッドに印加されるバイアス、静電チャックに印加されるバイアス、ウエハ位置、プレート位置、ならびに、処理ツールによって実行される特定の処理のその他のパラメータ、を制御するための命令を備えてよい。システム制御ソフトウェアは、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。
いくつかの実施形態において、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備える。例えば、エッチング処理の各段階が、システムコントローラによる実行のための1または複数の命令を備えてよい。プラズマ生成処理段階のための処理条件を設定するための命令が、対応するプラズマ生成レシピ段階に含まれてよい。いくつかの実施形態において、エッチングレシピ段階は、連続的に配列されてよく、その結果、エッチング処理段階のためのすべての命令は、その処理段階と同時に実行される。
いくつかの実施形態において、他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、上側サブチャンバガス供給組成制御プログラム、下側サブチャンバガス供給組成制御プログラム、ガス流入口タイミング制御プログラム、プレートアセンブリバイアス制御プログラム、プレートアセンブリ位置制御プログラム、静電チャックバイアス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、電位/電流電源制御プログラムを含む。本明細書で言及したソフトウェア/プログラムはいずれも、エッチング中に関連パラメータを修正するための命令を含んでよい。一例において、プレートアセンブリバイアス制御プログラムは、エッチング中にプレートアセンブリの1または複数のプレートへのバイアスを修正するための命令を備えてよい。結果として、下側サブチャンバに移動するイオンのイオンエネルギが、エッチング処理中に修正されうる。
一部の例において、コントローラは、以下の機能の内の1または複数を制御する:下側サブチャンバへのエッチャントまたはその他の処理ガスの供給、上側サブチャンバへのプラズマ生成ガスの供給、上側および/または下側サブチャンバ内のプラズマ生成条件、プレートアセンブリの各プレートに印加されるバイアス、プレートアセンブリのプレートの回転/平行移動など。例えば、サブチャンバへのガスの供給は、特定の時間に特定のバルブを開閉させることによって達成されうる。これにより、コントローラは、ガス供給のタイミングと、供給されるガスの組成との両方を制御できる。コントローラは、例えば、電源が特定の周波数/電力レベルでプラズマ発生器(例えば、ICPリアクタのコイル)に電力を供給するように指示することによって、プラズマ生成条件を制御しうる。さらに、コントローラは、不活性ガス(および/または、いくつかの実施形態における反応性ガス)の流れを上側サブチャンバに流入させる、または、サブチャンバ内の圧力を制御する、または、サブチャンバ内の温度を制御するなどして、プラズマ生成条件を制御してもよい。コントローラは、回転アクチュエータおよび/または平行移動アクチュエータがプレートを所望の通りに動かすように指示することによって、プレートアセンブリのプレートの回転/平行移動を制御しうる。一部の例において、コントローラは、基板上の中央から縁部までのエッチング条件を制御するために、同心プレートセクションを回転または平行移動させるよう設計または構成される。同様に、コントローラは、イオン対ラジカルの流束比を制御するために、第1のプレートに対して少なくとも1つの同心プレートセクションを移動させて第1および第2のプレートの開口部を位置調整するよう設計または構成されてもよい。一実施形態において、コントローラは、同心プラズマ領域でのプラズマ生成を独立して制御することにより、基板上の中央から縁部までのエッチング条件を制御するよう設計または構成される。コントローラは、センサ出力(例えば、電流、電流密度、電位、圧力などが、特定の閾値に達した時の出力)、動作のタイミング(例えば、処理中の特定の時刻にバルブを開くこと)に基づいて、または、ユーザから受信した命令に基づいて、これらの側面を制御してよい。
上述の様々なハードウェアおよび方法の実施形態は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。
薄膜のリソグラフィパターニングは、通例、以下の工程の一部または全部を含み、各工程は、複数の可能なツールで実現される。(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(窒化シリコン薄膜を上に形成された基板など)上にフォトレジストを塗布する工程、(2)ホットプレートまたは炉またはその他の適切な硬化ツールを用いて、フォトレジストを硬化させる工程、(3)ウエハステッパなどのツールで可視光またはUVまたはx線にフォトレジストを暴露させる工程、(4)ウェットベンチまたはスプレー現像装置などのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程、ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。いくつかの実施形態において、アッシング可能なハードマスク層(アモルファス炭素層など)および別の適切なハードマスク(反射防止層など)が、フォトレジストの塗布前に蒸着されてよい。
本明細書に記載の構成および/またはアプローチは、本質的に例示であり、多くの変形が可能であるので、これらの具体的な実施形態または例は、限定であると見なされないことを理解されたい。本明細書に記載の具体的なルーチンまたは方法は、任意の数の処理戦略の内の1または複数を表しうる。したがって、例示された様々な動作は、例示された順番で、他の順番で、または、並行して実行されてよく、一部の例では省略されてもよい。同様に、上述の処理の順番は変更されてもよい。
本開示の主題は、様々な処理、システム、および、構成のすべての新規かつ非自明な組み合わせおよび部分的組み合わせ、ならびに、本明細書に開示された他の特徴、機能、動作、および/または、特性と、それらのすべての等価物とを含む。

Claims (30)

  1. 基板をエッチングするための装置であって、
    (a)反応チャンバと、
    (b)前記反応チャンバ内に配置され、前記反応チャンバを上側サブチャンバおよび下側サブチャンバに分割するプレートアセンブリであって、
    (i)第1のプレートと、
    (ii)前記第1のプレートに対して独立的に回転可能な少なくとも2つの略同心プレートセクションを備える第2のプレートであって、前記第1のプレートおよび前記第2のプレートは、各プレートの厚さを貫通する開口部を有する、第2のプレートと、を備える、プレートアセンブリと、
    (c)前記上側サブチャンバへの1または複数のガス流入口と、
    (d)前記反応チャンバからガスを除去するよう設計または構成された前記反応チャンバへの1または複数のガス流出口と、
    (e)前記上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、
    を備える、装置。
  2. 請求項1に記載の装置であって、少なくとも3つの略同心プレートセクションを備える、装置。
  3. 請求項1または2に記載の装置であって、前記プレートアセンブリの前記プレートの少なくとも一方の前記開口部の内の少なくとも一部は、約0.2〜0.4の間のアスペクト比を有する、装置。
  4. 請求項1から3のいずれか一項に記載の装置であって、前記プレートアセンブリの前記プレートの少なくとも一方は、約40〜60%の開口面積を有する、装置。
  5. 請求項1から4のいずれか一項に記載の装置であって、前記第2のプレートの前記プレートセクションは、絶縁材料を含み、前記第1のプレートは、導電材料を含む、装置。
  6. 請求項1から5のいずれか一項に記載の装置であって、前記上側サブチャンバは、1または複数の絶縁壁によって隔てられた複数の同心プラズマ領域に分割されている、装置。
  7. 請求項1から6のいずれか一項に記載の装置において、さらに、前記基板上の中央から縁部までのエッチング条件を制御するために、前記同心プレートセクションの内の1または複数を回転させるよう設計または構成されているコントローラを備える、装置。
  8. 請求項1から7のいずれか一項に記載の装置において、さらに、イオン対ラジカルの流束比を制御するために、前記第1のプレートに対して少なくとも第1の同心プレートセクションを移動させて前記第1および第2のプレートの前記開口部を位置調整するよう設計または構成されているコントローラを備える、装置。
  9. 基板をエッチングするための装置であって、
    (a)上側サブチャンバおよび下側サブチャンバを備えている反応チャンバと、前記上側サブチャンバは、少なくとも2つの略同心プラズマ領域を備え、各プラズマ領域は、1または複数の絶縁壁によって他のプラズマ領域から隔離されており、
    (b)前記上側サブチャンバおよび前記下側サブチャンバの間に配置され、第1のプレートおよび第2のプレートを備えたプレートアセンブリと、各プレートは、前記プレートの厚さを貫通する開口部を有し、前記第2のプレートは、前記第1のプレートに対して回転可能であり、
    (c)前記上側サブチャンバへの1または複数のガス流入口と、
    (d)前記下側サブチャンバからガスを除去するよう設計または構成されている前記下側サブチャンバへの1または複数のガス流出口と、
    (e)前記上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、
    を備える、装置。
  10. 請求項9に記載の装置において、さらに、前記第1のプレートおよび前記第2のプレートの間の距離が可変となるように、前記プレートアセンブリの少なくとも一方のプレートを前記プレートアセンブリの他方のプレートに向かう方向におよび前記他方のプレートから離れる方向に移動させるよう設計または構成されている移動メカニズムを備える、装置。
  11. 請求項9または10に記載の装置であって、前記プレートの少なくとも一方は、前記上側または下側サブチャンバにガスを供給するためのシャワーヘッドとして機能するよう設計または構成されている、装置。
  12. 請求項9から11のいずれか一項に記載の装置であって、前記第1のプレートおよび前記第2のプレートの間の距離は、約1〜6mmである、装置。
  13. 請求項9から12のいずれか一項に記載の装置であって、前記プレートアセンブリの前記プレートの少なくとも一方は、約3〜20mmの厚さである、装置。
  14. 請求項9から13のいずれか一項に記載の装置であって、前記プラズマ発生源は、誘導結合プラズマを生成するよう設計または構成されている、装置。
  15. 請求項9から14のいずれか一項に記載の装置であって、前記上側サブチャンバは、少なくとも3つの略同心プラズマ領域を備える、装置。
  16. 請求項9から15のいずれか一項に記載の装置において、さらに、前記同心プラズマ領域でのプラズマ生成を独立して制御することにより、前記基板上の中央から縁部までの条件を制御するよう設計または構成されているコントローラを備える、装置。
  17. 請求項9から16のいずれか一項に記載の装置において、さらに、イオン対ラジカルの流束比を制御するために、前記第1のプレートに対して少なくとも1つの同心プレートセクションを移動させて前記第1および第2のプレートの前記開口部を位置調整するよう設計または構成されているコントローラを備える、装置。
  18. 基板をエッチングする方法であって、
    (a)エッチング装置の反応チャンバ内に基板を受け入れ、前記エッチング装置は、
    (i)前記反応チャンバ内に配置され、前記反応チャンバを上側サブチャンバおよび下側サブチャンバに分割するプレートアセンブリであって、第1のプレートおよび第2のプレートを備えるプレートアセンブリと、前記第2のプレートは、少なくとも2つの同心セクションを備え、前記同心セクションは、前記第1のプレートに対して独立して回転可能であり、前記第1のプレートおよび前記第2のプレートは、各プレートの厚さを貫通する開口部を有し、
    (ii)前記上側サブチャンバへの1または複数のガス流入口と、
    (iii)前記下側サブチャンバからガスを除去するよう設計または構成されている前記下側サブチャンバへの1または複数のガス流出口と、
    (iv)前記上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源とを備え、
    (b)プラズマ生成ガスを前記上側サブチャンバ内に流して、プラズマを生成し、
    (c)前記プラズマ内に存在する中性種を前記上側サブチャンバから前記プレートアセンブリを通して前記下側サブチャンバ内に流し、
    (d)前記基板をエッチングすること、
    を備える、方法。
  19. 請求項18に記載の方法において、さらに、イオンが前記上側サブチャンバから前記プレートアセンブリを通して前記下側サブチャンバ内に流れるように、前記プレートアセンブリの前記上側および下側プレートの少なくとも一部の開口部を整列させることを備える、方法。
  20. 請求項19に記載の方法であって、前記プレートアセンブリの第1の部分を通るラジカル対イオンの第1の流束比が、前記プレートアセンブリの第2の部分を通るラジカル対イオンの第2の流束比と異なる、方法。
  21. 請求項18から20のいずれか一項に記載の方法において、さらに、前記第2のプレートの前記同心セクションの少なくとも1つを回転させることにより、前記プレートアセンブリを通るラジカル対イオンの流束比を制御することを備える、方法。
  22. 請求項18から21のいずれか一項に記載の方法において、さらに、前記下側サブチャンバ内に配置されている基板支持体にバイアスを印加することを備える、方法。
  23. 請求項22に記載の方法であって、前記基板支持体に前記バイアスを印加することは、前記下側サブチャンバ内でプラズマを生成する、方法。
  24. 請求項22に記載の方法であって、前記基板支持体に前記バイアスを印加することは、前記下側サブチャンバ内でプラズマを生成しない、方法。
  25. 請求項18から24のいずれか一項に記載の方法であって、さらに、前記基板上の中央から縁部までのエッチング条件を制御するために、前記同心プレートセクションの内の1または複数を回転させることを備える、方法。
  26. 基板をエッチングする方法であって、
    (a)エッチング装置の反応チャンバ内に基板を受け入れ、前記エッチング装置は、
    (i)上側サブチャンバおよび下側サブチャンバと、前記上側サブチャンバは、少なくとも2つの略同心プラズマ領域を備え、各プラズマ領域は、1または複数の絶縁壁によって他のプラズマ領域から隔離されており、
    (ii)前記上側サブチャンバおよび前記下側サブチャンバの間に配置され、第1のプレートおよび第2のプレートを備えたプレートアセンブリと、各プレートは、前記プレートの厚さを貫通する開口部を有し、前記第2のプレートは、前記第1のプレートに対して回転可能であり、
    (iii)前記上側サブチャンバへの1または複数のガス流入口と、
    (iv)前記下側サブチャンバからガスを除去するよう設計または構成されている前記下側サブチャンバへの1または複数のガス流出口と、
    (v)前記上側サブチャンバ内でプラズマを生成するよう設計または構成されているプラズマ発生源と、を備え、
    (b)プラズマ生成ガスを各プラズマ領域内に流して、各プラズマ領域内でプラズマを生成し、
    (c)前記プラズマ内に存在する中性種を前記プラズマ領域から前記プレートアセンブリを通して前記下側サブチャンバ内に流し、
    (d)前記基板をエッチングすること、
    を備える、方法。
  27. 請求項26に記載の方法であって、工程(b)は、第1の組成のプラズマ生成ガスを第1のプラズマ領域に流し、第2の組成のプラズマ生成ガスを第2のプラズマ領域に流すことを含む、方法。
  28. 請求項26または27に記載の方法であって、工程(b)は、第1のプラズマ領域で第1のプラズマを生成し、第2のプラズマ領域で第2のプラズマを生成することを含み、前記第1のプラズマおよび前記第2のプラズマは、異なる密度を有する、方法。
  29. 請求項26から28のいずれか一項に記載の方法であって、さらに、前記第1のプレートおよび前記第2のプレートの間の距離を変化させることにより、前記プレートアセンブリを通るイオン対中性種の流束比を制御することを備える、方法。
  30. 請求項26から29のいずれか一項に記載の方法であって、第1のプラズマ領域から前記プレートアセンブリを通して前記下側サブチャンバに至る第1のイオン対中性種の流束比が、第2のプラズマ領域から前記プレートアセンブリを通して前記下側サブチャンバに至る第2のイオン対中性種の流束比と異なる、方法。
JP2014184979A 2013-09-20 2014-09-11 デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御 Active JP6641077B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/033,241 2013-09-20
US14/033,241 US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor

Publications (2)

Publication Number Publication Date
JP2015065434A true JP2015065434A (ja) 2015-04-09
JP6641077B2 JP6641077B2 (ja) 2020-02-05

Family

ID=52690006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014184979A Active JP6641077B2 (ja) 2013-09-20 2014-09-11 デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御

Country Status (6)

Country Link
US (2) US9793126B2 (ja)
JP (1) JP6641077B2 (ja)
KR (1) KR20150032811A (ja)
CN (1) CN104465457B (ja)
SG (1) SG10201405549VA (ja)
TW (1) TWI647731B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170101952A (ko) * 2015-05-22 2017-09-06 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
KR20180038412A (ko) * 2015-09-04 2018-04-16 어플라이드 머티어리얼스, 인코포레이티드 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
JP2018107401A (ja) * 2016-12-28 2018-07-05 株式会社Screenホールディングス 基板処理装置および基板処理システム
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
JP2019507465A (ja) * 2016-01-15 2019-03-14 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマチャンバのための可変パターン分離格子
JP2019530149A (ja) * 2016-09-12 2019-10-17 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド ワークピース処理装置
JP2020038997A (ja) * 2019-11-28 2020-03-12 株式会社日立ハイテクノロジーズ 真空処理装置
US10937635B2 (en) 2016-02-10 2021-03-02 Hitachi High-Tech Corporation Vacuum processing apparatus
US11355319B2 (en) 2017-12-19 2022-06-07 Hitachi High-Tech Corporation Plasma processing apparatus
KR20220116135A (ko) * 2016-10-04 2022-08-22 어플라이드 머티어리얼스, 인코포레이티드 개선된 프로파일을 갖는 듀얼 채널 샤워헤드
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
JP7446388B2 (ja) 2021-11-02 2024-03-08 セメス株式会社 基板処理装置及び基板処理方法

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
SG11201707998TA (en) * 2015-03-30 2017-10-30 Tokyo Electron Ltd Method for atomic layer etching
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11404245B2 (en) * 2018-02-28 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. DC bias in plasma process
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10418550B2 (en) * 2018-05-29 2019-09-17 Nanjing University High temperature resistant memristor based on two-dimensional covalent crystal and preparation method thereof
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US10868237B2 (en) 2018-08-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition
US10516102B1 (en) * 2018-10-16 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple spacer assisted physical etching of sub 60nm MRAM devices
US11967486B2 (en) 2019-01-23 2024-04-23 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
CN110042369A (zh) * 2019-03-26 2019-07-23 云谷(固安)科技有限公司 等离子体增强化学气相沉积的腔室结构及具有其的设备
US11158488B2 (en) 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
CN110797245B (zh) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 一种半导体加工设备
CN116169018A (zh) * 2019-11-08 2023-05-26 东京毅力科创株式会社 蚀刻方法
KR102362893B1 (ko) * 2019-11-27 2022-02-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112234015B (zh) * 2020-10-12 2022-05-13 烟台睿瓷新材料技术有限公司 一种同心圆结构的静电吸盘电极图形结构
KR102577288B1 (ko) * 2020-11-23 2023-09-08 세메스 주식회사 기판 처리 장치 및 방법
KR102592414B1 (ko) * 2020-11-23 2023-10-20 세메스 주식회사 전극 제어 유닛을 구비하는 기판 처리 장치
CN112593208B (zh) * 2020-11-25 2022-01-11 北京北方华创微电子装备有限公司 半导体工艺设备
JP2022112423A (ja) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 プラズマ処理装置
KR102591654B1 (ko) * 2021-10-20 2023-10-19 ( 주)아이씨디 축전 결합 플라즈마 기판 처리 장치
CN115547915B (zh) * 2022-11-28 2023-02-14 四川上特科技有限公司 一种晶圆曝光夹具及曝光装置

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62216329A (ja) * 1986-03-18 1987-09-22 Fujitsu Ltd プラズマアツシング装置
JPH10242116A (ja) * 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH10294307A (ja) * 1997-02-24 1998-11-04 F O I:Kk プラズマ処理装置
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2004349375A (ja) * 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP2005116865A (ja) * 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US20060102286A1 (en) * 2004-11-12 2006-05-18 Kim Do-Hyeong Plasma processing apparatus
JP2006236772A (ja) * 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
AU2002366921A1 (en) 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
AU2003243699B2 (en) 2002-06-21 2009-01-15 Transform Pharmaceuticals, Inc. Pharmaceutical compositions with improved dissolution
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
TWI391518B (zh) 2005-09-09 2013-04-01 愛發科股份有限公司 離子源及電漿處理裝置
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US8643280B2 (en) 2008-03-20 2014-02-04 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
WO2010023925A1 (ja) 2008-09-01 2010-03-04 独立行政法人科学技術振興機構 プラズマエッチング方法、プラズマエッチング装置及びフォトニック結晶製造方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
KR20120137361A (ko) 2010-02-09 2012-12-20 인테벡, 인코포레이티드 태양 전지 제조용의 조정가능한 섀도우 마스크 어셈블리
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
KR20140092892A (ko) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 개선된 증착 균일성을 위한 전구체 분배 피처들
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62216329A (ja) * 1986-03-18 1987-09-22 Fujitsu Ltd プラズマアツシング装置
JPH10294307A (ja) * 1997-02-24 1998-11-04 F O I:Kk プラズマ処理装置
JPH10242116A (ja) * 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2004349375A (ja) * 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP2005116865A (ja) * 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US20060102286A1 (en) * 2004-11-12 2006-05-18 Kim Do-Hyeong Plasma processing apparatus
JP2006236772A (ja) * 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102015891B1 (ko) 2015-05-22 2019-08-29 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
KR20170101952A (ko) * 2015-05-22 2017-09-06 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
JP2018093226A (ja) * 2015-05-22 2018-06-14 株式会社日立ハイテクノロジーズ プラズマ処理装置およびそれを用いたプラズマ処理方法
KR102085044B1 (ko) 2015-05-22 2020-03-05 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
JP2019176184A (ja) * 2015-05-22 2019-10-10 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20190102301A (ko) * 2015-05-22 2019-09-03 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
KR102451502B1 (ko) 2015-09-04 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
JP2018533192A (ja) * 2015-09-04 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
KR102600919B1 (ko) 2015-09-04 2023-11-13 어플라이드 머티어리얼스, 인코포레이티드 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
US11728139B2 (en) 2015-09-04 2023-08-15 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
KR20180038412A (ko) * 2015-09-04 2018-04-16 어플라이드 머티어리얼스, 인코포레이티드 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
KR20220138422A (ko) * 2015-09-04 2022-10-12 어플라이드 머티어리얼스, 인코포레이티드 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
JP7250889B2 (ja) 2016-01-15 2023-04-03 マトソン テクノロジー インコーポレイテッド プラズマチャンバのための可変パターン分離格子
JP2022020069A (ja) * 2016-01-15 2022-01-31 マトソン テクノロジー インコーポレイテッド プラズマチャンバのための可変パターン分離格子
JP2019507465A (ja) * 2016-01-15 2019-03-14 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマチャンバのための可変パターン分離格子
US10937635B2 (en) 2016-02-10 2021-03-02 Hitachi High-Tech Corporation Vacuum processing apparatus
US11557463B2 (en) 2016-02-10 2023-01-17 Hitachi High-Tech Corporation Vacuum processing apparatus
JP2019530149A (ja) * 2016-09-12 2019-10-17 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド ワークピース処理装置
KR20220116135A (ko) * 2016-10-04 2022-08-22 어플라이드 머티어리얼스, 인코포레이티드 개선된 프로파일을 갖는 듀얼 채널 샤워헤드
KR102589536B1 (ko) * 2016-10-04 2023-10-16 어플라이드 머티어리얼스, 인코포레이티드 개선된 프로파일을 갖는 듀얼 채널 샤워헤드
JP2018107401A (ja) * 2016-12-28 2018-07-05 株式会社Screenホールディングス 基板処理装置および基板処理システム
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US11355319B2 (en) 2017-12-19 2022-06-07 Hitachi High-Tech Corporation Plasma processing apparatus
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
JP2020038997A (ja) * 2019-11-28 2020-03-12 株式会社日立ハイテクノロジーズ 真空処理装置
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
JP7446388B2 (ja) 2021-11-02 2024-03-08 セメス株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
CN104465457B (zh) 2018-09-14
SG10201405549VA (en) 2015-04-29
US20170213747A9 (en) 2017-07-27
US9793126B2 (en) 2017-10-17
CN104465457A (zh) 2015-03-25
US20180005852A1 (en) 2018-01-04
TW201528310A (zh) 2015-07-16
JP6641077B2 (ja) 2020-02-05
US20150083582A1 (en) 2015-03-26
KR20150032811A (ko) 2015-03-30
TWI647731B (zh) 2019-01-11

Similar Documents

Publication Publication Date Title
JP6641077B2 (ja) デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御
KR102279670B1 (ko) 이온 가속기를 갖는 듀얼 챔버 플라즈마 에칭기
TWI626686B (zh) 用於半導體製造之內部電漿格柵應用
US10224221B2 (en) Internal plasma grid for semiconductor fabrication
TWI638403B (zh) 離子束蝕刻系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170907

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180605

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191227

R150 Certificate of patent or registration of utility model

Ref document number: 6641077

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250