US20180005852A1 - Ion to neutral control for wafer processing with dual plasma source reactor - Google Patents

Ion to neutral control for wafer processing with dual plasma source reactor Download PDF

Info

Publication number
US20180005852A1
US20180005852A1 US15/702,541 US201715702541A US2018005852A1 US 20180005852 A1 US20180005852 A1 US 20180005852A1 US 201715702541 A US201715702541 A US 201715702541A US 2018005852 A1 US2018005852 A1 US 2018005852A1
Authority
US
United States
Prior art keywords
plate
chamber
plasma
plate assembly
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/702,541
Inventor
Rajinder Dhindsa
Sang Ki Nam
Alexei Marakhtanov
Eric A. Hudson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/850,552 external-priority patent/US8869742B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/702,541 priority Critical patent/US20180005852A1/en
Publication of US20180005852A1 publication Critical patent/US20180005852A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Definitions

  • etching operation One operation frequently employed in the production of semiconductors is an etching operation.
  • etching operation one or more materials are partly or wholly removed from a partially fabricated integrated circuit.
  • Plasma etching is often used, especially where the geometries involved are small, high aspect ratios are used, or precise pattern transfer is needed.
  • a plasma contains electrons, ions and radicals. The radicals and ions interact with a substrate to etch features, surfaces and materials on the substrate.
  • etching processes need to be increasingly precise and uniform in order to produce quality products.
  • One driving factor for decreasing device dimensions is the push to provide more devices per substrate.
  • a related factor is the move from planar to 3D transistor structures (e.g., FinFET gate structures for logic devices) and advanced memory structures (e.g., Magnetoresistive Random Access Memory (MRAM) and Resistive Random Access Memory (ReRAM)).
  • MRAM Magnetoresistive Random Access Memory
  • ReRAM Resistive Random Access Memory
  • different processes must be optimized based on several relevant factors (e.g., the application for which the device will be used, the chemistry involved, the sensitivity of the substrate, etc.).
  • a few important variables that may be optimized in an etching process include the flux of ions to a substrate, the flux of radicals to a substrate, and the related ratio between these two fluxes.
  • an apparatus which is suitable for a first etching process may not be suitable for a second etching process. Due in part to limited space in processing facilities, as well as the cost of semiconductor fabrication equipment, it is desirable for a semiconductor fabrication apparatus to be able to provide a wide range of processing conditions over a substrate. Further, it may be desirable for a semiconductor apparatus to be able to provide a wide range of processing conditions over different parts of a substrate during processing to combat certain geometric non-uniformities. This consideration is especially important where large substrates (e.g., 300 mm and especially 450 mm diameter) are being processed, as the geometric non-uniformities are exacerbated in such large work pieces.
  • large substrates e.g., 300 mm and especially 450 mm diameter
  • the techniques described herein are especially useful for performing multi-step etch processes such as those associated with FinFET structures and back-end-of-line (BEOL) processing such as certain dual Damascene processes, particularly when performed on large substrates.
  • BEOL back-end-of-line
  • the disclosed embodiments may be particularly useful in certain advanced technology nodes such as the 40 nm node, the 10 nm node, and the 7 nm node.
  • an apparatus for etching substrates includes (a) a reaction chamber, (b) a plate assembly positioned in the reaction chamber thereby dividing the reaction chamber into an upper sub-chamber and a lower sub-chamber, where the plate assembly includes: (i) a first plate, and (ii) a second plate including at least two substantially concentric plate sections that are independently rotatable with respect to the first plate, where the first plate and second plate have apertures extending through the thickness of each plate, (c) one or more gas inlets to the upper sub-chamber, (d) one or more gas outlets to the reaction chamber designed or configured to remove gas from the reaction chamber, and (e) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber.
  • the apparatus includes at least three substantially concentric plate sections.
  • at least some of the apertures in at least one of the plates of the plate assembly may have an aspect ratio between about 0.2-0.4.
  • At least one of the plates of the plate assembly may have an open area between about 40-60%.
  • the plate sections of the second plate include an insulating material, and the first plate includes a conductive material.
  • the upper sub-chamber may be divided into a plurality of concentric plasma zones separated by one or more insulating walls.
  • a controller may be used to implement an etching method.
  • the controller may be designed or configured to rotate one or more of the concentric plate sections to control center to edge etch conditions on the substrate.
  • the controller may also be designed or configured to move at least a first concentric plate section relative to the first plate to orient the apertures of the first and second plates to control an ion to radical flux ratio.
  • an apparatus for etching substrates including (a) a reaction chamber having an upper sub-chamber and a lower sub-chamber, where the upper sub-chamber includes at least two substantially concentric plasma zones, where each plasma zone is isolated from other plasma zones by one or more insulating walls, (b) a plate assembly positioned between the upper sub-chamber and lower sub-chamber and including a first plate and a second plate, where each plate has apertures extending through the thickness of the plate, and where the second plate is rotatable with respect to the first plate, (c) one or more gas inlets to the upper sub-chamber, (d) one or more gas outlets to the lower sub-chamber designed or configured to remove gas from the lower sub-chamber, and (e) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber.
  • the apparatus may also include a translation causing mechanism designed or configured to move at least one plate of the plate assembly towards and away from the other plate of the plate assembly, such that a distance between the first and second plate is variable.
  • at least one of the plates may be designed or configured to act as a showerhead for delivering gases to the upper or lower sub-chambers.
  • At least one plate of the plate assembly may be between about 3-20 mm thick.
  • Various types of plasma generation sources may be used. In one example, the plasma generation source is designed or configured to produce an inductively coupled plasma. The number of concentric plasma zones may also vary.
  • the upper sub-chamber includes at least three substantially concentric plasma zones.
  • Various implementations utilize a controller configured to perform an etching method.
  • the controller is designed or configured to independently control plasma generation in the concentric plasma zones and thereby control center to edge conditions on the substrate.
  • the controller may also be designed or configured to move at least one concentric plate section relative to the first plate to orient the apertures of the first and second plates to control an ion to radical flux ratio.
  • a method of etching a substrate including (a) receiving a substrate in a reaction chamber of an etching apparatus including: (i) a plate assembly positioned in the reaction chamber and thereby dividing the reaction chamber into an upper sub-chamber and a lower sub-chamber, where the plate assembly includes a first plate and a second plate, where the second plate includes at least two concentric sections, where the concentric sections are independently rotatable with respect to the first plate, and where the first plate and second plate have apertures extending through the thickness of each plate, (ii) one or more gas inlets to the upper sub-chamber, (iii) one or more gas outlets to the lower sub-chamber designed or configured to remove gas from the lower sub-chamber, and (iv) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber, (b) flowing a plasma generating gas into the upper sub-chamber and generating a plasma, (c) flowing neutral species present in the
  • the method may also include aligning at least some apertures in the upper and lower plates of the plate assembly such that ions flow from the upper sub-chamber, through the plate assembly, and into the lower sub-chamber.
  • different flux ratios of radicals to ions are achieved through different portions of the plate assembly.
  • a first flux ratio of radicals to ions through a first portion of the plate assembly may be different from a second flux ratio of radicals to ions through a second portion of the plate assembly.
  • the method also includes controlling a flux ratio of radicals to ions through the plate assembly by rotating at least one of the concentric sections of the second plate.
  • the method may also include applying a bias to a substrate support positioned in the lower sub-chamber.
  • the bias applied to the substrate support may produce a plasma in the lower sub-chamber. In other cases, however, the bias applied to the substrate support does not produce a plasma in the lower sub-chamber.
  • the method may include applying a bias to one or more plates of the plate assembly. In a particular embodiment, different levels of bias are applied to the different concentric plate sections of the second plate. The method may also include rotating one or more of the concentric plate sections to control center to edge etch conditions on the substrate.
  • a method of etching a substrate including (a) receiving a substrate in a reaction chamber of an etching apparatus having: (i) an upper sub-chamber and a lower sub-chamber, where the upper sub-chamber includes at least two substantially concentric plasma zones, where each plasma zone is isolated from other plasma zones by one or more insulating walls, (ii) a plate assembly positioned between the upper sub-chamber and lower sub-chamber and including a first plate and a second plate, where each plate has apertures extending through the thickness of the plate, and where the second plate is rotatable with respect to the first plate, (iii) one or more gas inlets to the upper sub-chamber, (iv) one or more gas outlets to the lower sub-chamber designed or configured to remove gas from the lower sub-chamber, and (v) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber, (b) flowing plasma generating gas into and generating a plasma in each
  • the method may also include flowing plasma generating gas of a first composition into a first plasma zone and flowing plasma generating gas of a second composition into a second plasma zone to accomplish operation (b).
  • the first composition and second composition may be different (e.g., they may include different gases, or different concentrations of the same gases).
  • operation (b) may include generating a first plasma in a first plasma zone and a second plasma in a second plasma zone, where the first plasma and second plasma have different densities.
  • the method may also include controlling an ion to neutral flux ratio through the plate assembly by changing a distance between the first plate and second plate.
  • a first ion to neutral flux ratio from a first plasma zone, through the plate assembly and into the lower sub-chamber is different from a second ion to neutral flux ratio from a second plasma zone, through the plate assembly and into the lower sub-chamber.
  • FIG. 1 shows an example of a plasma etching reactor according to a disclosed embodiment.
  • FIGS. 2A-2B show close-up views of aligned ( FIG. 2A ) and misaligned ( FIG. 2B ) apertures in a plate assembly, depicting which species are able to pass through the assembly in each case.
  • FIG. 2C is a chart showing the Flux of Neutral Species vs. Position Near Aperture for the line-of-sight (i.e., aligned) and non-line-of-sight (i.e., misaligned) cases.
  • FIG. 3A is a flowchart illustrating a method of performing an etching operation according to a disclosed embodiment.
  • FIG. 3B is a flowchart illustrating a semiconductor fabrication context in which a disclosed etching operation may take place.
  • FIGS. 4A-4C show example series of injection control rings according to certain disclosed embodiments.
  • FIG. 5 shows an example of a plasma etching apparatus having multiple separate plasma zones according to a disclosed embodiment.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • plate and “grid” are used interchangeably. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • FIG. 1 shows a cross-sectional view of an appropriate processing apparatus 100 .
  • the reaction chamber is divided into an upper sub-chamber 132 and a lower sub-chamber 134 by a plate assembly 150 .
  • the plate assembly 150 includes an upper plate 116 and a lower plate 130 .
  • Each of the upper and lower plates 116 and 130 have apertures extending through the thickness of the plates. When the apertures in the upper and lower plates 116 and 130 are aligned, they permit the passage of ions and neutral species from the upper sub-chamber to the lower sub-chamber. When the apertures in the upper and lower plates 116 and 130 are not aligned, neutral species are able to pass through the misaligned apertures, while ions are substantially prevented from passing through.
  • FIGS. 2A-2C This concept is shown in FIGS. 2A-2C .
  • the apertures in the upper plate 216 and lower plate 230 of the plate assembly 250 are aligned, and both ions (shown by the solid arrow) and neutral species (shown by the dotted arrow) are able to pass through into the lower sub-chamber.
  • the upper and lower plates 216 and 230 of plate assembly 250 are misaligned, and only the neutral species are able to pass through.
  • FIG. 2A the apertures in the upper plate 216 and lower plate 230 of the plate assembly 250 are aligned, and both ions (shown by the solid arrow) and neutral species (shown by the dotted arrow) are able to pass through into the lower sub-chamber.
  • FIG. 2B the upper and lower plates 216 and 230 of plate assembly 250 are misaligned, and only the neutral species are able to pass through.
  • 2C shows the flux of neutral species at an exit of the lower plate when the apertures in the upper and lower plates are aligned (line-of-sight case, shown by the dotted line) and when the apertures are mis-aligned (non-line-of-sight case, shown by the solid line).
  • the total flux of neutrals is lower in the non-line-of-sight case, the decrease is only about 16%. This difference in neutral flux between the line-of-sight and non-line-of-sight cases is quite small when compared to the difference in ion flux between these two cases.
  • the upper sub-chamber 132 is configured to contain an inductively coupled plasma and the lower sub-chamber 134 is configured to contain a capacitively coupled plasma. Further, the volume of the lower sub-chamber 134 is adjustable, and the lower plate 130 is configured to operate as a gas delivery showerhead for delivering process gases from gas feed 104 to the lower sub-chamber 134 . Process gases may be separately delivered to the upper sub-chamber 132 through gas feed 106 , which feeds upper showerhead plate 112 . In some cases, the upper showerhead plate 112 is made from a material such as silicon, silicon nitride, silicon carbide or quartz.
  • the upper showerhead plate 112 may be slotted. Further, the upper showerhead plate 112 may move toward and away from the substrate to decrease or increase the amount of space between the upper and lower plates 116 and 130 . This movement may help control the flux of radicals through the plate assembly 150 in certain embodiments.
  • TCP coils 108 may be situated above the insulator plate 110 .
  • dual TCP coils 108 are used.
  • these coils 108 may be absent.
  • the reactor walls 114 surround the lower and upper sub-chambers 134 and 132 , respectively.
  • the reactor walls 114 are made from anodized aluminum.
  • the reactor walls 114 may also be coated with a protective material such as, for example, Y 2 O 3 , or another material designed to protect the semiconductor apparatus from harsh plasma conditions.
  • the reactor walls 114 may include temperature control elements such as a temperature control heater ring 128 . These temperature control elements help to produce desirable plasma conditions within the upper and lower zone plasmas.
  • Upper plate 116 is situated near the bottom of the upper sub-chamber 132 .
  • This upper plate 116 is rotatable about an axis normal to the face of the plate, and contains holes and/or slots which at least partially register with the slots/holes in the underlying lower plate 130 .
  • the upper plate 116 is insulating and the lower plate 130 is conductive and grounded.
  • the plate assembly open area (sometimes referred to more simply as assembly open area) is defined as the area of the plate assembly through which there is a clear line-of-sight from the upper sub-chamber 132 to the lower sub-chamber 134 at a given time. In other words, it represents the amount of area in which the apertures in the upper and lower plates 116 and 130 are aligned.
  • the plate assembly open area may change based on the degree of alignment of the apertures in the plate assembly.
  • the maximum plate assembly open area is defined as the highest assembly open area attainable for a given set of plates.
  • the term plate open area is defined as the area of a single plate through which there is a clear line-of-sight from the upper- to lower sub-chambers 132 and 134 .
  • rotating upper plate 116 allows for tuning of the relative amounts of charged and neutral species passing from the upper to lower sub-chambers, as described elsewhere herein.
  • FIG. 1 Other features highlighted in FIG. 1 include a pressure control ring 118 (often made from an insulating material such as quartz), a high power tunable electrostatic chuck 120 , a coaxial RF switch 122 , a cooling spacer ring 126 (often used to house fluid, including but not limited to water), and a temperature control heater ring 128 . Further, distance 124 indicates that the height of the lower sub-chamber may be adjustable.
  • FIG. 3A provides a flow chart for etching a material in accordance with various embodiments herein.
  • Process 300 A begins at block 301 , where a substrate having material for removal is received in the lower sub-chamber of a processing apparatus. An exemplary processing apparatus is described above in relation to FIG. 1 .
  • plasma is generated in the upper sub-chamber of the processing apparatus.
  • a bias is applied to the substrate support. In some cases, this bias causes a plasma to form in the lower sub-chamber. In other cases, the bias may be sufficiently weak (e.g., in terms of frequency and/or applied power) such that there is substantially no plasma present in the lower sub-chamber. In either case, the bias acts to attract ions toward the substrate for processing.
  • the relative flux of ions and neutral species from the upper sub-chamber, through the ion extractor plate, and into the lower sub-chamber is controlled.
  • the flux of ions is primarily controlled by changing the amount of plate assembly open area. Increasing the amount of plate assembly open area directly and substantially increases the ion flux through the plate assembly. Although increasing the plate assembly open area also increases the flux of neutral species, as shown in FIG. 2C , the flux of neutral species is significantly less affected by this open area, as compared to the flux of ions.
  • the flux of neutral species is primarily affected by the distance between the upper and lower plates. Increasing the distance between the two plates increases the amount of neutral species that are able to pass through. Wider gaps between the plates create more open, less tortuous paths through which the neutral species may travel to reach an aperture in the lower plate.
  • the plate assembly open area and/or the distance between the upper and lower plate may change during processing of a substrate. Of course these variables may also change between processing different substrates, as may be needed for different types of applications.
  • the process 300 A continues at block 309 , where the substrate is etched.
  • reactive etching chemistry may be provided to the upper and/or lower sub-chambers to help achieve the etching. In other cases, the etching is realized through ion etching without the help of reactive chemistry.
  • FIG. 3B illustrates an exemplary semiconductor fabrication process that may benefit from the disclosed techniques.
  • FIG. 3B relates to a broader fabrication context in which the etching process described in FIG. 3A may take place.
  • An example of this broader semiconductor fabrication method is further discussed and described in U.S. Pat. Nos. 6,689,283, titled DRY ETCHING METHOD, MICROFABRICATION PROCESS AND DRY ETCHING MASK; and RE40,951, titled DRY ETCHING METHOD FOR MAGNETIC MATERIAL, each of which is incorporated herein by reference in its entirety.
  • the process 300 B begins at block 302 , where a stack of materials is deposited on a substrate.
  • the stack is made of alternating layers of conductive and insulating materials.
  • the substrate on which the stack is deposited is a semiconductor wafer.
  • a resist layer is deposited on the stack of alternating layers.
  • the resist layer may be micro-patterned using a lithography technique.
  • the patterned resist layer is e.g., a positive-type resist deposited using a spin-coating method and patterned using UV or electron-beam exposure equipment.
  • a mask layer is deposited on the patterned resist layer.
  • the mask layer is made of titanium nitride (TiN), which may be deposited through a reactive sputtering method.
  • the patterned resist layer is removed to form a patterned mask layer.
  • the removal may be accomplished through a lift-off method by dipping the substrate in a solvent bath and applying ultrasonic energy to remove the patterned resist.
  • the stack on the substrate may be etched at block 310 to form an etched stack.
  • the etching may occur through the disclosed plasma etching techniques.
  • the process 300 A shown in FIG. 3A may be implemented in operation 310 .
  • etching processes may be beneficial in implementing a variety of etching processes that may occur through an assortment of mechanisms.
  • the removal of unwanted material may be accomplished through the use of ion etching (i.e., ion sputtering or ion milling) alone.
  • reactive chemistry is used along with ion exposure to facilitate material removal in a process referred to as reactive ion etching.
  • Ion etching generally refers to the removal of atoms by physical sputtering with an inert gas. Physical sputtering is driven by momentum exchange between the ions and the materials with which they collide. Upon impact, the incident ions set off collision cascades in the target. When such cascades recoil and reach the target surface with an energy greater than the surface binding energy, an atom may be ejected, known as sputtering.
  • Reactive ion etching generally refers to the removal of material through the action of chemically active ions and/or radicals, which may react with the unwanted material to aid in its removal. Where reactive chemistry is used, one purpose of the ions may be to activate the surface for reaction. Without wishing to be bound by any theory or mechanism of action, it is believed that ion bombardment may generate active sites on the substrate by creating dangling bonds and/or other physicochemically receptive features on the metal or other material to be etched. In some cases, a combination of ion sputtering and radical induced reactions are used.
  • gas may be delivered solely to the upper sub-chamber, solely to the lower sub-chamber, or to both sub-chambers.
  • the gases delivered to each sub-chamber may be the same or different (e.g., different gases, or different concentrations of the same gases).
  • the gas used to create the plasmas may be chosen to reduce or eliminate unwanted reactions in the reaction chamber, based in part on the etching chemistry used and the material to be etched.
  • the materials listed herein are merely exemplary and are not meant to limit the embodiments in any way. One of ordinary skill in the art would understand that the techniques herein may be used with a variety of materials and reactions.
  • the gas delivered to the upper and/or lower sub-chamber contains an inert gas such as Ar, He, Ne, Kr, etc.
  • inert gases may be the only gases supplied to the sub-chambers.
  • the gas delivered to the upper and/or lower sub-chamber may include a reactive gas (e.g., an etchant and/or an additional reactive processing gas).
  • reactive gases examples include flourocarbons (C x F y ), hydrocarbons (C x H y ), hydrogen (H 2 ), oxygen (O 2 ), nitrogen (N 2 ), methane (CH 4 ), carbon tetrafluoride (CF 4 ), chlorine (Cl 2 ), hydrogen bromide (HBr), ammonia (NH 3 ), phosphorus trifluoride (PF 3 ), carbonyl fluoride (COF 2 ), carbon monoxide (CO), nitric oxide (NO), methanol (CH 3 OH), ethanol (C 2 H 5 OH), acetylacetone (C 5 H 8 O 2 ), hexafluoroacetylacetone (C 5 H 2 F 6 O 2 ), thionyl chloride (SOCl 2 ), thionyl fluoride (SOF 2 ), acetic acid (CH 3 COOH), pyridine (C 5 H 5 N), and/or formic acid (HCOOH).
  • fluocarbons C
  • a combination of these etching reactants is used.
  • a combination of CO+NO is used.
  • a combination of CO 2 +NO 2 is used.
  • pyridine is combined with thionyl chloride and/or thionyl fluoride.
  • reactive gases are only supplied to the upper or lower sub-chamber, while in other cases reactive gases may be supplied to both sub-chambers.
  • an additional process gas is delivered (e.g., to the lower sub-chamber) in order to perform a specific function.
  • the additional process gas may be provided to protect a surface (e.g., to protect a mask layer).
  • the additional process gas may be provided before or during an etch process.
  • a combination of inert and reactive gases are used.
  • gas inlets may be used, for example gas showerheads, central inlet nozzles, or a plurality of inlet nozzles located at different points in the sub-chambers (e.g., around the periphery of a sub-chamber).
  • the lower plate of the plate assembly is used as a gas distribution showerhead.
  • the lower plate includes channels for delivering process gases to the lower sub-chamber.
  • one or more etchant species are delivered to the lower sub-chamber through the lower plate of the plate assembly, which acts as a showerhead.
  • etchant is delivered to the lower sub-chamber through a port or ports that are not part of a showerhead.
  • both etchant and additional reactive processing gas are delivered to the upper sub-chamber.
  • both etchant and additional reactive processing gas are delivered to the lower sub-chamber.
  • distinct mixtures of gases may be supplied to different radial portions (e.g., concentric ring-shaped portions) of the upper sub-chamber.
  • the material to be etched is Si, SO 2 , SiN, SiON, SiCOH, TiN, W, Al, a low-K material, a high-k material, etc.
  • the substrate to be etched is a partially fabricated MRAM or ReRAM device.
  • the material to be etched may be a stack of materials deposited on a substrate. The stack may have alternating/interleaving layers of dielectric and conductive materials.
  • additional process gases are used in combination with inert gas and reactive etch chemistry. These additional process gases may be “tuning gases” used to adjust the plasma conditions present in a plasma region.
  • One condition that may be tuned through addition of a tuning gas is the degree of fragmentation of etching species.
  • oxygen, hydrogen and/or argon may be used to recombine certain fragmented etchant species.
  • Other examples of tuning gases that may be used include the reactive gases listed above.
  • the additional process gases may include gases used to passivate a surface (or a portion thereof) such that the surface is protected from etching. Examples of passivating gases include H 2 , Cl 2 , CxFy, CxHy etc.
  • the plate assembly is positioned in the reaction chamber, thereby dividing the reaction chamber into upper and lower sub-chambers.
  • a chamber suitable for modification to include a plate assembly as described herein is a Kiyo Reactor from Lam Research Corporation of Fremont, Calif.
  • the plate assembly 150 is positioned such that the distance between the lower surface of the lower plate and the upper surface of the substrate is between about 10-50 mm.
  • the height of the upper sub-chamber may be chosen based on, for example, power optimization considerations. Larger upper sub-chambers will require greater power usage in order to sustain a plasma in the larger region.
  • the height of the upper sub-chamber is between about 2-20 inches, for example between about 5-15 inches.
  • the upper sub-chamber has a height of about 11 inches.
  • the plate assembly should not be positioned too close to the wafer, as this may cause printing of the plate pattern to occur on the wafer's face.
  • the pattern of slots/holes in the plate may undesirably appear on the face of the wafer after processing, causing severe etch non-uniformity on the substrate surface.
  • a separation distance of at least about 10 mm is sufficient.
  • a basic embodiment of the plate assembly is provided in this section. Additional details relating to alternative designs of the plate assembly can be found in the Promoting Radially Uniform Results section below.
  • the plate assembly includes two plates/grids having apertures therethrough.
  • the plates are positioned on top of one another such that there is an upper plate and a lower plate separated by a small distance (e.g., between about 1-6 mm).
  • the upper and lower plates are substantially parallel to one another (e.g., within about 10°).
  • the plates are between about 3-20 mm thick, for example between about 5-15 mm thick, or between about 6-10 mm thick. If a plate is too thick, or if the perforations in the plate are too small, the plate may block too many ions from passing through (i.e., ions will collide with the plate, sometimes on a sidewall of an aperture in the plate, instead of passing through it).
  • a grid is too thin, it may not be adequately rigid, it may not be able to withstand plasma processing, and it may need to be replaced fairly often.
  • the grids should be sufficiently rigid such that they do not bow or otherwise bend when placed in the reaction chamber. This helps to ensure uniform etch results.
  • the plates may be made of a variety of materials including both insulating and conducting materials. Further, one or more of the plates may be coated. In embodiments where a bias is applied to a plate during etching, the material used to construct or coat the plate should be conductive. In various embodiments, one or more plates are constructed from or coated with metal or a metallic alloy. In these or other embodiments, one or more of the plates is constructed from an insulating material. In some cases, one or more plates may be coated with a hard carbon material. In some particular cases, the plates may be coated with a layer of Y 2 O 3 , YF 3 , YAG, titanium nitride, or CeO 2 .
  • the grid material may or may not be anodized or otherwise passivated for, e.g., corrosion resistance.
  • the upper plate is made of an insulating material (e.g., quartz) and the lower plate is made of a conductive material (e.g., metal).
  • insulating material e.g., quartz
  • conductive material e.g., metal
  • the plate assembly generally spans a horizontal section of the chamber. Where the chamber is circular (as viewed from above or facing the work piece), the plate assembly will also be circular. This allows the assembly to effectively divide the reaction chamber into two sub-chambers.
  • the shape of the plate assembly is defined by the geometry of the substrate (which is typically but not necessarily a circular wafer). As is well known, wafers are often provided in various sizes, such as 200 mm, 300 mm, 450 mm, etc. Other shapes are possible for square or other polygonal substrates or smaller/larger substrates. Thus, the cross-section of the plate assembly (as viewed from above) may have a variety of shapes and sizes. In some embodiments, there may be a distance of separation between the plate assembly and the chamber walls. This distance may help prevent arcing between the plate assembly and the chamber walls. In certain embodiments, this distance is about 3 cm or greater.
  • the ratio of ion flux to radical flux (referred to as the flux ratio, defined as the ion flux/neutral flux) may be controlled.
  • the flux ratio defined as the ion flux/neutral flux
  • aligned apertures permit the transfer of both ions and neutral species, while misaligned apertures largely only permit the transfer of neutral species.
  • Another way this control occurs is by changing the distance between the two plates. A wider distance between the plates results in a higher radical flux through the plate assembly, while a narrower distance results in a lower radical flux.
  • the apertures on the plates may take various shapes.
  • the apertures could be circular holes, slots, C-shaped apertures, T-shaped apertures, etc.
  • the apertures may be oriented such that an axis extending through the center of the aperture is normal to the face of the plate. In a particular embodiment, all of the apertures are oriented in this manner. In another embodiment, some of the apertures may be oriented at a non-perpendicular angle relative to the plate.
  • the apertures on the upper and lower plates may be the same shape or may be different.
  • the alignment of the apertures on the upper and lower plates may be the same or may be different.
  • the apertures are designed such that when the plates rotate relative to one another, the amount of plate assembly open area changes.
  • the perforations may be designed such that little or no current is induced in a plate during plasma generation.
  • One design which ensures this result is a plate having radially directed slots.
  • a current may be induced to flow substantially circularly around the plate or to flow in small eddy currents on the grid, resulting in increased parasitic power consumption.
  • the aspect ratio of the apertures is defined as the width/diameter of an aperture divided by the depth of the aperture. Because the apertures extend through the thickness of each plate, the depth of the aperture is equal to the plate thickness.
  • the aspect ratio of the slots should be sufficiently small such that plasma does not ignite within the apertures. The appropriate aspect ratio will depend on the plasma conditions present in the upper sub-chamber. For example, where the upper sub-chamber contains a high pressure/high density plasma, the aspect ratio should be somewhat smaller. Similarly, where the upper sub-chamber contains a low pressure/low density plasma, the aspect ratio may be somewhat larger (though there is flexibility in this case). Where a high pressure/density plasma is used, the thickness of the plasma sheath is lower.
  • the aspect ratio of the apertures is between about 0.2-0.4.
  • the diameter or other principal dimension of an aperture may be between about 1-10 mm. The principal dimension is in a direction parallel to the work piece and spanning the longest linear path in an aperture.
  • Both the plate open area and the plate assembly open area may be described in terms of absolute areas, or in terms of a percentage of the total area on the plate/assembly.
  • a 300 mm diameter plate has an area of roughly 700 cm 2 . If the plate has about 350 cm 2 of open area, it may also be considered to have about 50% open area.
  • the plate open area and the maximum assembly open area are equal. In other cases, the maximum plate assembly open area is lower than the plate open area for one or more plates.
  • at least one plate has a plate open area between about 30-70% or between about 40-60%. In these or other implementations, the maximum plate assembly open area may be between about 30-70% or between about 40-60%.
  • the plate assembly may also act as a showerhead for delivering gas to one or more of the sub-chambers.
  • the lower plate of the plate assembly acts as a showerhead for delivering gas to the lower sub-chamber.
  • the upper plate can be implemented as a showerhead for delivering gas to the upper sub-chamber.
  • a plate being used as a showerhead will typically include one or more channels connecting gas feed inlet(s) to a plurality of showerhead outlet holes.
  • the plate assembly has a region (e.g., a central region) containing a feature for allowing a probing apparatus to be disposed through the plate assembly.
  • the probing apparatus can be provided to probe process parameters associated with the plasma processing system during operation. Probing processes can include optical emission endpoint detection, interferometeric endpoint detection, plasma density measurements, ion density measurements, and other metric probing operations.
  • the central region of the plate assembly is open. In other embodiments, the central region of the assembly contains an optically clear material (e.g., quartz, sapphire, etc.) to allow light to be transmitted through the grid.
  • the plate assembly may include cooling channels embedded in one or more of the plates, and these cooling channels may be filled with a flowing or non-flowing coolant material.
  • the cooling material is a fluid such as helium or other inert gas or a liquid such as deionized (DI) water, process cooling water, fluoroinertTM from 3M, or a refrigerant such as perfluorocarbons, hydrofluorocarbons, ammonia and CO 2 .
  • the plate assembly may include embedded heating elements and/or a temperature measurement device. The cooling channels and embedded heaters allow for precise temperature control, which permit close control over the particle and wall conditions.
  • This control may be used to tune the conditions in the lower sub-chamber, in certain cases. For example, where the lower plate or plate assembly is maintained at a cooler temperature, etch byproducts from the wafer may preferentially deposit on the lower plate, thereby reducing the gas phase density of the etch byproducts in the lower sub-chamber. Alternatively, the lower plate or plate assembly may be maintained at an elevated temperature (e.g., above 80° C.) to reduce the deposition on the plate and ensure that the chamber can remain relatively clean and/or reduce the time required to clean the chamber during waferless auto clean (WAC).
  • WAC waferless auto clean
  • the plates do not move (rotate or translate) while an etch process is occurring.
  • the plates move between distinct steps, such as the individual steps of a multi-step etch process used to fabricate complex structures such as MRAM stacks or FinFET gates.
  • the plates may rotate and/or translate during processing. This helps provide additional flexibility for processing, for example, where it is desired to have a different ratio of ion flux:neutral flux (i.e., flux ratio) at different times in an etching process.
  • the ratio of ion flux:neutral flux through the plate assembly is higher toward the beginning of an etch process and lower toward the end of an etch process. The opposite may be true in other implementations.
  • the upper sub-chamber typically contains a plasma.
  • the plasma may be generated by various methods.
  • the upper sub-chamber is configured to contain a high density (e.g., 10 10 thru 10 12 charged particles/cm 3 ) inductively coupled plasma.
  • the upper sub-chamber may be configured to contain a capacitively coupled plasma.
  • the plasma in the upper sub-chamber may be referred to as a Radically Coupled Plasma (RCP).
  • RCP Radically Coupled Plasma
  • This term refers to a plasma that is spatially removed from the processing area directly surrounding the substrate, from which radicals may be tunably extracted for the purpose of processing a substrate.
  • the phrase “tunably extracted” means that the relative flux of radicals and ions (the flux ratio) may be tuned as desired for and/or during a particular process.
  • Process gases that may be delivered to the upper sub-chamber are described above in the Etching Mechanism section.
  • the power used to drive plasma formation in upper sub-chamber is between about 0-10,000 W, for example between about 1,500-4,500 W.
  • the RF power used to drive plasma formation is about 3,000 W.
  • the pressure in the etching apparatus may be controlled by a vacuum pump.
  • the vacuum pump may draw through exhaust ports on the reaction chamber.
  • the exhaust ports may be located in the lower sub-chamber and/or in the upper sub-chamber.
  • the exhaust ports may have variable/controllable conductance.
  • the orientation of the plate assembly e.g., the alignment of the apertures and the distance between the upper and lower plates
  • these orientation characteristics may be tuned to provide an appropriate pressure gradient between the upper and lower sub-chambers.
  • the upper sub-chamber is not used, and all plasma generation and processing occur in the lower sub-chamber.
  • the distance between the upper and lower plates of the plate assembly may be decreased to zero, and the apertures may be purposely misaligned such that there is no plate assembly open area.
  • the etching apparatus basically simplifies down to a single chamber conventional plasma etcher. All gases may be delivered directly to the lower sub-chamber, where the substrate is situated. A plasma may be generated in the lower sub-chamber, and the substrate may be etched according to conventional methods. The ability to close off the upper sub-chamber and operate under conventional methods increases the flexibility and usefulness of the apparatus.
  • a bias may be applied to the substrate supporter during etching.
  • a substrate supporter e.g., an electrostatic chuck
  • the bias frequency is sufficiently large (e.g., about 60 MHz) such that a capacitively coupled plasma forms in the lower sub-chamber.
  • the bias frequency is much smaller (e.g., about 10 MHz or lower) such that there is substantially no plasma present in the lower sub-chamber during etching.
  • the power used to bias the substrate support is sufficiently low such that substantially no plasma is present in the lower sub-chamber, even where the frequency of the bias is otherwise high enough to support plasma formation in this region.
  • a plasma present in the lower sub-chamber during etching may be beneficial to have a plasma present in the lower sub-chamber during etching in some embodiments.
  • an etchant species is present in the lower sub-chamber and it is desired to dissociate the etchant into more/smaller fragments
  • the existence of a plasma in the lower sub-chamber may help promote such dissociation.
  • Other factors which may affect the degree of fragmentation of an etchant species include the density and effective electron temperature of plasma in the lower sub-chamber.
  • the gases supplied to the lower sub-chamber may include any of the gases listed above in the Etching Mechanism section.
  • the bias applied to the substrate support affects the energy level of ions striking the substrate.
  • the bias may be tuned to provide an appropriate level of ion energy for a particular application.
  • Other factors which affect the ion energy include the power supplied to the plasma sources in the upper sub-chamber, the electric field gradient across the plate assembly (which may be controlled by the bias applied to the lower plate of the assembly), and the pressure gradient between the upper and lower sub-chambers.
  • a bias may be applied to one or more plates of the plate assembly.
  • a negative bias is applied to the lower plate of the plate assembly. In this way, ions produced in the upper sub-chamber and passing through the plate assembly may be accelerated towards the substrate at a particular ion energy.
  • the bias on the plate may be tuned to provide a desired ion energy.
  • etching When etching a substrate, certain non-uniformities may arise.
  • radial non-uniformity is a common issue when etching substrates.
  • etching may occur to a greater extent near a center area and edge area of a substrate while occurring to a lesser extent in a ring-shaped region between these two areas.
  • These radial non-uniformities are heightened when larger substrates (e.g., 300 mm, and especially 450 mm substrates and larger) are being processed. It is desirable to reduce or eliminate these non-uniformities, where possible.
  • the open area of the plate assembly is designed to provide different levels of ion flux to different parts of the substrate. For example, where the open area is concentrated toward the center of the plate assembly, the ions may act on the center region of the wafer to a greater extent than on the edges of the wafer. Likewise, where the plate assembly open area is concentrated near the edge of the plate assembly, the ions may act on the edge regions of the wafer to a greater degree than the center of the wafer. These techniques may be especially useful in combating center-to-edge non-uniformities. However, they may not afford sufficient flexibility in radial profiles of etching conditions at the substrate surface.
  • the plate rings may be referred to as injection control rings, segments, or plate sections.
  • Example collections of injection control rings/plate sections that may be used in place of an upper plate in the plate assembly are shown in FIGS. 4A-4C .
  • the series of rings 400 A includes an outer ring 402 and a middle ring 404 surrounding an inner plate 406 .
  • inner plate 406 will be referred to as a ring despite the fact that it may not have a hole in the center.
  • Each ring includes a plurality of apertures 408 through which ions and radicals may travel (under appropriate conditions).
  • the series of rings 400 B shown in FIG. 4B includes four injection control rings (elements 412 , 414 , 416 , and 417 from the outside inwards), rather than the three rings shown in FIG. 4A .
  • some injection control rings 412 and 414 include two concentric rows of apertures 408
  • other injection control rings 416 and 417 include three concentric rows of apertures.
  • Many varieties of aperture placement may be used.
  • each injection control ring includes a single row of apertures.
  • each row of apertures may be rotated independently of the other rows, since each row is situated on its own dedicated injection control ring. While FIG. 4C shows a set of rings 400 C having 11 different plate sections, for the sake of clarity the individual plate sections/injection control rings are not labeled.
  • the density of apertures may vary between different injection control rings (e.g., a difference of at least 20%, or at least 50%), while in other embodiments the density of apertures is uniform or substantially uniform (e.g., within about 20%) between different injection control rings.
  • each ring may be rotated independently to provide a desired amount of open area through which ions and radicals may travel.
  • an outer ring may be aligned such that ions can pass through the plate assembly to a maximum extent, while an inner ring may be aligned such that very few (or in some cases, even zero) ions can pass from the upper to lower sub-chamber.
  • each ring can independently move toward/away from the other plate of the plate assembly in order to tune the flux of radicals through each ring.
  • barriers should be used to connect adjacent edges of the injection control rings.
  • a barrier should be included between the edge of inner plate 406 and the inner edge of middle ring 404 .
  • a barrier should be included between the outer edge of middle ring 404 and the inner edge of outer ring 402 .
  • These barriers may be static, or they may move with the injection control rings.
  • the purpose of the barriers is to prevent the plasma in the upper sub-chamber from leaking into the lower sub-chamber.
  • the minimum height of the barriers is dictated by the difference in vertical position between adjacent injection control rings.
  • each control ring may be biased independently to provide controlled ion energy and flux through different control rings.
  • the upper plate of the plate assembly is implemented as a single continuous plate, and the bottom plate of the assembly is implemented as a series of three injection control rings.
  • a first bias is applied to the outer injection control ring, a second bias is applied to the middle control ring, and a third bias is applied to the inner injection control ring/plate.
  • the first, second and third bias may be set to different levels to control ion energy and flux as desired, particularly to promote radially uniform etch results.
  • defined segments or sectors of the bottom plate have independently controllable bias.
  • the biasing mechanism is a grid of electrodes.
  • an electrode may be provided for each hole in the bottom plate.
  • the ratio of electrodes to bottom plate holes may be 1:1, 1:2, 1:3, 1:4, 1:5, etc.
  • each ring can move independently of the others, it is easy to achieve different plating conditions over different parts of the substrate. This control may result in more uniform etching results over the entire face of the substrate. This type of control is especially beneficial as the industry moves towards larger substrates (e.g., 450 mm diameter or greater), where radial control of etching conditions is more important.
  • the number of rings (including an inner center plate) is between about 2-10, or between about 3-5. Greater numbers of rings provide finer radial control over the etching conditions, but also entail greater engineering challenges. It has been observed that etch non-uniformity commonly assumes a “W” shape with the center and edge regions experiencing etch conditions that are more similar to one another than to the intermediate radial positions.
  • a plate assembly containing at least 3 rings may be effective for addressing the inherent non-uniformity in the radial etch profile.
  • an intermediate ring may be rotated to produce a relatively high ion:radical flux ratio in comparison to center and edge rings.
  • the rings are the same width (for an annularly shaped ring this width is measured as the distance between the inner and outer radii, for a circularly shaped “ring” this width is the radius) or substantially the same width (e.g., within about 10%).
  • the rings may have different widths (e.g., the widths may vary by at least about 10%, at least about 30% or at least about 50%).
  • the wider rings may be positioned at or toward the periphery of the series of rings, at or toward the center of the rings, or at an intermediate position. This flexibility permits the optimization of an etching process depending on a particular application and its related non-uniformities.
  • Either the upper or lower plate may be implemented as a series of injection control rings.
  • the upper plate is made of a series of injection control rings made of an insulating material.
  • the lower plate is made of a series of injection control rings made of a conductive material.
  • Other configurations are possible, as well.
  • Embodiments where the lower plate is stationary and the upper plate is made of a series of movable injection control rings may be preferable in terms of controlling temperatures, material behavior, particle formation, RF return issues, etc. However, either configuration may be used.
  • mechanisms e.g., micro actuators built into the other plate of the plate assembly
  • the rotation causing mechanism and the translation causing mechanism may be implemented independently, or may be implemented as part of a single movement causing mechanism.
  • movement causing mechanisms are included in the non-moving plate (e.g., the lower plate), which cause the moving plate (e.g., the upper plate) to rotate and/or translate.
  • the movement causing mechanism may include structures that extend outwards towards the peripheral walls of the reactor, and may extend through the non-moving plate. Where the apparatus includes insulating walls dividing the upper sub- chamber into distinct plasma zones (discussed below in this section), the movement causing elements may extend through these insulating walls.
  • the apparatus is capable of independently translating each ring at least about 0.5 mm, or at least about 1 mm. In some cases, the rings may translate between about 0-10 mm.
  • etching will be conducted such that the distance between the plate and ring of an assembly in one radial section is at least about 0.5 mm greater than the distance between the plate and ring of the assembly in a second radial section (the radial sections being coextensive with the injection control rings).
  • a further technique for addressing the issue of radial non-uniformity is to implement the upper sub-chamber as a series of concentric plasma zones, rather than a single continuous upper plasma zone.
  • An apparatus for implementing this technique is illustrated in FIG. 5 .
  • the upper plasma zones may also be referred to more simply as plasma zones.
  • three plasma zones 132 a , 132 b and 132 c are employed.
  • the number of plasma zones may range between about 2-10, or between about 3-5. In theory, any number of plasma zones may be used. Larger numbers of zones may be used to more finely tune the plasma conditions, while smaller numbers of zones are simpler to implement.
  • the innermost plasma zone 132 a has a circular cross-section, as viewed from above.
  • the other plasma zones 132 b - c have annular cross-sections, as viewed from above, and surround the innermost plasma zone 132 a .
  • the plasma zones 132 a - c are separated by insulating walls 142 .
  • the insulating walls are made from a dielectric material such as ceramic or quartz, though other insulating materials may also be used.
  • the purpose of the insulating walls 142 is to isolate each of the plasma zones 132 a - c from one another.
  • Each plasma zone has a separate gas feed inlet.
  • plasma zone 132 a is fed by gas feed 106 a
  • plasma zone 132 b is fed by gas feed 106 b
  • the gas feeds are fed to a showerhead plate 141 , which is capable of maintaining separation between the gas feeds and delivering the correct feed to each plasma zone.
  • an independent power source is provided for each plasma zone.
  • a multizone RF power supply 140 is used to independently provide power to the coils 108 proximate each plasma zone 132 a - c .
  • each plasma zone 132 a - c By providing each plasma zone 132 a - c with a separate gas feed 106 a - c and a mechanism for providing power to each zone independently, different types of plasma may be generated in each plasma zone 132 a - c .
  • the different plasmas can help combat center-to-edge non-uniformities that may otherwise arise during etching.
  • different compositions of gas are delivered to the different plasma zones 132 a - c .
  • a gas may be delivered to some of the plasma zones while not being delivered to the other plasma zones.
  • gas A may be delivered to plasma zones 132 a and 132 c , and not to plasma zone 132 b .
  • a different tuning gas is delivered to each of the plasma zones 132 a - c .
  • Another way to achieve different compositions of gas in the different plasma zones is to deliver different relative concentrations of component gases to each plasma zone.
  • plasma zones 132 a - b receive a gas feed that is about 50% gas A and 50% gas B, while plasma zone 132 c receives a gas feed that is about 75% gas A and about 25% gas B.
  • gases A and B can represent any of the appropriate gases mentioned in the Etching Mechanism section.
  • Other factors which may be variable or constant between the plasma zones 132 a - c include the total flow rate delivered to each plasma zone, the pressure in each plasma zone, the temperature in each plasma zone, the plasma density in each plasma zone, the power delivered to the plasma source for each zone, the frequency used to generate the plasma in each zone, etc.
  • separated plasma zones are implemented together with a series of injection control rings.
  • the number of rings and the number of plasma zones are typically equal, though this is not necessarily always the case.
  • the control rings may be designed such that they are the same width as (or are slightly smaller than) the plasma zones, such that a particular injection control ring effectively serves as the bottom surface of a corresponding plasma zone.
  • the methods and apparatus disclosed herein allow for a wide variety of etching conditions to be achieved, both between processing different substrates or different steps of a multi-step etching processes, and within processing a single substrate in a single process.
  • the disclosed techniques may be used to implement many different kinds of etching operations.
  • a few types or modes of operation will be mentioned for the sake of clarity and understanding. However, for the sake of brevity, certain types of processes that are enabled by the present disclosure will not be individually discussed. Further, the modes are described in an exemplary fashion, and details related to the modes may be altered according to a desired application. Certain variables (typically those that are not critical to operating the apparatus in a particular mode of operation) may be excluded from the discussion in the following sections.
  • an inert gas is delivered to the upper sub-chamber and no etchant is used.
  • Plasma is generated exclusively in the upper sub-chamber and there is substantially no plasma present in the lower sub-chamber.
  • the energy of ions passing through the plate assembly into the lower sub-chamber may be tuned by controlling a bias applied to the lower plate of the assembly. In various cases, the electrostatic chuck is not biased when operating in this mode.
  • the flux of ions to the substrate can be controlled by any of the mechanisms described herein (e.g., degree of alignment of apertures in plate assembly, injection control rings, distinct plasma zones, power supplied to generate plasma, etc.).
  • a plasma generating gas is delivered to the upper sub-chamber and an etchant is delivered to either sub-chamber or both.
  • the etchant may be fragmented or unfragmented. Where the etchant is desired to be unfragmented, it should be delivered directly to the lower sub-chamber, and there should be substantially no plasma present in this region.
  • the degree of fragmentation can be controlled by various mechanisms, most notably the presence of plasma in the lower sub-chamber. Fragmentation can be tuned by controlling parameters such as the electron temperature of the plasma and pressure in the lower sub-chamber.
  • an etchant may be fully dissociated or fragmented to produce fluorine species and similar atomic or near atomic species.
  • examples of such an etchant include C x F y and C x H y gases.
  • the etchant may be partially fragmented to multi-atom etching components.
  • the etchant species may be radicalized.
  • the relative flux of ionic and neutral species (e.g., radicalized fragmented etchant species) passing through the plate assembly into the lower sub-chamber may be controlled by any of the mechanisms described herein (e.g., degree of alignment of apertures in plate assembly, injection control rings, distinct plasma zones, distance between upper and lower plate of the plate assembly, power supplied to generate plasma, flow of ion-generating and radical-generating gases into upper sub-chamber, etc.).
  • ionic and neutral species e.g., radicalized fragmented etchant species
  • plasma is generated exclusively in the upper sub-chamber and the lower sub-chamber is substantially free of plasma.
  • the plate assembly open area is set to zero (i.e., the apertures in the upper and lower plates are completely or substantially completely misaligned).
  • neutral species e.g., radicalized etchant species
  • the flux of neutral species may be controlled by, for example, changing the distance between the upper and lower plates of the plate assembly.
  • an additional process gas is delivered to the lower sub-chamber in order to form a protective layer on parts of the substrate.
  • SiCl 4 is delivered to help protect a mask layer.
  • gases that may be used as passivating gases include, but are not limited to, C x F y , C x H y , COS, H 2 , HBr, etc.
  • the additional process gas acts to protect the sidewalls of a trench or another feature. This additional process gas may be delivered separately (i.e., while etching is not occurring, for example immediately prior to an etching operation), or it may occur during an etching process.
  • Plasma may be present in the lower sub-chamber in various modes of operating the etch reactor.
  • the upper sub-chamber is not used.
  • the upper and lower plates of the plate assembly are positioned such that they are in contact with one another (i.e., the distance between them is decreased to zero), and the apertures are completely misaligned.
  • Plasma generating gas (which can contain one or more of the gases mentioned in the Etching Mechanism section above) is delivered directly to the lower sub-chamber, and a plasma is generated in this region.
  • the etching apparatus basically simplifies into a conventional single chamber etch reactor.
  • the plasma is typically generated by applying a high frequency bias to the electrostatic chuck/substrate support.
  • the plates may be designed to permit plasma to leak from the upper sub-chamber to the lower sub-chamber in certain implementations.
  • an etchant species is present, though this is not necessarily always the case.
  • a suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention.
  • the hardware may include one or more process stations included in a process tool.
  • a system controller (which may include one or more physical or logical controllers) controls some or all of the operations of a process tool.
  • the system controller will typically include one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.
  • the system control software may include instructions for controlling the timing, mixture of process gas components (e.g., the composition of the etchant gas, the composition of the gas used to generate the plasma, any other process gases, etc.), chamber pressure, chamber temperature, wafer temperature, current and potential applied to the chuck/wafer and any other electrodes, the bias applied to each of the grids of the grid assembly, the bias applied to the electrostatic chuck, wafer position, plate position, and other parameters of a particular process performed by the process tool.
  • System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable computer readable programming language.
  • system control software includes input/output control (IOC) sequence instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of an etching process may include one or more instructions for execution by the system controller.
  • the instructions for setting process conditions for a plasma generation process phase may be included in a corresponding plasma generation recipe phase.
  • the etching recipe phases may be sequentially arranged, so that all instructions for an etching process phase are executed concurrently with that process phase.
  • may be employed in some embodiments.
  • programs or sections of programs for this purpose include a substrate positioning program, an upper sub-chamber gas delivery composition control program, a lower sub-chamber gas delivery composition control program, a gas inlet timing control program, a plate assembly bias control program, a plate assembly position control program, an electrostatic chuck bias control program, a pressure control program, a heater control program, and a potential/current power supply control program.
  • Any of the software/programs mentioned herein may contain instructions for modifying the relevant parameters during etching.
  • a plate assembly bias control program may contain instructions to modify the bias to one or more plates of the plate assembly during etching. As a consequence, the ion energy of the ions traveling into the lower sub-chamber may be modified during the etch process.
  • the controllers control one or more of the following functions: delivery of etchant or other processing gas to the lower sub-chamber, delivery of plasma generation gas to the upper sub-chamber, plasma generation conditions in the upper and/or lower sub-chamber, the bias applied to each plate of the plate assembly, rotation/translation of the plates in the plate assembly, etc.
  • the delivery of gas to the sub-chambers may be achieved by directing certain valves to open and close at particular times. This allows the controller to control both the timing of gas delivery, as well as the composition of the delivered gases.
  • the controller may control plasma generation conditions by, for example, directing a power supply to provide power to a plasma generator (e.g., the coils of an ICP reactor) at particular frequencies/power levels.
  • the controller may control the plasma generation conditions by directing a flow of inert gas (and/or in some embodiments reactive gas) to enter the upper sub-chamber, or by controlling the pressure in the sub-chambers, or by controlling the temperature in the sub-chambers, etc.
  • the controller may control the rotation/translation of the plates in the plate assembly by directing a rotational actuator and/or translational actuator to move the plates as desired.
  • the controller is designed or configured to rotate or translate a concentric plate section to control center to edge etch conditions on the substrate.
  • the controller may be designed or configured to move at least one concentric plate section relative to the first plate to orient the apertures of the first and second plates to control an ion to radical flux ratio.
  • the controller is designed or configured to independently control plasma generation in the concentric plasma zones and thereby control center to edge etch conditions on the substrate.
  • the controllers may control these aspects based on sensor output (e.g., when current, current density, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process) or based on received instructions from a user.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective

Abstract

The disclosed techniques relate to methods and apparatus for etching a substrate. A plate assembly divides a reaction chamber into a lower and upper sub-chamber. The plate assembly includes an upper and lower plate having apertures therethrough. When the apertures in the upper and lower plates are aligned, ions and neutral species may travel through the plate assembly into the lower sub-chamber. When the apertures are not aligned, ions are prevented from passing through the assembly while neutral species are much less affected. Thus, the ratio of ion flux:neutral flux may be tuned by controlling the amount of area over which the apertures are aligned. In certain embodiments, one plate of the plate assembly is implemented as a series of concentric, independently movable injection control rings. Further, in some embodiments, the upper sub-chamber is implemented as a series of concentric plasma zones separated by walls of insulating material.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of and claims priority to U.S. patent application Ser. No. 14/033,241, filed Sep. 20, 2013, titled “ION TO NEUTRAL CONTROL FOR WAFER PROCESSING WITH DUAL PLASMA SOURCE REACTOR,” which is a continuation-in-part of U.S. application Ser. No. 12/850,552 (now issued as U.S. Pat. No. 8,869,742), titled “PLASMA PROCESSING CHAMBER WITH DUAL AXIAL GAS INJECTION AND EXHAUST,” filed Aug. 4, 2010, each of which is incorporated herein by this reference for all purposes.
  • BACKGROUND
  • One operation frequently employed in the production of semiconductors is an etching operation. In an etching operation, one or more materials are partly or wholly removed from a partially fabricated integrated circuit. Plasma etching is often used, especially where the geometries involved are small, high aspect ratios are used, or precise pattern transfer is needed. Typically, a plasma contains electrons, ions and radicals. The radicals and ions interact with a substrate to etch features, surfaces and materials on the substrate.
  • As device dimension shrink, plasma etching processes need to be increasingly precise and uniform in order to produce quality products. One driving factor for decreasing device dimensions is the push to provide more devices per substrate. A related factor is the move from planar to 3D transistor structures (e.g., FinFET gate structures for logic devices) and advanced memory structures (e.g., Magnetoresistive Random Access Memory (MRAM) and Resistive Random Access Memory (ReRAM)). In order to achieve such precise and uniform processes, different processes must be optimized based on several relevant factors (e.g., the application for which the device will be used, the chemistry involved, the sensitivity of the substrate, etc.). Among other factors, a few important variables that may be optimized in an etching process include the flux of ions to a substrate, the flux of radicals to a substrate, and the related ratio between these two fluxes.
  • Because different processes are optimized in different ways, an apparatus which is suitable for a first etching process may not be suitable for a second etching process. Due in part to limited space in processing facilities, as well as the cost of semiconductor fabrication equipment, it is desirable for a semiconductor fabrication apparatus to be able to provide a wide range of processing conditions over a substrate. Further, it may be desirable for a semiconductor apparatus to be able to provide a wide range of processing conditions over different parts of a substrate during processing to combat certain geometric non-uniformities. This consideration is especially important where large substrates (e.g., 300 mm and especially 450 mm diameter) are being processed, as the geometric non-uniformities are exacerbated in such large work pieces. In this way, a single apparatus may be used for many different applications to achieve uniform results. The techniques described herein are especially useful for performing multi-step etch processes such as those associated with FinFET structures and back-end-of-line (BEOL) processing such as certain dual Damascene processes, particularly when performed on large substrates. The disclosed embodiments may be particularly useful in certain advanced technology nodes such as the 40 nm node, the 10 nm node, and the 7 nm node.
  • SUMMARY
  • Certain embodiments herein relate to apparatus and methods for etching substrates. In one aspect of the embodiments herein, an apparatus for etching substrates includes (a) a reaction chamber, (b) a plate assembly positioned in the reaction chamber thereby dividing the reaction chamber into an upper sub-chamber and a lower sub-chamber, where the plate assembly includes: (i) a first plate, and (ii) a second plate including at least two substantially concentric plate sections that are independently rotatable with respect to the first plate, where the first plate and second plate have apertures extending through the thickness of each plate, (c) one or more gas inlets to the upper sub-chamber, (d) one or more gas outlets to the reaction chamber designed or configured to remove gas from the reaction chamber, and (e) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber.
  • In some embodiments, the apparatus includes at least three substantially concentric plate sections. In these or other cases, at least some of the apertures in at least one of the plates of the plate assembly may have an aspect ratio between about 0.2-0.4. At least one of the plates of the plate assembly may have an open area between about 40-60%. In certain implementations, the plate sections of the second plate include an insulating material, and the first plate includes a conductive material. The upper sub-chamber may be divided into a plurality of concentric plasma zones separated by one or more insulating walls. In various embodiments, a controller may be used to implement an etching method. For example, the controller may be designed or configured to rotate one or more of the concentric plate sections to control center to edge etch conditions on the substrate. The controller may also be designed or configured to move at least a first concentric plate section relative to the first plate to orient the apertures of the first and second plates to control an ion to radical flux ratio.
  • In another aspect of the embodiments herein, an apparatus for etching substrates is provided, including (a) a reaction chamber having an upper sub-chamber and a lower sub-chamber, where the upper sub-chamber includes at least two substantially concentric plasma zones, where each plasma zone is isolated from other plasma zones by one or more insulating walls, (b) a plate assembly positioned between the upper sub-chamber and lower sub-chamber and including a first plate and a second plate, where each plate has apertures extending through the thickness of the plate, and where the second plate is rotatable with respect to the first plate, (c) one or more gas inlets to the upper sub-chamber, (d) one or more gas outlets to the lower sub-chamber designed or configured to remove gas from the lower sub-chamber, and (e) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber.
  • The apparatus may also include a translation causing mechanism designed or configured to move at least one plate of the plate assembly towards and away from the other plate of the plate assembly, such that a distance between the first and second plate is variable. In some cases, at least one of the plates may be designed or configured to act as a showerhead for delivering gases to the upper or lower sub-chambers. There is typically some distance between the first and second plates. In some embodiments this distance is between about 1-6 mm. At least one plate of the plate assembly may be between about 3-20 mm thick. Various types of plasma generation sources may be used. In one example, the plasma generation source is designed or configured to produce an inductively coupled plasma. The number of concentric plasma zones may also vary. In some embodiments, the upper sub-chamber includes at least three substantially concentric plasma zones. Various implementations utilize a controller configured to perform an etching method. In one example, the controller is designed or configured to independently control plasma generation in the concentric plasma zones and thereby control center to edge conditions on the substrate. The controller may also be designed or configured to move at least one concentric plate section relative to the first plate to orient the apertures of the first and second plates to control an ion to radical flux ratio.
  • In a further aspect of the disclosed embodiments, a method of etching a substrate is provided, including (a) receiving a substrate in a reaction chamber of an etching apparatus including: (i) a plate assembly positioned in the reaction chamber and thereby dividing the reaction chamber into an upper sub-chamber and a lower sub-chamber, where the plate assembly includes a first plate and a second plate, where the second plate includes at least two concentric sections, where the concentric sections are independently rotatable with respect to the first plate, and where the first plate and second plate have apertures extending through the thickness of each plate, (ii) one or more gas inlets to the upper sub-chamber, (iii) one or more gas outlets to the lower sub-chamber designed or configured to remove gas from the lower sub-chamber, and (iv) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber, (b) flowing a plasma generating gas into the upper sub-chamber and generating a plasma, (c) flowing neutral species present in the plasma from the upper sub-chamber, through the plate assembly, and into the lower sub-chamber, and (d) etching the substrate.
  • The method may also include aligning at least some apertures in the upper and lower plates of the plate assembly such that ions flow from the upper sub-chamber, through the plate assembly, and into the lower sub-chamber. In some cases, different flux ratios of radicals to ions are achieved through different portions of the plate assembly. For example, a first flux ratio of radicals to ions through a first portion of the plate assembly may be different from a second flux ratio of radicals to ions through a second portion of the plate assembly. In some embodiments, the method also includes controlling a flux ratio of radicals to ions through the plate assembly by rotating at least one of the concentric sections of the second plate. The method may also include applying a bias to a substrate support positioned in the lower sub-chamber. The bias applied to the substrate support may produce a plasma in the lower sub-chamber. In other cases, however, the bias applied to the substrate support does not produce a plasma in the lower sub-chamber. In certain cases, the method may include applying a bias to one or more plates of the plate assembly. In a particular embodiment, different levels of bias are applied to the different concentric plate sections of the second plate. The method may also include rotating one or more of the concentric plate sections to control center to edge etch conditions on the substrate.
  • In another aspect of the disclosed embodiments, a method of etching a substrate is provided, including (a) receiving a substrate in a reaction chamber of an etching apparatus having: (i) an upper sub-chamber and a lower sub-chamber, where the upper sub-chamber includes at least two substantially concentric plasma zones, where each plasma zone is isolated from other plasma zones by one or more insulating walls, (ii) a plate assembly positioned between the upper sub-chamber and lower sub-chamber and including a first plate and a second plate, where each plate has apertures extending through the thickness of the plate, and where the second plate is rotatable with respect to the first plate, (iii) one or more gas inlets to the upper sub-chamber, (iv) one or more gas outlets to the lower sub-chamber designed or configured to remove gas from the lower sub-chamber, and (v) a plasma generation source designed or configured to produce a plasma in the upper sub-chamber, (b) flowing plasma generating gas into and generating a plasma in each plasma zone, (c) flowing neutral species present in the plasmas from the plasma zones, through the plate assembly, and into the lower sub-chamber, and (d) etching the substrate.
  • The method may also include flowing plasma generating gas of a first composition into a first plasma zone and flowing plasma generating gas of a second composition into a second plasma zone to accomplish operation (b). The first composition and second composition may be different (e.g., they may include different gases, or different concentrations of the same gases). In these or other cases, operation (b) may include generating a first plasma in a first plasma zone and a second plasma in a second plasma zone, where the first plasma and second plasma have different densities. The method may also include controlling an ion to neutral flux ratio through the plate assembly by changing a distance between the first plate and second plate. In certain implementations, a first ion to neutral flux ratio from a first plasma zone, through the plate assembly and into the lower sub-chamber is different from a second ion to neutral flux ratio from a second plasma zone, through the plate assembly and into the lower sub-chamber.
  • These and other features will be described below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows an example of a plasma etching reactor according to a disclosed embodiment.
  • FIGS. 2A-2B show close-up views of aligned (FIG. 2A) and misaligned (FIG. 2B) apertures in a plate assembly, depicting which species are able to pass through the assembly in each case.
  • FIG. 2C is a chart showing the Flux of Neutral Species vs. Position Near Aperture for the line-of-sight (i.e., aligned) and non-line-of-sight (i.e., misaligned) cases.
  • FIG. 3A is a flowchart illustrating a method of performing an etching operation according to a disclosed embodiment.
  • FIG. 3B is a flowchart illustrating a semiconductor fabrication context in which a disclosed etching operation may take place.
  • FIGS. 4A-4C show example series of injection control rings according to certain disclosed embodiments.
  • FIG. 5 shows an example of a plasma etching apparatus having multiple separate plasma zones according to a disclosed embodiment.
  • DETAILED DESCRIPTION
  • In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. Further, the terms “plate” and “grid” are used interchangeably. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Further, though the description often refers to “upper” and “lower” elements (or similarly to “top” and “bottom,” “left” and “right,” etc.) these descriptors are used in a non-limiting fashion, solely for the sake of clarity. One of ordinary skill in the art would understand that other configurations may be used, as well. In certain embodiments, elements which are described herein as “upper” and “lower” may become the “lower” and “upper” or “left” and “right” elements, for example.
  • The embodiments herein generally deal with semiconductor processing methods and apparatus. More specifically, the embodiments relate to methods and apparatus for etching a semiconductor substrate. In practicing the disclosed techniques, a substrate is provided in a processing chamber. FIG. 1 shows a cross-sectional view of an appropriate processing apparatus 100. The reaction chamber is divided into an upper sub-chamber 132 and a lower sub-chamber 134 by a plate assembly 150. The plate assembly 150 includes an upper plate 116 and a lower plate 130. Each of the upper and lower plates 116 and 130 have apertures extending through the thickness of the plates. When the apertures in the upper and lower plates 116 and 130 are aligned, they permit the passage of ions and neutral species from the upper sub-chamber to the lower sub-chamber. When the apertures in the upper and lower plates 116 and 130 are not aligned, neutral species are able to pass through the misaligned apertures, while ions are substantially prevented from passing through.
  • This concept is shown in FIGS. 2A-2C. In FIG. 2A, the apertures in the upper plate 216 and lower plate 230 of the plate assembly 250 are aligned, and both ions (shown by the solid arrow) and neutral species (shown by the dotted arrow) are able to pass through into the lower sub-chamber. In FIG. 2B, the upper and lower plates 216 and 230 of plate assembly 250 are misaligned, and only the neutral species are able to pass through. FIG. 2C shows the flux of neutral species at an exit of the lower plate when the apertures in the upper and lower plates are aligned (line-of-sight case, shown by the dotted line) and when the apertures are mis-aligned (non-line-of-sight case, shown by the solid line). Although the total flux of neutrals is lower in the non-line-of-sight case, the decrease is only about 16%. This difference in neutral flux between the line-of-sight and non-line-of-sight cases is quite small when compared to the difference in ion flux between these two cases.
  • Returning to the embodiment of FIG. 1, additional details of the processing apparatus 100 will be provided. The upper sub-chamber 132 is configured to contain an inductively coupled plasma and the lower sub-chamber 134 is configured to contain a capacitively coupled plasma. Further, the volume of the lower sub-chamber 134 is adjustable, and the lower plate 130 is configured to operate as a gas delivery showerhead for delivering process gases from gas feed 104 to the lower sub-chamber 134. Process gases may be separately delivered to the upper sub-chamber 132 through gas feed 106, which feeds upper showerhead plate 112. In some cases, the upper showerhead plate 112 is made from a material such as silicon, silicon nitride, silicon carbide or quartz. The upper showerhead plate 112 may be slotted. Further, the upper showerhead plate 112 may move toward and away from the substrate to decrease or increase the amount of space between the upper and lower plates 116 and 130. This movement may help control the flux of radicals through the plate assembly 150 in certain embodiments.
  • Above the upper showerhead plate 112, there may be an insulator plate 110 (e.g., a ceramic insulator plate). TCP coils 108 may be situated above the insulator plate 110. In the embodiment of FIG. 1, dual TCP coils 108 are used. In embodiments where the upper zone plasma is not an inductively coupled plasma, these coils 108 may be absent. The reactor walls 114 surround the lower and upper sub-chambers 134 and 132, respectively. In some embodiments, the reactor walls 114 are made from anodized aluminum. The reactor walls 114 may also be coated with a protective material such as, for example, Y2O3, or another material designed to protect the semiconductor apparatus from harsh plasma conditions. Further, in various embodiments, the reactor walls 114 may include temperature control elements such as a temperature control heater ring 128. These temperature control elements help to produce desirable plasma conditions within the upper and lower zone plasmas.
  • Upper plate 116, sometimes also referred to as an injection control plate, is situated near the bottom of the upper sub-chamber 132. This upper plate 116 is rotatable about an axis normal to the face of the plate, and contains holes and/or slots which at least partially register with the slots/holes in the underlying lower plate 130. In the embodiment of FIG. 1, the upper plate 116 is insulating and the lower plate 130 is conductive and grounded. By rotating upper plate 116, the plate assembly open area changes, and different relative amounts of species from the upper zone plasma are able to travel through the plate assembly 150 and into the lower sub-chamber 132. The plate assembly open area (sometimes referred to more simply as assembly open area) is defined as the area of the plate assembly through which there is a clear line-of-sight from the upper sub-chamber 132 to the lower sub-chamber 134 at a given time. In other words, it represents the amount of area in which the apertures in the upper and lower plates 116 and 130 are aligned. The plate assembly open area may change based on the degree of alignment of the apertures in the plate assembly. The maximum plate assembly open area is defined as the highest assembly open area attainable for a given set of plates. Similarly, the term plate open area is defined as the area of a single plate through which there is a clear line-of-sight from the upper- to lower sub-chambers 132 and 134. In various embodiments, rotating upper plate 116 allows for tuning of the relative amounts of charged and neutral species passing from the upper to lower sub-chambers, as described elsewhere herein.
  • Other features highlighted in FIG. 1 include a pressure control ring 118 (often made from an insulating material such as quartz), a high power tunable electrostatic chuck 120, a coaxial RF switch 122, a cooling spacer ring 126 (often used to house fluid, including but not limited to water), and a temperature control heater ring 128. Further, distance 124 indicates that the height of the lower sub-chamber may be adjustable.
  • Methods
  • FIG. 3A provides a flow chart for etching a material in accordance with various embodiments herein. Process 300A begins at block 301, where a substrate having material for removal is received in the lower sub-chamber of a processing apparatus. An exemplary processing apparatus is described above in relation to FIG. 1. At block 303, plasma is generated in the upper sub-chamber of the processing apparatus. At block 305, a bias is applied to the substrate support. In some cases, this bias causes a plasma to form in the lower sub-chamber. In other cases, the bias may be sufficiently weak (e.g., in terms of frequency and/or applied power) such that there is substantially no plasma present in the lower sub-chamber. In either case, the bias acts to attract ions toward the substrate for processing. At block 307, the relative flux of ions and neutral species from the upper sub-chamber, through the ion extractor plate, and into the lower sub-chamber is controlled. The flux of ions is primarily controlled by changing the amount of plate assembly open area. Increasing the amount of plate assembly open area directly and substantially increases the ion flux through the plate assembly. Although increasing the plate assembly open area also increases the flux of neutral species, as shown in FIG. 2C, the flux of neutral species is significantly less affected by this open area, as compared to the flux of ions.
  • The flux of neutral species is primarily affected by the distance between the upper and lower plates. Increasing the distance between the two plates increases the amount of neutral species that are able to pass through. Wider gaps between the plates create more open, less tortuous paths through which the neutral species may travel to reach an aperture in the lower plate. In some implementations, the plate assembly open area and/or the distance between the upper and lower plate may change during processing of a substrate. Of course these variables may also change between processing different substrates, as may be needed for different types of applications. The process 300A continues at block 309, where the substrate is etched. In some cases, reactive etching chemistry may be provided to the upper and/or lower sub-chambers to help achieve the etching. In other cases, the etching is realized through ion etching without the help of reactive chemistry.
  • FIG. 3B illustrates an exemplary semiconductor fabrication process that may benefit from the disclosed techniques. In particular, FIG. 3B relates to a broader fabrication context in which the etching process described in FIG. 3A may take place. An example of this broader semiconductor fabrication method is further discussed and described in U.S. Pat. Nos. 6,689,283, titled DRY ETCHING METHOD, MICROFABRICATION PROCESS AND DRY ETCHING MASK; and RE40,951, titled DRY ETCHING METHOD FOR MAGNETIC MATERIAL, each of which is incorporated herein by reference in its entirety.
  • The process 300B begins at block 302, where a stack of materials is deposited on a substrate. In one embodiment, the stack is made of alternating layers of conductive and insulating materials. In various cases, the substrate on which the stack is deposited is a semiconductor wafer. Next, at block 304, a resist layer is deposited on the stack of alternating layers. The resist layer may be micro-patterned using a lithography technique. In a particular case, the patterned resist layer is e.g., a positive-type resist deposited using a spin-coating method and patterned using UV or electron-beam exposure equipment. At block 306, a mask layer is deposited on the patterned resist layer. In some cases, the mask layer is made of titanium nitride (TiN), which may be deposited through a reactive sputtering method.
  • Next, at block 308, the patterned resist layer is removed to form a patterned mask layer. In some embodiments, the removal may be accomplished through a lift-off method by dipping the substrate in a solvent bath and applying ultrasonic energy to remove the patterned resist. Next, the stack on the substrate may be etched at block 310 to form an etched stack. The etching may occur through the disclosed plasma etching techniques. For example, the process 300A shown in FIG. 3A may be implemented in operation 310.
  • Etching Mechanism
  • The techniques described herein may be beneficial in implementing a variety of etching processes that may occur through an assortment of mechanisms. In some cases, the removal of unwanted material may be accomplished through the use of ion etching (i.e., ion sputtering or ion milling) alone. In other embodiments, reactive chemistry is used along with ion exposure to facilitate material removal in a process referred to as reactive ion etching.
  • Ion etching generally refers to the removal of atoms by physical sputtering with an inert gas. Physical sputtering is driven by momentum exchange between the ions and the materials with which they collide. Upon impact, the incident ions set off collision cascades in the target. When such cascades recoil and reach the target surface with an energy greater than the surface binding energy, an atom may be ejected, known as sputtering.
  • Reactive ion etching generally refers to the removal of material through the action of chemically active ions and/or radicals, which may react with the unwanted material to aid in its removal. Where reactive chemistry is used, one purpose of the ions may be to activate the surface for reaction. Without wishing to be bound by any theory or mechanism of action, it is believed that ion bombardment may generate active sites on the substrate by creating dangling bonds and/or other physicochemically receptive features on the metal or other material to be etched. In some cases, a combination of ion sputtering and radical induced reactions are used.
  • During processing, gas may be delivered solely to the upper sub-chamber, solely to the lower sub-chamber, or to both sub-chambers. The gases delivered to each sub-chamber may be the same or different (e.g., different gases, or different concentrations of the same gases). The gas used to create the plasmas may be chosen to reduce or eliminate unwanted reactions in the reaction chamber, based in part on the etching chemistry used and the material to be etched. The materials listed herein are merely exemplary and are not meant to limit the embodiments in any way. One of ordinary skill in the art would understand that the techniques herein may be used with a variety of materials and reactions.
  • In some cases, the gas delivered to the upper and/or lower sub-chamber contains an inert gas such as Ar, He, Ne, Kr, etc. Where etching is achieved through ion sputtering, inert gases may be the only gases supplied to the sub-chambers. However, where etching occurs through reactive ion etching, the gas delivered to the upper and/or lower sub-chamber may include a reactive gas (e.g., an etchant and/or an additional reactive processing gas). Examples of reactive gases that may be used include flourocarbons (CxFy), hydrocarbons (CxHy), hydrogen (H2), oxygen (O2), nitrogen (N2), methane (CH4), carbon tetrafluoride (CF4), chlorine (Cl2), hydrogen bromide (HBr), ammonia (NH3), phosphorus trifluoride (PF3), carbonyl fluoride (COF2), carbon monoxide (CO), nitric oxide (NO), methanol (CH3OH), ethanol (C2H5OH), acetylacetone (C5H8O2), hexafluoroacetylacetone (C5H2F6O2), thionyl chloride (SOCl2), thionyl fluoride (SOF2), acetic acid (CH3COOH), pyridine (C5H5N), and/or formic acid (HCOOH). In various embodiments, a combination of these etching reactants is used. For example, in some cases a combination of CO+NO is used. In another case, a combination of CO2+NO2 is used. In a further case, pyridine is combined with thionyl chloride and/or thionyl fluoride. In certain cases, reactive gases are only supplied to the upper or lower sub-chamber, while in other cases reactive gases may be supplied to both sub-chambers. Furthermore, in some embodiments, an additional process gas is delivered (e.g., to the lower sub-chamber) in order to perform a specific function. For example, the additional process gas may be provided to protect a surface (e.g., to protect a mask layer). The additional process gas may be provided before or during an etch process. In some implementations, a combination of inert and reactive gases are used.
  • Any type of gas inlets may be used, for example gas showerheads, central inlet nozzles, or a plurality of inlet nozzles located at different points in the sub-chambers (e.g., around the periphery of a sub-chamber). In one embodiment, the lower plate of the plate assembly is used as a gas distribution showerhead. In this case, the lower plate includes channels for delivering process gases to the lower sub-chamber.
  • A few particular possibilities for gas delivery will be specified, though these examples are not intended to limit the embodiments. In one implementation, one or more etchant species are delivered to the lower sub-chamber through the lower plate of the plate assembly, which acts as a showerhead. In another implementation, etchant is delivered to the lower sub-chamber through a port or ports that are not part of a showerhead. In a further implementation, both etchant and additional reactive processing gas are delivered to the upper sub-chamber. In yet another implementation, both etchant and additional reactive processing gas are delivered to the lower sub-chamber. In an additional implementation, described more fully below, distinct mixtures of gases may be supplied to different radial portions (e.g., concentric ring-shaped portions) of the upper sub-chamber.
  • In some implementations, the material to be etched is Si, SO2, SiN, SiON, SiCOH, TiN, W, Al, a low-K material, a high-k material, etc. In certain embodiments, the substrate to be etched is a partially fabricated MRAM or ReRAM device. Further, the material to be etched may be a stack of materials deposited on a substrate. The stack may have alternating/interleaving layers of dielectric and conductive materials.
  • In some embodiments, additional process gases are used in combination with inert gas and reactive etch chemistry. These additional process gases may be “tuning gases” used to adjust the plasma conditions present in a plasma region. One condition that may be tuned through addition of a tuning gas is the degree of fragmentation of etching species. For example, in certain embodiments, oxygen, hydrogen and/or argon may be used to recombine certain fragmented etchant species. Other examples of tuning gases that may be used include the reactive gases listed above. The additional process gases may include gases used to passivate a surface (or a portion thereof) such that the surface is protected from etching. Examples of passivating gases include H2, Cl2, CxFy, CxHy etc.
  • Position of the Plate Assembly in the Reactor
  • The plate assembly is positioned in the reaction chamber, thereby dividing the reaction chamber into upper and lower sub-chambers. An example of a chamber suitable for modification to include a plate assembly as described herein is a Kiyo Reactor from Lam Research Corporation of Fremont, Calif. For context, the following description may be considered with reference to FIG. 1, which is further described above. In certain implementations, the plate assembly 150 is positioned such that the distance between the lower surface of the lower plate and the upper surface of the substrate is between about 10-50 mm. The height of the upper sub-chamber may be chosen based on, for example, power optimization considerations. Larger upper sub-chambers will require greater power usage in order to sustain a plasma in the larger region. In some embodiments, the height of the upper sub-chamber is between about 2-20 inches, for example between about 5-15 inches. In a particular embodiment, the upper sub-chamber has a height of about 11 inches.
  • The plate assembly should not be positioned too close to the wafer, as this may cause printing of the plate pattern to occur on the wafer's face. In other words, the pattern of slots/holes in the plate may undesirably appear on the face of the wafer after processing, causing severe etch non-uniformity on the substrate surface. For many applications, a separation distance of at least about 10 mm is sufficient.
  • Design of the Plate Assembly
  • A basic embodiment of the plate assembly is provided in this section. Additional details relating to alternative designs of the plate assembly can be found in the Promoting Radially Uniform Results section below.
  • The plate assembly includes two plates/grids having apertures therethrough. The plates are positioned on top of one another such that there is an upper plate and a lower plate separated by a small distance (e.g., between about 1-6 mm). The upper and lower plates are substantially parallel to one another (e.g., within about 10°). In some embodiments, the plates are between about 3-20 mm thick, for example between about 5-15 mm thick, or between about 6-10 mm thick. If a plate is too thick, or if the perforations in the plate are too small, the plate may block too many ions from passing through (i.e., ions will collide with the plate, sometimes on a sidewall of an aperture in the plate, instead of passing through it). If a grid is too thin, it may not be adequately rigid, it may not be able to withstand plasma processing, and it may need to be replaced fairly often. The grids should be sufficiently rigid such that they do not bow or otherwise bend when placed in the reaction chamber. This helps to ensure uniform etch results.
  • The plates may be made of a variety of materials including both insulating and conducting materials. Further, one or more of the plates may be coated. In embodiments where a bias is applied to a plate during etching, the material used to construct or coat the plate should be conductive. In various embodiments, one or more plates are constructed from or coated with metal or a metallic alloy. In these or other embodiments, one or more of the plates is constructed from an insulating material. In some cases, one or more plates may be coated with a hard carbon material. In some particular cases, the plates may be coated with a layer of Y2O3, YF3, YAG, titanium nitride, or CeO2. The grid material may or may not be anodized or otherwise passivated for, e.g., corrosion resistance. In one embodiment, the upper plate is made of an insulating material (e.g., quartz) and the lower plate is made of a conductive material (e.g., metal). Other configurations are possible within the scope of the disclosed embodiments.
  • The plate assembly generally spans a horizontal section of the chamber. Where the chamber is circular (as viewed from above or facing the work piece), the plate assembly will also be circular. This allows the assembly to effectively divide the reaction chamber into two sub-chambers. In certain designs, the shape of the plate assembly is defined by the geometry of the substrate (which is typically but not necessarily a circular wafer). As is well known, wafers are often provided in various sizes, such as 200 mm, 300 mm, 450 mm, etc. Other shapes are possible for square or other polygonal substrates or smaller/larger substrates. Thus, the cross-section of the plate assembly (as viewed from above) may have a variety of shapes and sizes. In some embodiments, there may be a distance of separation between the plate assembly and the chamber walls. This distance may help prevent arcing between the plate assembly and the chamber walls. In certain embodiments, this distance is about 3 cm or greater.
  • By changing the orientation of one plate relative to the other plate, the ratio of ion flux to radical flux (referred to as the flux ratio, defined as the ion flux/neutral flux) may be controlled. One way this control occurs is by rotating a plate such that the apertures in the upper and lower plates are aligned. As described in relation to FIGS. 2A-2B, aligned apertures permit the transfer of both ions and neutral species, while misaligned apertures largely only permit the transfer of neutral species. Another way this control occurs is by changing the distance between the two plates. A wider distance between the plates results in a higher radical flux through the plate assembly, while a narrower distance results in a lower radical flux.
  • The apertures on the plates may take various shapes. For example, the apertures could be circular holes, slots, C-shaped apertures, T-shaped apertures, etc. The apertures may be oriented such that an axis extending through the center of the aperture is normal to the face of the plate. In a particular embodiment, all of the apertures are oriented in this manner. In another embodiment, some of the apertures may be oriented at a non-perpendicular angle relative to the plate. The apertures on the upper and lower plates may be the same shape or may be different. The alignment of the apertures on the upper and lower plates may be the same or may be different. The apertures are designed such that when the plates rotate relative to one another, the amount of plate assembly open area changes. In some cases, the perforations may be designed such that little or no current is induced in a plate during plasma generation. One design which ensures this result is a plate having radially directed slots. Where the apparatus is not designed to prevent this type of problem, a current may be induced to flow substantially circularly around the plate or to flow in small eddy currents on the grid, resulting in increased parasitic power consumption.
  • The aspect ratio of the apertures is defined as the width/diameter of an aperture divided by the depth of the aperture. Because the apertures extend through the thickness of each plate, the depth of the aperture is equal to the plate thickness. The aspect ratio of the slots should be sufficiently small such that plasma does not ignite within the apertures. The appropriate aspect ratio will depend on the plasma conditions present in the upper sub-chamber. For example, where the upper sub-chamber contains a high pressure/high density plasma, the aspect ratio should be somewhat smaller. Similarly, where the upper sub-chamber contains a low pressure/low density plasma, the aspect ratio may be somewhat larger (though there is flexibility in this case). Where a high pressure/density plasma is used, the thickness of the plasma sheath is lower. As such, plasma is more likely to exist within the aperture, if the aperture is sufficiently wide. This phenomenon should be avoided, for example by using an appropriately narrow aperture. In some embodiments, the aspect ratio of the apertures is between about 0.2-0.4. In these or other embodiments, the diameter or other principal dimension of an aperture may be between about 1-10 mm. The principal dimension is in a direction parallel to the work piece and spanning the longest linear path in an aperture.
  • Both the plate open area and the plate assembly open area, defined above, may be described in terms of absolute areas, or in terms of a percentage of the total area on the plate/assembly. For example, a 300 mm diameter plate has an area of roughly 700 cm2. If the plate has about 350 cm2 of open area, it may also be considered to have about 50% open area. In some cases the plate open area and the maximum assembly open area are equal. In other cases, the maximum plate assembly open area is lower than the plate open area for one or more plates. In some implementations, at least one plate has a plate open area between about 30-70% or between about 40-60%. In these or other implementations, the maximum plate assembly open area may be between about 30-70% or between about 40-60%.
  • As mentioned above, the plate assembly may also act as a showerhead for delivering gas to one or more of the sub-chambers. In a particular embodiment, the lower plate of the plate assembly acts as a showerhead for delivering gas to the lower sub-chamber. Similarly, the upper plate can be implemented as a showerhead for delivering gas to the upper sub-chamber. Where only a single plate of the assembly acts as a showerhead, it may be the non-moving plate, as this configuration presents fewer engineering considerations. A plate being used as a showerhead will typically include one or more channels connecting gas feed inlet(s) to a plurality of showerhead outlet holes.
  • In some implementations, the plate assembly has a region (e.g., a central region) containing a feature for allowing a probing apparatus to be disposed through the plate assembly. The probing apparatus can be provided to probe process parameters associated with the plasma processing system during operation. Probing processes can include optical emission endpoint detection, interferometeric endpoint detection, plasma density measurements, ion density measurements, and other metric probing operations. In certain embodiments, the central region of the plate assembly is open. In other embodiments, the central region of the assembly contains an optically clear material (e.g., quartz, sapphire, etc.) to allow light to be transmitted through the grid.
  • In some embodiments, the plate assembly may include cooling channels embedded in one or more of the plates, and these cooling channels may be filled with a flowing or non-flowing coolant material. In certain embodiments, the cooling material is a fluid such as helium or other inert gas or a liquid such as deionized (DI) water, process cooling water, fluoroinert™ from 3M, or a refrigerant such as perfluorocarbons, hydrofluorocarbons, ammonia and CO2. In these or other embodiments, the plate assembly may include embedded heating elements and/or a temperature measurement device. The cooling channels and embedded heaters allow for precise temperature control, which permit close control over the particle and wall conditions. This control may be used to tune the conditions in the lower sub-chamber, in certain cases. For example, where the lower plate or plate assembly is maintained at a cooler temperature, etch byproducts from the wafer may preferentially deposit on the lower plate, thereby reducing the gas phase density of the etch byproducts in the lower sub-chamber. Alternatively, the lower plate or plate assembly may be maintained at an elevated temperature (e.g., above 80° C.) to reduce the deposition on the plate and ensure that the chamber can remain relatively clean and/or reduce the time required to clean the chamber during waferless auto clean (WAC).
  • In some embodiments, the plates do not move (rotate or translate) while an etch process is occurring. In such embodiments, the plates move between distinct steps, such as the individual steps of a multi-step etch process used to fabricate complex structures such as MRAM stacks or FinFET gates. In other embodiments, the plates may rotate and/or translate during processing. This helps provide additional flexibility for processing, for example, where it is desired to have a different ratio of ion flux:neutral flux (i.e., flux ratio) at different times in an etching process. In one particular example, the ratio of ion flux:neutral flux through the plate assembly is higher toward the beginning of an etch process and lower toward the end of an etch process. The opposite may be true in other implementations.
  • Additional details relating to alternative embodiments of the plat assembly are included in the Promoting Radially Uniform Results section below.
  • Upper Sub-Chamber Conditions and Configuration
  • During processing, the upper sub-chamber typically contains a plasma. The plasma may be generated by various methods. In the embodiment of FIG. 1, for example, the upper sub-chamber is configured to contain a high density (e.g., 1010 thru 1012 charged particles/cm3) inductively coupled plasma. In other embodiments, the upper sub-chamber may be configured to contain a capacitively coupled plasma. Whatever plasma generation technique is used, the plasma in the upper sub-chamber may be referred to as a Radically Coupled Plasma (RCP). This term refers to a plasma that is spatially removed from the processing area directly surrounding the substrate, from which radicals may be tunably extracted for the purpose of processing a substrate. In this description, the phrase “tunably extracted” means that the relative flux of radicals and ions (the flux ratio) may be tuned as desired for and/or during a particular process.
  • Process gases that may be delivered to the upper sub-chamber are described above in the Etching Mechanism section.
  • In certain embodiments, the power used to drive plasma formation in upper sub-chamber is between about 0-10,000 W, for example between about 1,500-4,500 W. In a particular implementation the RF power used to drive plasma formation is about 3,000 W.
  • The pressure in the etching apparatus may be controlled by a vacuum pump. The vacuum pump may draw through exhaust ports on the reaction chamber. The exhaust ports may be located in the lower sub-chamber and/or in the upper sub-chamber. The exhaust ports may have variable/controllable conductance. The orientation of the plate assembly (e.g., the alignment of the apertures and the distance between the upper and lower plates) may also affect the pressure experienced in the sub-chambers. Specifically, these orientation characteristics may be tuned to provide an appropriate pressure gradient between the upper and lower sub-chambers.
  • In one mode of operation, the upper sub-chamber is not used, and all plasma generation and processing occur in the lower sub-chamber. When practicing in this mode, the distance between the upper and lower plates of the plate assembly may be decreased to zero, and the apertures may be purposely misaligned such that there is no plate assembly open area. In this mode, the etching apparatus basically simplifies down to a single chamber conventional plasma etcher. All gases may be delivered directly to the lower sub-chamber, where the substrate is situated. A plasma may be generated in the lower sub-chamber, and the substrate may be etched according to conventional methods. The ability to close off the upper sub-chamber and operate under conventional methods increases the flexibility and usefulness of the apparatus.
  • Lower Sub-Chamber Conditions and Bias Applied to Substrate Supporter
  • In various embodiments, a bias may be applied to the substrate supporter during etching. Generally, where a substrate supporter (e.g., an electrostatic chuck) is biased, the substrate is also biased. In some cases, the bias frequency is sufficiently large (e.g., about 60 MHz) such that a capacitively coupled plasma forms in the lower sub-chamber. In other cases, the bias frequency is much smaller (e.g., about 10 MHz or lower) such that there is substantially no plasma present in the lower sub-chamber during etching. In some embodiments, the power used to bias the substrate support is sufficiently low such that substantially no plasma is present in the lower sub-chamber, even where the frequency of the bias is otherwise high enough to support plasma formation in this region. It may be beneficial to have a plasma present in the lower sub-chamber during etching in some embodiments. For example, where an etchant species is present in the lower sub-chamber and it is desired to dissociate the etchant into more/smaller fragments, the existence of a plasma in the lower sub-chamber may help promote such dissociation. Other factors which may affect the degree of fragmentation of an etchant species include the density and effective electron temperature of plasma in the lower sub-chamber.
  • The gases supplied to the lower sub-chamber may include any of the gases listed above in the Etching Mechanism section.
  • The bias applied to the substrate support affects the energy level of ions striking the substrate. As such, the bias may be tuned to provide an appropriate level of ion energy for a particular application. Other factors which affect the ion energy include the power supplied to the plasma sources in the upper sub-chamber, the electric field gradient across the plate assembly (which may be controlled by the bias applied to the lower plate of the assembly), and the pressure gradient between the upper and lower sub-chambers.
  • Bias Applied to Plate Assembly
  • In some embodiments, a bias may be applied to one or more plates of the plate assembly. In one example, a negative bias is applied to the lower plate of the plate assembly. In this way, ions produced in the upper sub-chamber and passing through the plate assembly may be accelerated towards the substrate at a particular ion energy. The bias on the plate may be tuned to provide a desired ion energy.
  • Promoting Radially Uniform Results
  • When etching a substrate, certain non-uniformities may arise. In particular, radial non-uniformity is a common issue when etching substrates. In some instances, for example, etching may occur to a greater extent near a center area and edge area of a substrate while occurring to a lesser extent in a ring-shaped region between these two areas. These radial non-uniformities are heightened when larger substrates (e.g., 300 mm, and especially 450 mm substrates and larger) are being processed. It is desirable to reduce or eliminate these non-uniformities, where possible.
  • In some cases, the open area of the plate assembly is designed to provide different levels of ion flux to different parts of the substrate. For example, where the open area is concentrated toward the center of the plate assembly, the ions may act on the center region of the wafer to a greater extent than on the edges of the wafer. Likewise, where the plate assembly open area is concentrated near the edge of the plate assembly, the ions may act on the edge regions of the wafer to a greater degree than the center of the wafer. These techniques may be especially useful in combating center-to-edge non-uniformities. However, they may not afford sufficient flexibility in radial profiles of etching conditions at the substrate surface.
  • Another technique for addressing the radial non-uniformity issue is by implementing one or more of the plates of the plate assembly as a series of substantially concentric rings, instead of a single continuous plate. Where this is the case, the plate rings may be referred to as injection control rings, segments, or plate sections. Example collections of injection control rings/plate sections that may be used in place of an upper plate in the plate assembly are shown in FIGS. 4A-4C. With reference to FIG. 4A, the series of rings 400A includes an outer ring 402 and a middle ring 404 surrounding an inner plate 406. For the sake of simplicity, inner plate 406 will be referred to as a ring despite the fact that it may not have a hole in the center. Each ring includes a plurality of apertures 408 through which ions and radicals may travel (under appropriate conditions). The series of rings 400B shown in FIG. 4B includes four injection control rings ( elements 412, 414, 416, and 417 from the outside inwards), rather than the three rings shown in FIG. 4A. In this embodiment, some injection control rings 412 and 414 include two concentric rows of apertures 408, while other injection control rings 416 and 417 include three concentric rows of apertures. Many varieties of aperture placement may be used. For example, in the set of injection control rings 400C shown in FIG. 4C, each injection control ring includes a single row of apertures. As used in this section, the “rows” of apertures are circularly shaped (i.e., the rows do not refer to linear rows). In the embodiment shown in FIG. 4C, each row of apertures may be rotated independently of the other rows, since each row is situated on its own dedicated injection control ring. While FIG. 4C shows a set of rings 400C having 11 different plate sections, for the sake of clarity the individual plate sections/injection control rings are not labeled.
  • In some embodiments, the density of apertures may vary between different injection control rings (e.g., a difference of at least 20%, or at least 50%), while in other embodiments the density of apertures is uniform or substantially uniform (e.g., within about 20%) between different injection control rings.
  • By implementing one of the plates as concentric rings, it is possible to easily radially tune the ratio of ion flux:radical flux. Each ring may be rotated independently to provide a desired amount of open area through which ions and radicals may travel. For example, an outer ring may be aligned such that ions can pass through the plate assembly to a maximum extent, while an inner ring may be aligned such that very few (or in some cases, even zero) ions can pass from the upper to lower sub-chamber.
  • Further, in some embodiments, each ring can independently move toward/away from the other plate of the plate assembly in order to tune the flux of radicals through each ring. Where this is the case, barriers should be used to connect adjacent edges of the injection control rings. In the context of FIG. 4 where the rings are configured to translate independently, a barrier should be included between the edge of inner plate 406 and the inner edge of middle ring 404. Similarly, a barrier should be included between the outer edge of middle ring 404 and the inner edge of outer ring 402. These barriers may be static, or they may move with the injection control rings. The purpose of the barriers is to prevent the plasma in the upper sub-chamber from leaking into the lower sub-chamber. The minimum height of the barriers is dictated by the difference in vertical position between adjacent injection control rings.
  • Where injection control rings are used, each control ring may be biased independently to provide controlled ion energy and flux through different control rings. In one embodiment, the upper plate of the plate assembly is implemented as a single continuous plate, and the bottom plate of the assembly is implemented as a series of three injection control rings. A first bias is applied to the outer injection control ring, a second bias is applied to the middle control ring, and a third bias is applied to the inner injection control ring/plate. The first, second and third bias may be set to different levels to control ion energy and flux as desired, particularly to promote radially uniform etch results. In some embodiments, defined segments or sectors of the bottom plate have independently controllable bias. In this way, ion energy and flux can be controlled radially and/or azimuthally with a desired level of granularity. In some cases, the biasing mechanism is a grid of electrodes. In some cases, an electrode may be provided for each hole in the bottom plate. The ratio of electrodes to bottom plate holes may be 1:1, 1:2, 1:3, 1:4, 1:5, etc.
  • Because each ring can move independently of the others, it is easy to achieve different plating conditions over different parts of the substrate. This control may result in more uniform etching results over the entire face of the substrate. This type of control is especially beneficial as the industry moves towards larger substrates (e.g., 450 mm diameter or greater), where radial control of etching conditions is more important. In some cases, the number of rings (including an inner center plate) is between about 2-10, or between about 3-5. Greater numbers of rings provide finer radial control over the etching conditions, but also entail greater engineering challenges. It has been observed that etch non-uniformity commonly assumes a “W” shape with the center and edge regions experiencing etch conditions that are more similar to one another than to the intermediate radial positions. In such settings, a plate assembly containing at least 3 rings may be effective for addressing the inherent non-uniformity in the radial etch profile. For example, an intermediate ring may be rotated to produce a relatively high ion:radical flux ratio in comparison to center and edge rings.
  • In some embodiments, the rings are the same width (for an annularly shaped ring this width is measured as the distance between the inner and outer radii, for a circularly shaped “ring” this width is the radius) or substantially the same width (e.g., within about 10%). In other embodiments, the rings may have different widths (e.g., the widths may vary by at least about 10%, at least about 30% or at least about 50%). Where the rings have different widths, the wider rings may be positioned at or toward the periphery of the series of rings, at or toward the center of the rings, or at an intermediate position. This flexibility permits the optimization of an etching process depending on a particular application and its related non-uniformities.
  • Either the upper or lower plate (or both) may be implemented as a series of injection control rings. In a particular embodiment, the upper plate is made of a series of injection control rings made of an insulating material. In another particular embodiment, the lower plate is made of a series of injection control rings made of a conductive material. Other configurations are possible, as well. Embodiments where the lower plate is stationary and the upper plate is made of a series of movable injection control rings may be preferable in terms of controlling temperatures, material behavior, particle formation, RF return issues, etc. However, either configuration may be used.
  • Where injection control rings are used, mechanisms (e.g., micro actuators built into the other plate of the plate assembly) should be included for independently moving (e.g., rotating and translating) each ring. The rotation causing mechanism and the translation causing mechanism may be implemented independently, or may be implemented as part of a single movement causing mechanism. In one embodiment, movement causing mechanisms are included in the non-moving plate (e.g., the lower plate), which cause the moving plate (e.g., the upper plate) to rotate and/or translate. The movement causing mechanism may include structures that extend outwards towards the peripheral walls of the reactor, and may extend through the non-moving plate. Where the apparatus includes insulating walls dividing the upper sub- chamber into distinct plasma zones (discussed below in this section), the movement causing elements may extend through these insulating walls.
  • Only a small degree of movement is typically required. For example, a rotation of between about 1-10°, or between about 1-5°, may be sufficient. In various implementations, the amount of angular rotation is set to permit maximum ion:radical flux ratio and minimum ion:radical flux ratio, and many or all ratios in between. For relatively small apertures, the required amount of rotation may be quite small. Similarly, only a relatively small amount of translation is used in most implementations. For example, in some embodiments, the apparatus is capable of independently translating each ring at least about 0.5 mm, or at least about 1 mm. In some cases, the rings may translate between about 0-10 mm. In some implementations, etching will be conducted such that the distance between the plate and ring of an assembly in one radial section is at least about 0.5 mm greater than the distance between the plate and ring of the assembly in a second radial section (the radial sections being coextensive with the injection control rings).
  • A further technique for addressing the issue of radial non-uniformity is to implement the upper sub-chamber as a series of concentric plasma zones, rather than a single continuous upper plasma zone. An apparatus for implementing this technique is illustrated in FIG. 5. The upper plasma zones may also be referred to more simply as plasma zones. Here, three plasma zones 132 a, 132 b and 132 c are employed. In other embodiments, the number of plasma zones may range between about 2-10, or between about 3-5. In theory, any number of plasma zones may be used. Larger numbers of zones may be used to more finely tune the plasma conditions, while smaller numbers of zones are simpler to implement. As suggested above, many typical non-uniformity patterns can be addressed by having three radially separated sections with independent control of ion:radical flux ratios in the lower sub-chamber. In the embodiment depicted in FIG. 5, the innermost plasma zone 132 a has a circular cross-section, as viewed from above. The other plasma zones 132 b-c have annular cross-sections, as viewed from above, and surround the innermost plasma zone 132 a. The plasma zones 132 a-c are separated by insulating walls 142. In some cases, the insulating walls are made from a dielectric material such as ceramic or quartz, though other insulating materials may also be used. The purpose of the insulating walls 142 is to isolate each of the plasma zones 132 a-c from one another.
  • Each plasma zone has a separate gas feed inlet. For instance, plasma zone 132 a is fed by gas feed 106 a, while plasma zone 132 b is fed by gas feed 106 b, etc. The gas feeds are fed to a showerhead plate 141, which is capable of maintaining separation between the gas feeds and delivering the correct feed to each plasma zone. Further, an independent power source is provided for each plasma zone. In the embodiment of FIG. 5, a multizone RF power supply 140 is used to independently provide power to the coils 108 proximate each plasma zone 132 a-c. By providing each plasma zone 132 a-c with a separate gas feed 106 a-c and a mechanism for providing power to each zone independently, different types of plasma may be generated in each plasma zone 132 a-c. The different plasmas can help combat center-to-edge non-uniformities that may otherwise arise during etching.
  • In some embodiments, different compositions of gas are delivered to the different plasma zones 132 a-c. For instance, a gas may be delivered to some of the plasma zones while not being delivered to the other plasma zones. In one example, gas A may be delivered to plasma zones 132 a and 132 c, and not to plasma zone 132 b. Similarly, in one embodiment a different tuning gas is delivered to each of the plasma zones 132 a-c. Another way to achieve different compositions of gas in the different plasma zones is to deliver different relative concentrations of component gases to each plasma zone. In one example, plasma zones 132 a-b receive a gas feed that is about 50% gas A and 50% gas B, while plasma zone 132 c receives a gas feed that is about 75% gas A and about 25% gas B. As used in this section, gases A and B can represent any of the appropriate gases mentioned in the Etching Mechanism section.
  • Other factors which may be variable or constant between the plasma zones 132 a-c include the total flow rate delivered to each plasma zone, the pressure in each plasma zone, the temperature in each plasma zone, the plasma density in each plasma zone, the power delivered to the plasma source for each zone, the frequency used to generate the plasma in each zone, etc.
  • In a particular embodiment, separated plasma zones are implemented together with a series of injection control rings. The number of rings and the number of plasma zones are typically equal, though this is not necessarily always the case. The control rings may be designed such that they are the same width as (or are slightly smaller than) the plasma zones, such that a particular injection control ring effectively serves as the bottom surface of a corresponding plasma zone.
  • Example Modes of Operation
  • The methods and apparatus disclosed herein allow for a wide variety of etching conditions to be achieved, both between processing different substrates or different steps of a multi-step etching processes, and within processing a single substrate in a single process. As such, the disclosed techniques may be used to implement many different kinds of etching operations. A few types or modes of operation will be mentioned for the sake of clarity and understanding. However, for the sake of brevity, certain types of processes that are enabled by the present disclosure will not be individually discussed. Further, the modes are described in an exemplary fashion, and details related to the modes may be altered according to a desired application. Certain variables (typically those that are not critical to operating the apparatus in a particular mode of operation) may be excluded from the discussion in the following sections.
  • Ion Bombardment Only
  • In this mode of operation, an inert gas is delivered to the upper sub-chamber and no etchant is used. Plasma is generated exclusively in the upper sub-chamber and there is substantially no plasma present in the lower sub-chamber. The energy of ions passing through the plate assembly into the lower sub-chamber may be tuned by controlling a bias applied to the lower plate of the assembly. In various cases, the electrostatic chuck is not biased when operating in this mode. The flux of ions to the substrate can be controlled by any of the mechanisms described herein (e.g., degree of alignment of apertures in plate assembly, injection control rings, distinct plasma zones, power supplied to generate plasma, etc.).
  • Ion Bombardment in the Presence of Etchant
  • In this mode, a plasma generating gas is delivered to the upper sub-chamber and an etchant is delivered to either sub-chamber or both. The etchant may be fragmented or unfragmented. Where the etchant is desired to be unfragmented, it should be delivered directly to the lower sub-chamber, and there should be substantially no plasma present in this region. The degree of fragmentation can be controlled by various mechanisms, most notably the presence of plasma in the lower sub-chamber. Fragmentation can be tuned by controlling parameters such as the electron temperature of the plasma and pressure in the lower sub-chamber.
  • In one example, an etchant may be fully dissociated or fragmented to produce fluorine species and similar atomic or near atomic species. Examples of such an etchant include CxFy and CxHy gases. Alternatively, the etchant may be partially fragmented to multi-atom etching components. In some cases the etchant species may be radicalized. The relative flux of ionic and neutral species (e.g., radicalized fragmented etchant species) passing through the plate assembly into the lower sub-chamber may be controlled by any of the mechanisms described herein (e.g., degree of alignment of apertures in plate assembly, injection control rings, distinct plasma zones, distance between upper and lower plate of the plate assembly, power supplied to generate plasma, flow of ion-generating and radical-generating gases into upper sub-chamber, etc.).
  • Etchant Only
  • In this mode of operation, plasma is generated exclusively in the upper sub-chamber and the lower sub-chamber is substantially free of plasma. The plate assembly open area is set to zero (i.e., the apertures in the upper and lower plates are completely or substantially completely misaligned). In this way, neutral species (e.g., radicalized etchant species) may pass through the plate assembly from the upper to lower sub-chamber, while ions are completely or substantially completely prevented from entering the lower sub-chamber. The flux of neutral species may be controlled by, for example, changing the distance between the upper and lower plates of the plate assembly.
  • Deposition/Passivation
  • In this mode of operation, an additional process gas is delivered to the lower sub-chamber in order to form a protective layer on parts of the substrate. In one example, SiCl4 is delivered to help protect a mask layer. Other gases that may be used as passivating gases include, but are not limited to, CxFy, CxHy, COS, H2, HBr, etc. In another example, the additional process gas acts to protect the sidewalls of a trench or another feature. This additional process gas may be delivered separately (i.e., while etching is not occurring, for example immediately prior to an etching operation), or it may occur during an etching process.
  • Plasma in the Lower Sub-Chamber
  • Plasma may be present in the lower sub-chamber in various modes of operating the etch reactor. In one mode of operation, the upper sub-chamber is not used. The upper and lower plates of the plate assembly are positioned such that they are in contact with one another (i.e., the distance between them is decreased to zero), and the apertures are completely misaligned. Plasma generating gas (which can contain one or more of the gases mentioned in the Etching Mechanism section above) is delivered directly to the lower sub-chamber, and a plasma is generated in this region. In this mode of operation, the etching apparatus basically simplifies into a conventional single chamber etch reactor.
  • Where plasma is present in the lower sub-chamber, the plasma is typically generated by applying a high frequency bias to the electrostatic chuck/substrate support. Alternatively, the plates may be designed to permit plasma to leak from the upper sub-chamber to the lower sub-chamber in certain implementations. In various embodiments where a plasma is present in the lower sub-chamber, an etchant species is present, though this is not necessarily always the case.
  • Apparatus
  • The methods described herein may be performed by any suitable plasma etching apparatus having the described modifications (e.g., a plate assembly, injection control rings and/or separate plasma zones, etc.). A suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention. For example, in some embodiments, the hardware may include one or more process stations included in a process tool.
  • System Controller
  • In some embodiments, a system controller (which may include one or more physical or logical controllers) controls some or all of the operations of a process tool. The system controller will typically include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.
  • The system control software may include instructions for controlling the timing, mixture of process gas components (e.g., the composition of the etchant gas, the composition of the gas used to generate the plasma, any other process gases, etc.), chamber pressure, chamber temperature, wafer temperature, current and potential applied to the chuck/wafer and any other electrodes, the bias applied to each of the grids of the grid assembly, the bias applied to the electrostatic chuck, wafer position, plate position, and other parameters of a particular process performed by the process tool. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language.
  • In some embodiments, system control software includes input/output control (IOC) sequence instructions for controlling the various parameters described above. For example, each phase of an etching process may include one or more instructions for execution by the system controller. The instructions for setting process conditions for a plasma generation process phase may be included in a corresponding plasma generation recipe phase. In some embodiments, the etching recipe phases may be sequentially arranged, so that all instructions for an etching process phase are executed concurrently with that process phase.
  • Other computer software and/or programs may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, an upper sub-chamber gas delivery composition control program, a lower sub-chamber gas delivery composition control program, a gas inlet timing control program, a plate assembly bias control program, a plate assembly position control program, an electrostatic chuck bias control program, a pressure control program, a heater control program, and a potential/current power supply control program. Any of the software/programs mentioned herein may contain instructions for modifying the relevant parameters during etching. In one example, a plate assembly bias control program may contain instructions to modify the bias to one or more plates of the plate assembly during etching. As a consequence, the ion energy of the ions traveling into the lower sub-chamber may be modified during the etch process.
  • In some cases, the controllers control one or more of the following functions: delivery of etchant or other processing gas to the lower sub-chamber, delivery of plasma generation gas to the upper sub-chamber, plasma generation conditions in the upper and/or lower sub-chamber, the bias applied to each plate of the plate assembly, rotation/translation of the plates in the plate assembly, etc. For example, the delivery of gas to the sub-chambers may be achieved by directing certain valves to open and close at particular times. This allows the controller to control both the timing of gas delivery, as well as the composition of the delivered gases. The controller may control plasma generation conditions by, for example, directing a power supply to provide power to a plasma generator (e.g., the coils of an ICP reactor) at particular frequencies/power levels. Further, the controller may control the plasma generation conditions by directing a flow of inert gas (and/or in some embodiments reactive gas) to enter the upper sub-chamber, or by controlling the pressure in the sub-chambers, or by controlling the temperature in the sub-chambers, etc. The controller may control the rotation/translation of the plates in the plate assembly by directing a rotational actuator and/or translational actuator to move the plates as desired. In some cases, the controller is designed or configured to rotate or translate a concentric plate section to control center to edge etch conditions on the substrate. Similarly, the controller may be designed or configured to move at least one concentric plate section relative to the first plate to orient the apertures of the first and second plates to control an ion to radical flux ratio. In one embodiment, the controller is designed or configured to independently control plasma generation in the concentric plasma zones and thereby control center to edge etch conditions on the substrate. The controllers may control these aspects based on sensor output (e.g., when current, current density, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process) or based on received instructions from a user.
  • The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims (21)

What is claimed is:
1. A plate assembly for a reaction chamber comprising a plasma source, the plate assembly comprising:
a first plate; and
a second plate comprising at least two substantially concentric plate sections that are independently rotatable with respect to the first plate, wherein the first plate and second plate have apertures extending through the thickness of each plate, and wherein the first plate and second plate are substantially parallel and vertically aligned with one another such that either (i) the first plate is above the second plate, or (ii) the first plate is below the second plate.
2. The plate assembly of claim 1, wherein the second plate comprises at least three substantially concentric plate sections.
3. The plate assembly of claim 1, wherein at least some of the apertures in at least one of the plates of the plate assembly have an aspect ratio between about 0.2-0.4.
4. The plate assembly of claim 1, wherein at least one of the plates of the plate assembly has an open area between about 40-60%.
5. The plate assembly of claim 1, wherein the plate sections of the second plate comprise an insulating material and the first plate comprises a conductive material.
6. The plate assembly of claim 1, coupled to a controller configured to rotate one or more of the plate sections with respect to the first plate while a substrate is being processed in the reaction chamber.
7. The plate assembly of claim 1, wherein a distance between the first plate and the second plate is adjustable.
8. The plate assembly of claim 7, coupled to a controller configured to change the distance between the first plate and the second plate while a substrate is being processed in the reaction chamber.
9. The plate assembly of claim 1, wherein at least one of the first plate and the second plate is configured to act as a showerhead for delivering gases to the reaction chamber.
10. The plate assembly of claim 1, wherein a distance between the first plate and the second plate is between about 1-6 mm.
11. The plate assembly of claim 1, wherein the first plate is electrically conductive and the plate sections of the second plate are electrically insulating.
12. The plate assembly of claim 1, wherein the first plate is electrically insulating and the plate sections of the second plate are electrically conductive.
13. The plate assembly of claim 1, further comprising micro-actuators configured to move the plate sections of the second plate relative to the first plate.
14. The plate assembly of claim 13, wherein the micro-actuators are provided on the first plate.
15. The plate assembly of claim 1, wherein the plate sections of the second plate are configured to independently translate toward and away from the first plate.
16. A controller for controlling an etching apparatus, the controller having logic to direct execution of a method of etching a substrate, the logic comprising instructions to:
(a) position the substrate in a reaction chamber of the etching apparatus comprising:
(i) an upper sub-chamber and a lower sub-chamber, wherein the upper sub-chamber comprises at least two substantially concentric plasma zones, wherein each plasma zone is isolated from other plasma zones by one or more insulating walls,
(ii) a plate assembly positioned between the upper sub-chamber and lower sub-chamber and comprising a first plate and a second plate, wherein each plate has apertures extending through the thickness of the plate, and wherein the second plate is rotatable with respect to the first plate,
(iii) one or more gas inlets to the upper sub-chamber,
(iv) one or more gas outlets to the lower sub-chamber configured to remove gas from the lower sub-chamber, and
(v) a plasma generation source configured to produce a plasma in the upper sub-chamber,
(b) flow plasma generating gas into and generate a plasma in each plasma zone,
(c) flow neutral species present in the plasmas from the plasma zones, through the plate assembly, and into the lower sub-chamber, and
(d) etch the substrate.
17. The controller of claim 16, wherein the instructions for (b) comprise instructions to flow plasma generating gas of a first composition into a first plasma zone and flow plasma generating gas of a second composition into a second plasma zone.
18. The controller of claim 16, wherein the instructions for (b) comprise instructions to generate a first plasma in a first plasma zone and a second plasma in a second plasma zone, wherein the first plasma and second plasma have different densities.
19. The controller of claim 16, wherein the logic further comprises instructions to control an ion to neutral flux ratio through the plate assembly by changing a distance between the first plate and the second plate.
20. The controller of claim 16, wherein a first ion to neutral flux ratio from a first plasma zone, through the plate assembly and into the lower sub-chamber is different from a second ion to neutral flux ratio from a second plasma zone, through the plate assembly and into the lower sub-chamber.
21. The controller of claim 16, wherein the second plate comprises at least two substantially concentric plate sections that are independently rotatable with respect to the first plate.
US15/702,541 2010-08-04 2017-09-12 Ion to neutral control for wafer processing with dual plasma source reactor Abandoned US20180005852A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/702,541 US20180005852A1 (en) 2010-08-04 2017-09-12 Ion to neutral control for wafer processing with dual plasma source reactor

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/850,552 US8869742B2 (en) 2010-08-04 2010-08-04 Plasma processing chamber with dual axial gas injection and exhaust
US14/033,241 US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor
US15/702,541 US20180005852A1 (en) 2010-08-04 2017-09-12 Ion to neutral control for wafer processing with dual plasma source reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/033,241 Continuation US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor

Publications (1)

Publication Number Publication Date
US20180005852A1 true US20180005852A1 (en) 2018-01-04

Family

ID=52690006

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/033,241 Active 2032-12-21 US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor
US15/702,541 Abandoned US20180005852A1 (en) 2010-08-04 2017-09-12 Ion to neutral control for wafer processing with dual plasma source reactor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/033,241 Active 2032-12-21 US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor

Country Status (6)

Country Link
US (2) US9793126B2 (en)
JP (1) JP6641077B2 (en)
KR (1) KR20150032811A (en)
CN (1) CN104465457B (en)
SG (1) SG10201405549VA (en)
TW (1) TWI647731B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10811381B2 (en) 2018-08-03 2020-10-20 Samsung Electronics Co., Ltd. Wafer to wafer bonding method and wafer to wafer bonding system
CN112234015A (en) * 2020-10-12 2021-01-15 北京巨瓷科技有限公司 Electrostatic chuck electrode pattern structure with concentric circle structure
CN112593208A (en) * 2020-11-25 2021-04-02 北京北方华创微电子装备有限公司 Semiconductor processing equipment

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
WO2016160778A1 (en) * 2015-03-30 2016-10-06 Tokyo Electron Limited Method for atomic layer etching
KR102085044B1 (en) * 2015-05-22 2020-03-05 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing device and plasma processing method using same
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
JP7166921B2 (en) * 2016-01-15 2022-11-08 マトソン テクノロジー インコーポレイテッド PLASMA PROCESSING APPARATUS, SEPARATION GRID FOR PLASMA PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD
JP6625891B2 (en) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP6770887B2 (en) * 2016-12-28 2020-10-21 株式会社Screenホールディングス Board processing equipment and board processing system
JP2018148143A (en) * 2017-03-08 2018-09-20 株式会社東芝 Shower plate, processor, and discharge method
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
KR102453450B1 (en) * 2017-10-23 2022-10-13 삼성전자주식회사 apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP6902991B2 (en) 2017-12-19 2021-07-14 株式会社日立ハイテク Plasma processing equipment
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11404245B2 (en) * 2018-02-28 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. DC bias in plasma process
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10418550B2 (en) * 2018-05-29 2019-09-17 Nanjing University High temperature resistant memristor based on two-dimensional covalent crystal and preparation method thereof
US10868237B2 (en) 2018-08-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition
US10516102B1 (en) 2018-10-16 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple spacer assisted physical etching of sub 60nm MRAM devices
TW202044320A (en) * 2019-01-23 2020-12-01 美商蘭姆研究公司 Substrate processing system including dual ion filter for downstream plasma
CN110042369A (en) * 2019-03-26 2019-07-23 云谷(固安)科技有限公司 The chamber structure of plasma enhanced chemical vapor deposition and equipment with it
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
CN110797245B (en) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 Semiconductor processing equipment
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
KR20220082068A (en) * 2019-11-08 2022-06-16 도쿄엘렉트론가부시키가이샤 Etching method
KR102362893B1 (en) * 2019-11-27 2022-02-11 세메스 주식회사 Substrate processing apparatus and substrate processing method
JP6825069B2 (en) * 2019-11-28 2021-02-03 株式会社日立ハイテク Vacuum processing equipment
KR102560323B1 (en) 2020-04-03 2023-07-28 주식회사 히타치하이테크 Plasma processing device and plasma processing method
KR102592414B1 (en) * 2020-11-23 2023-10-20 세메스 주식회사 An unit for controlling an electrode and an apparatus for treating a substrate with the unit
KR102577288B1 (en) * 2020-11-23 2023-09-08 세메스 주식회사 Apparatus for treating substrate and method thereof
KR102591654B1 (en) * 2021-10-20 2023-10-19 ( 주)아이씨디 Capacitively Coupled Plasma Substrate Processing Apparatus
KR20230063414A (en) 2021-11-02 2023-05-09 세메스 주식회사 Apparatus for treating substrate and method for processing a substrate
CN115547915B (en) * 2022-11-28 2023-02-14 四川上特科技有限公司 Wafer exposure clamp and exposure device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US20080035608A1 (en) * 2006-08-14 2008-02-14 Thomas Owain P Surface processing apparatus
US20080099426A1 (en) * 2006-10-30 2008-05-01 Ajay Kumar Method and apparatus for photomask plasma etching
US20100206846A1 (en) * 2009-02-17 2010-08-19 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20120031559A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Dual Plasma Volume Processing Apparatus for Neutral/Ion Flux Control
US20120322011A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US20140302678A1 (en) * 2013-04-05 2014-10-09 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (en) 1985-09-10 1994-11-14 松下電器産業株式会社 Method of making substrate of flat information recording medium
JPH0738384B2 (en) * 1986-03-18 1995-04-26 富士通株式会社 Plasma assing device
JP2643457B2 (en) 1989-06-28 1997-08-20 三菱電機株式会社 Plasma processing apparatus and method
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (en) 1990-09-28 1992-05-12 Hitachi Ltd Ion beam etching method and ion beam etching device
JP3149454B2 (en) 1991-05-17 2001-03-26 日本電気株式会社 Upper electrode of single wafer plasma etching system
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (en) 1992-09-08 1994-03-29 Tokyo Electron Ltd Etching equipment
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (en) 1994-02-22 1997-04-30 木下 治久 Plasma process equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3360461B2 (en) 1995-01-31 2002-12-24 ソニー株式会社 Pretreatment method for metal film formation process
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
JP3190830B2 (en) 1996-07-22 2001-07-23 日本電気株式会社 Method for manufacturing semiconductor device
US20040071876A1 (en) 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP4043089B2 (en) * 1997-02-24 2008-02-06 株式会社エフオーアイ Plasma processing equipment
JPH10242116A (en) 1997-02-25 1998-09-11 Nkk Corp Parallel flat plate type rie apparatus
JP3317209B2 (en) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 Plasma processing apparatus and plasma processing method
JP3364675B2 (en) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 Plasma processing equipment
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (en) 1998-02-02 1999-08-10 Matsushita Electron Corp Plasma etching method
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (en) 1998-09-22 2000-04-07 Canon Inc Plasma treating unit and treatment method using the same
JP2000208483A (en) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp Method and system for processing wafer
JP2001274143A (en) 2000-03-28 2001-10-05 Tdk Corp Dry etching method, micromachining method and mask for dry etching
DE10024883A1 (en) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasma etching system
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2002289585A (en) 2001-03-26 2002-10-04 Ebara Corp Neutral particle beam treatment device
TW200626020A (en) 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
CN100360117C (en) 2002-06-21 2008-01-09 转化医药公司 Pharmaceutical compositions with improved dissolution
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (en) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd Plasma processing apparatus
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (en) * 2003-05-21 2004-12-09 Nec Kansai Ltd Gas dispersing plate of dry etching apparatus
JP4111274B2 (en) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 Magnetic material dry etching method
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
JP2005116865A (en) * 2003-10-09 2005-04-28 Canon Inc System and method for ion milling
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (en) 2004-11-12 2007-01-02 삼성전자주식회사 Plasma processing apparatus
JP4773079B2 (en) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ Control method of plasma processing apparatus
JP2006236772A (en) * 2005-02-24 2006-09-07 Ebara Corp Neutral particle beam source and neutral particle beam processing apparatus
RU2414766C2 (en) 2005-09-09 2011-03-20 Улвак, Инк. Ion source and apparatus for plasma treatment
KR100653073B1 (en) 2005-09-28 2006-12-01 삼성전자주식회사 Apparatus for treating substrate and method of treating substrate
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
WO2009115135A1 (en) 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
EP2333821A4 (en) 2008-09-01 2014-07-30 Japan Science & Tech Agency Plasma etching method, plasma etching device and photonic crystal manufacturing method
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (en) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 Ion-beam generating device, substrate processing device, and manufacturing method of electronic device
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP3188215A3 (en) 2010-02-09 2017-09-13 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP5388915B2 (en) 2010-03-16 2014-01-15 株式会社東芝 Channel opening / closing device and paper sheet processing device
KR101742815B1 (en) 2010-07-23 2017-06-01 삼성전자 주식회사 Coating composition for DUV filtering, method of forming a photoresist pattern using the same and method of fabricating a semiconductor device
JP5735232B2 (en) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー Plasma processing equipment
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
TWI525698B (en) 2011-10-31 2016-03-11 Canon Anelva Corp Magnetic film ion beam etching method and ion beam etching device
KR20140092892A (en) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 Precursor distribution features for improved deposition uniformity
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (en) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching equipment
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US20080035608A1 (en) * 2006-08-14 2008-02-14 Thomas Owain P Surface processing apparatus
US20080099426A1 (en) * 2006-10-30 2008-05-01 Ajay Kumar Method and apparatus for photomask plasma etching
US20100206846A1 (en) * 2009-02-17 2010-08-19 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20120031559A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Dual Plasma Volume Processing Apparatus for Neutral/Ion Flux Control
US20120322011A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US20140302678A1 (en) * 2013-04-05 2014-10-09 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10811381B2 (en) 2018-08-03 2020-10-20 Samsung Electronics Co., Ltd. Wafer to wafer bonding method and wafer to wafer bonding system
CN112234015A (en) * 2020-10-12 2021-01-15 北京巨瓷科技有限公司 Electrostatic chuck electrode pattern structure with concentric circle structure
CN112593208A (en) * 2020-11-25 2021-04-02 北京北方华创微电子装备有限公司 Semiconductor processing equipment

Also Published As

Publication number Publication date
JP2015065434A (en) 2015-04-09
JP6641077B2 (en) 2020-02-05
US20150083582A1 (en) 2015-03-26
SG10201405549VA (en) 2015-04-29
CN104465457A (en) 2015-03-25
TWI647731B (en) 2019-01-11
TW201528310A (en) 2015-07-16
US9793126B2 (en) 2017-10-17
US20170213747A9 (en) 2017-07-27
KR20150032811A (en) 2015-03-30
CN104465457B (en) 2018-09-14

Similar Documents

Publication Publication Date Title
US20180005852A1 (en) Ion to neutral control for wafer processing with dual plasma source reactor
US10224221B2 (en) Internal plasma grid for semiconductor fabrication
US9633846B2 (en) Internal plasma grid applications for semiconductor fabrication
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
US9257295B2 (en) Ion beam etching system
Pu Plasma Etch Equipment

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION