KR20190102301A - 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법 - Google Patents

플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법 Download PDF

Info

Publication number
KR20190102301A
KR20190102301A KR1020197024746A KR20197024746A KR20190102301A KR 20190102301 A KR20190102301 A KR 20190102301A KR 1020197024746 A KR1020197024746 A KR 1020197024746A KR 20197024746 A KR20197024746 A KR 20197024746A KR 20190102301 A KR20190102301 A KR 20190102301A
Authority
KR
South Korea
Prior art keywords
sample
plasma
porous plate
plasma processing
ions
Prior art date
Application number
KR1020197024746A
Other languages
English (en)
Other versions
KR102085044B1 (ko
Inventor
나오유키 고후지
마사히토 모리
도시아키 니시다
료지 하마사키
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Priority to KR1020207005933A priority Critical patent/KR102465801B1/ko
Publication of KR20190102301A publication Critical patent/KR20190102301A/ko
Application granted granted Critical
Publication of KR102085044B1 publication Critical patent/KR102085044B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

한대의 장치로 라디칼 조사의 스텝과 이온 조사의 스텝 양쪽을 실현할 수 있으며, 또한, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있는 플라스마 처리 장치를 제공한다.
유도 결합 플라스마를 생성하는 기구(125, 126, 131, 132)와, 감압 처리실을 상부 영역(106-1) 및 하부 영역(106-2)으로 나누며 또한 이온을 차폐하기 위한 다공판(116)과, 플라스마 생성 영역으로서 상부 영역(106-1)과 하부 영역(106-2)을 전환하는 스위치(133)를 갖는다.

Description

플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법{PLASMA PROCESSING DEVICE AND PLASMA PROCESSING METHOD USING SAME}
본 발명은 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법에 관한 것이다.
드라이 에칭 장치에 있어서, 이온과 라디칼 양쪽을 조사(照射)하는 기능과 이온을 차폐(遮蔽)하여 라디칼만을 조사하기 위한 기능 양쪽을 갖는 드라이 에칭 장치는, 예를 들면 특허문헌 1(일본국 특개2015-50362호 공보)에 개시되어 있다. 특허문헌 1에 개시된 장치(ICP+CCP)에서는, 헬리컬 코일에 고주파 전력을 공급함으로써 유도 결합 플라스마를 발생시킬 수 있다.
또한, 이 유도 결합 플라스마와 시료 사이에 접지된 금속제의 다공판을 삽입함으로써 이온을 차폐하고, 라디칼만을 조사할 수 있다. 또한, 이 장치에서는, 시료에 고주파 전력을 인가함으로써, 금속제의 다공판과 시료 사이에 용량 결합 플라스마를 생성할 수 있다. 헬리컬 코일에 공급하는 전력과 시료에 공급하는 전력의 비율을 조정함으로써 라디칼과 이온의 비율을 조정할 수 있다.
또한, 특허문헌 2(일본국 특개소62-14429호 공보)에 개시된 드라이 에칭 장치에서는, 솔레노이드 코일에 의해 발생된 자장과 2.45GHz의 마이크로파의 전자 사이클로트론 공명(ECR) 현상을 이용하여, 플라스마를 발생시킬 수 있다(ECR 플라스마). 또한, 시료에 고주파 전력을 인가함으로써, DC 바이어스 전압을 발생시키고, 이 DC 바이어스 전압에서 이온을 가속하여, 웨이퍼에 조사할 수 있다.
또한, 특허문헌 3(일본국 특개평4-180621호 공보)에 기재된 중성 빔 에칭 장치에서는, 특허문헌 2와 마찬가지로 ECR 플라스마를 발생시킬 수 있다. 또한, 플라스마 생성부와 시료 사이에 전압을 인가한 금속제의 다공판을 삽입함으로써, 이온을 차폐하여 전하를 띠고 있지 않은 라디칼 등의 중성 입자만을 시료에 조사할 수 있다.
또한, 특허문헌 4(일본국 특개평5-234947호 공보)의 마이크로파 플라스마를 이용한 드라이 에칭 장치에서는, 공급하는 마이크로파의 전력에 의해, 석영창 부근에 플라스마를 생성할 수 있다. 또한, 이 플라스마와 시료 사이에 다공판을 삽입함으로써, 이온을 차폐하여 라디칼을 공급할 수 있다.
일본국 특개2015-50362호 공보 일본국 특개소62-14429호 공보 일본국 특개평4-180621호 공보 일본국 특개평5-234947호 공보
최근, 반도체 디바이스 가공의 고정밀도화에 따라, 드라이 에칭 장치에는, 이온과 라디칼 양쪽을 조사하여 가공을 행하는 기능과, 라디칼만을 조사하여 가공을 행하는 기능 양쪽이 필요해지고 있다. 예를 들면, 에칭 깊이를 고정밀도로 제어하는 원자층 에칭에서는, 라디칼만을 시료에 조사하는 제 1 스텝과 이온을 시료에 조사하는 제 2 스텝을 교대로 반복하여 에칭 깊이를 제어하는 방법이 검토되고 있다. 이 가공에서는, 제 1 스텝에서 시료 표면에 라디칼을 흡착시킨 후, 스텝 2에서 희가스(rare gas)의 이온을 조사하여 시료 표면에 흡착한 라디칼을 활성화시킴으로써 에칭 반응을 생기게 하여, 에칭 깊이를 고정밀도로 제어하는 것이다.
이 처리를, 종래의 방법에 의해서 이 원자층 에칭을 실시할 경우에는, (1) 특허문헌 3이나 특허문헌 4 등에 기재된 라디칼만을 시료에 조사할 수 있는 장치와, (2) 특허문헌 2 등에 기재되어 있는 바와 같이 플라스마 중의 이온을 가속하여 시료에 조사할 수 있는 장치의 두 개의 장치 사이를 교대로 진공 반송에 의해서 이동시켜 처리하는 것이 필요해지는 것, 따라서, 이 방법에 의한 원자층 에칭에 의해서는 스루풋이 대폭 저하하는 것이 문제가 된다. 그 때문에, 한대의 드라이 에칭 장치로, 라디칼만을 시료에 조사하는 제 1 스텝과 이온을 시료에 조사하는 제 2 스텝 양쪽을 행하는 것이 바람직하다.
또한, 예를 들면 실리콘의 등방 가공에서는, 이온과 라디칼 양쪽을 조사하여, 실리콘 표면의 자연산화막을 제거하고 나서, 라디칼만을 조사하여 실리콘의 등방 에칭을 행할 필요가 있다. 이러한 가공에서는, 자연산화막의 제거에 요하는 시간이 수 초로 짧기 때문에, 자연산화막 제거와 실리콘의 등방 에칭을 별개의 장치로 처리하면 스루풋이 대폭 저하해 버린다. 그 때문에, 한대의 드라이 에칭 장치로, 이온과 라디칼 양쪽을 조사하는 자연산화막 제거와, 라디칼만에 의한 실리콘의 등방 에칭 양쪽을 행하는 것이 바람직하다.
또한, 예를 들면 소량 다품종 생산의 중규모의 팹(fab)에서는, 한대의 에칭 장치로 복수의 공정을 행하기 때문에, 이온과 라디칼 양쪽을 조사하는 이방성 에칭과 라디칼만을 조사하는 등방 에칭 양쪽의 기능을 갖는 것에 의해서 장치 코스트를 대폭 저감할 수 있다.
이상과 같이 반도체 디바이스 가공에서 이용되는 드라이 에칭 장치에는, 이온과 라디칼 양쪽을 조사하여 가공을 행하는 기능과, 라디칼만을 조사하여 가공을 행하는 기능 양쪽이 요구되게 되어 있다.
특허문헌 1의 장치는, 이 요구에 답할 수 있는 장치라고 생각되었다. 즉, 제 1 스텝의 라디칼 조사에서는, 헬리컬 코일에 고주파 전력을 공급해서 유도 결합 플라스마를 발생시키며, 한편, 시료에는 고주파 전압을 인가하지 않도록 한다. 이에 따라, 시료에는 유도 결합 플라스마로부터 라디칼만이 공급된다. 또한, 제 2 스텝의 이온 조사에서는, 시료에 고주파 전압을 인가하고, 금속제의 다공판과 시료 사이에 용량 결합 플라스마를 생성시켜, 시료에 이온을 조사한다. 그러나, 이 방법으로 용량 결합 플라스마를 생성하여 시료에 이온을 조사하기 위해서는, 수 KeV 오더의 큰 고주파 전압을 시료에 인가할 필요가 있다. 이 때문에, 수십 eV의 저에너지의 이온 조사를 필요로 하는 고선택 가공에는 적용할 수 없다는 문제가 있는 것이 밝혀졌다.
또한, 사용할 수 있는 압력 영역이 수 100Pa 정도로 높아, 저압력의 처리를 필요로 하는 미세가공에는 적합하지 않은 것이 밝혀졌다.
그래서, 본 발명의 목적은, 한대의 장치로 라디칼 조사의 스텝과 이온 조사의 스텝 양쪽을 실현할 수 있으며, 또한, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있는 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법을 제공하는 것에 있다.
상기 목적을 달성하기 위한 일 실시형태로서, 시료가 플라스마 처리되는 처리실과, 상기 처리실 내에 플라스마를 생성하는 플라스마 생성 기구와, 상기 시료가 재치되는 시료대를 구비하는 플라스마 처리 장치에 있어서, 상기 플라스마 중의 이온의 상기 시료대에의 입사를 차폐하며 상기 시료대의 상방에 배치된 차폐판과, 상기 차폐판의 상방에 플라스마를 생성하는 제 1 기간과 상기 차폐판의 하방에 플라스마를 생성하는 제 2 기간이 전환되면서 플라스마 처리되는 제어를 행하는 제어 장치를 더 구비하는 것을 특징으로 하는 플라스마 처리 장치로 한다.
또한, 시료가 플라스마 처리되는 처리실과, 상기 처리실 내에 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 상기 시료가 재치되는 시료대를 구비하는 플라스마 처리 장치에 있어서, 상기 플라스마로부터 생성된 이온의 상기 시료대에의 입사를 차폐하며 상기 시료대의 상방에 배치된 차폐판과, 상기 차폐판의 상방에 플라스마를 생성시키는 일방(一方)의 제어 또는 상기 차폐판의 하방에 플라스마를 생성시키는 타방(他方)의 제어가 선택적으로 행하여지는 제어 장치를 더 구비하는 것을 특징으로 하는 플라스마 처리 장치로 한다.
또한, 시료가 플라스마 처리되는 처리실과, 상기 처리실 내에 플라스마를 생성하는 플라스마 생성 기구와, 상기 시료가 재치되는 시료대와, 상기 플라스마 중의 이온의 상기 시료대에의 입사를 차폐하며 상기 시료대의 상방에 배치된 차폐판을 구비하는 플라스마 처리 장치를 이용하여 상기 시료를 플라스마 처리하는 플라스마 처리 방법에 있어서, 상기 차폐판의 하방에 생성된 플라스마를 이용하여 상기 시료를 플라스마 처리하는 제 1 공정과, 상기 제 1 공정 후, 상기 차폐판의 상방에 생성된 플라스마를 이용하여 상기 제 1 공정 후의 시료를 플라스마 처리하는 제 2 공정을 갖는 것을 특징으로 하는 플라스마 처리 방법으로 한다.
또한, 구멍 또는 홈의 측벽에 형성된 패턴에 매립된 막의 상기 패턴 이외의 부분을 플라스마 에칭에 의해 제거하는 플라스마 처리 방법에 있어서, 상기 구멍 또는 홈의 바닥면의 상기 막을 제거한 후, 상기 구멍 또는 홈의 깊이 방향에 수직한 방향의 상기 막을 제거하는 것을 특징으로 하는 플라스마 처리 방법으로 한다.
본 발명에 따르면, 한대의 장치로 라디칼 조사의 스텝과 이온 조사의 스텝 양쪽을 실현할 수 있으며, 또한, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있는 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법을 제공할 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 플라스마 처리 장치의 개략 전체구성 단면도.
도 2는 본 발명의 제 2 실시예에 따른 플라스마 처리 장치의 개략 전체구성 단면도.
도 3은 STI(Shallow Trench Isolation) 에치백 전의 시료의 단면 형상을 나타내는 도면.
도 4는 본 발명의 제 3 실시예에 따른 플라스마 처리 방법을, 도 1에 나타내는 플라스마 처리 장치를 이용하여 STI 에치백에 적용했을 경우의 시료의 단면 형상의 일례를 나타내는 도면.
도 5는 종래의 장치를 이용하여 STI 에치백을 행한 경우의 시료의 단면 형상의 일례를 나타내는 도면.
도 6은 종래의 다른 장치를 이용하여 STI 에치백을 행한 후의 시료의 단면 형상의 일례를 나타내는 도면.
도 7은 도 1에 나타내는 ECR 플라스마 처리 장치에 있어서의 자력선의 모양을 설명하기 위한 장치 단면도.
도 8은 도 1에 나타내는 ECR 플라스마 처리 장치에 있어서의 다공판의 구멍 배치의 예를 나타내는 평면도.
도 9는 도 1에 나타내는 ECR 플라스마 처리 장치에 있어서의 다공판의 구멍 배치의 다른 예를 나타내는 평면도.
도 10a는 도 17에 나타내는 ECR 플라스마 처리 장치에 있어서, 플루오로카본의 라디칼 기인 퇴적물 분포에의 차폐판의 유무의 효과를 설명하기 위한 도면이며, 시료 반경 위치에 대한 퇴적물의 데포지션 속도의 관계를 나타냄.
도 10b는 도 18에 나타내는 ECR 플라스마 처리 장치에 있어서, 플루오로카본의 라디칼 기인 퇴적물 분포를 설명하기 위한 도면이며, 시료 반경 위치에 대한 퇴적물의 데포지션 속도의 관계를 나타냄.
도 11은 3차원 구조의 NAND 플래시 메모리의 제조 공정의 일부를 나타내는 소자 단면도이며, (a)는 실리콘 질화막과 실리콘 산화막의 적층막이 가공된 상태, (b)는 실리콘 질화막이 제거되어 빗살 형상의 실리콘 산화막이 형성된 상태, (c)는 빗살 형상의 실리콘 산화막을 덮어서 텅스텐 막이 형성된 상태, (d)는 빗살 형상의 실리콘 막 사이에 텅스텐 막이 남도록 텅스텐 막이 제거된 상태를 나타냄.
도 12는 도 11의 (c)에 나타내는 구조에 있어서, 등방성 에칭에 의한 텅스텐 제거 공정 후의 가공 형상의 일례를 나타내는 단면도.
도 13은 도 11의 (c)에 나타내는 구조에 있어서, 홈 바닥부의 텅스텐의 제거 공정 후, 등방성 에칭에 의한 텅스텐 제거 공정을 행한 후의 가공 형상의 일례를 나타내는 단면도.
도 14는 도 12에 나타내는 구조에 있어서, 처리중인 홈 내의 라디칼 농도 분포를 설명하기 위한 도면이며, 홈 바닥면으로부터의 거리에 대한 F 라디칼 농도의 관계를 나타냄.
도 15는 도 11의 (c)에 나타내는 구조에 있어서, 처리중인 홈 내의 라디칼 농도 분포를 설명하기 위한 도면이며, 홈 바닥면으로부터의 거리에 대한 F 라디칼 농도의 관계를 나타냄.
도 16은 본 발명의 제 5 실시예에 따른 차폐판의 형상을 나타냄.
도 17은 본 발명의 제 5 실시예에 따른 플라스마 처리 장치의 개략 전체구성 단면도.
도 18은 본 발명의 제 6 실시예에 따른 플라스마 처리 장치의 개략 전체구성 단면도.
도 19는 본 발명의 제 6 실시예의 다공판의 확대도.
도 20은 본 발명의 제 7 실시예의 메탈 게이트 형성 프로세스 플로우.
이하, 본 발명을 실시예에 의해 설명한다.
실시예 1
본 발명의 제 1 실시예에 따른 플라스마 처리 장치의 개략 전체구성 단면도를 도 1에 나타낸다. 본 실시예의 장치에서는, 특허문헌 2와 마찬가지로, 마그네트론(113)으로부터 유전체창(117)을 통해 감압 처리실(106)(상부 영역(106-1), 하부 영역(106-2))에 공급되는 2.45GHz의 마이크로파와, 솔레노이드 코일(114)이 만드는 자장과의 ECR 공명에 의해, 플라스마를 생성할 수 있는 구조로 되어 있다. 또한, 시료대(120)에 재치한 시료(121)에 정합기(122)를 통해 고주파 전원(123)이 접속되어 있는 것도, 특허문헌 2와 동일하다.
또한, 본 플라스마 처리 장치에서는, 유전체제(誘電體製)의 다공판(116)이 감압 처리실(106) 내를, 감압 처리실 상부 영역(106-1)과 감압 처리실 하부 영역(106-2)으로 분할하고 있는 것이 특허문헌 2와 크게 다른 점이다. 이 특징 때문에, 차폐판인 다공판(116)의 유전체창 측의 감압 처리실 상부 영역(106-1)에서 플라스마를 생성할 수 있으면, 이온이 차폐되어서 라디칼만을 시료에 조사할 수 있다. 본 실시예에서 이용한 ECR 플라스마 처리 장치에서는, 특허문헌 4에 기재된 마이크로파 플라스마 처리 장치와는 달리, ECR 면이라고 불리는 자장 강도 875 Gauss의 면 부근에서 플라스마가 생성되는 특징이 있다.
이 때문에, ECR 면이 다공판(116)과 유전체창(117) 사이(감압 처리실 상부 영역(106-1))가 되도록 자장을 조정하면, 다공판(116)의 유전체창 측에서 플라스마를 생성할 수 있으며, 발생한 이온은 다공판(116)을 거의 통과할 수 없기 때문에, 라디칼만을 시료(121)에 조사할 수 있다. 또한, 본 실시예에서는, 특허문헌 3에 나타나 있는 장치와는 달리, 다공판(116)이 유전체로 되어 있다. 다공판(116)이 금속이 아니기 때문에, 마이크로파가 다공판(116)보다 시료 측까지 전파할 수 있다.
따라서, ECR 면이 다공판(116)과 시료(121) 사이(감압 처리실 하부 영역(106-2))가 되도록 자장을 조정하면, 다공판(116)보다 시료 측에서 플라스마가 생성되기 때문에, 이온과 라디칼 양쪽을 시료에 조사할 수 있다. 또한, 이 방식에서는 특허문헌 1의 용량 결합 플라스마와 달리, 고주파 전원(123)으로부터 시료대에 공급하는 전력을 조정하면, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있다. 또, 다공판의 높이 위치에 대한 ECR 면의 높이 위치의 조정 또는 전환(상방이거나 하방이거나), 각각의 높이 위치를 유지하는 기간 등은 제어 장치(도시하지 않음)를 이용하여 행할 수 있다. 부호 124는 펌프를 나타낸다.
또한, 이 방식으로 안정한 플라스마를 유지하기 위해서는, 플라스마가 생성되는 공간의 폭이 플라스마를 유지하기에 충분한 크기를 가질 필요가 있다. 다공판(116)과 유전체창(117) 사이 및 다공판(116)과 시료(121) 사이의 거리를 실험적으로 바꾸고, 플라스마의 생성을 조사한 결과, 이들의 간격을 40mm 이상으로 해 두면 안정한 플라스마를 형성할 수 있는 것을 알 수 있었다.
이상과 같이, 자장과 마이크로파의 ECR 공명으로 플라스마를 형성하는 드라이 에칭 장치 등의 플라스마 처리 장치에 있어서, 시료와 유전체창 사이에 유전체제의 다공판을 배치하고, ECR 면의 위치를 상하로 이동시킴으로써, 한대의 장치로 라디칼 조사와 이온 조사의 스텝을 실현할 수 있다. 또한, 고주파 전원의 시료대에의 공급 전력을 조정함으로써, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있다.
이에 따라, 넓은 에칭 영역과 좁은 에칭 영역이 혼재하는 것 같은 시료여도, 한대의 장치로, 마이크로로딩 효과를 억제하여 원하는 깊이까지 균일하게 에칭할 수 있다. 유전체제의 다공판의 재질로서는, 석영, 알루미나, 이트리아 등의 유전손실이 적은 재료가 바람직하다.
실시예 2
본 발명의 제 2 실시예에 따른 플라스마 처리 장치의 개략 전체구성 단면도를 도 2에 나타낸다. 본 실시예의 장치에서는, 특허문헌 1과 마찬가지로 헬리컬 코일(131)에 정합기(125)를 통해 고주파 전원(126)으로부터 고주파 전력을 공급함으로써 유도 결합 플라스마를 발생시킬 수 있다. 또한, 이 유도 결합 플라스마와 시료 사이에 접지된 금속제의 다공판(116)이 삽입되어 있는 점이나 시료대(120)에 재치한 시료(121)에 정합기(122)를 통해 고주파 전원(123)이 접속되어 있는 점도, 특허문헌 1과 동일하다. 또, 다공판(116)은 금속에 한정되는 것이 아니며, 도체이면 이용할 수 있다.
한편, 이 장치에서는, 특허문헌 1과 달리, 금속제의 다공판(116)보다 시료 측(감압 처리실 하부 영역(106-2))에서도 유도 결합 플라스마를 형성할 수 있게 하기 위해서, 금속제의 다공판(116)과 시료(121) 사이의 높이에, 별개의 헬리컬 코일(132)을 갖고 있다. 헬리컬 코일(131)과 헬리컬 코일(132) 중의 어느 것에 고주파 전력을 공급할지를 스위치(133)에 의해 전환할 수 있게 되어 있다. 헬리컬 코일(131)에 고주파 전력을 공급했을 경우에는, 다공판(116)의 천판(天板) 측(감압 처리실 상부 영역(106-1))에서 플라스마가 생성되기 때문에, 이온이 다공판(116)에 의해 차폐되어서 라디칼만이 시료(121)에 조사된다.
또한, 헬리컬 코일(132)에 고주파 전력을 공급했을 경우에는, 다공판(116)보다 시료 측(감압 처리실 하부 영역(106-2))에서 플라스마가 생성되기 때문에, 이온을 시료(121)에 조사할 수 있다. 또, 스위치(133)에 의한 헬리컬 코일의 전환(다공판보다 상방의 헬리컬 코일과 하방의 헬리컬 코일의 전환), 전환까지의 각각의 기간 등은 제어 장치(도시하지 않음)를 이용하여 행할 수 있다.
또한, 이 방식에서는 다공판(116)보다 시료 측에 유도 결합 플라스마를 생성할 수 있기 때문에, 고주파 전원(123)으로부터 공급하는 전력을 조정하면, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있다. 저에너지에서부터 고에너지까지 제어할 수 있는 것이 특허문헌 1과 달라져 있는 점이다.
또한, 이 방식에서도, 다공판(116)과 천판(134) 사이 및 다공판(116)과 시료(121) 사이의 거리를 데바이 길이(Debye length)보다 한 자릿수 이상 큰, 예를 들면 5mm 이상으로 해 두면 안정한 플라스마를 형성할 수 있다.
이상과 같이, 헬리컬 코일에 고주파 전력을 공급하여 유도 결합 플라스마 생성하는 방식의 드라이 에칭 장치에 있어서, 시료(121)와 천판(134) 사이에 금속제의 다공판(116)을 배치하고 있고, 또한, 금속제의 다공판(116)의 천판 측(감압 처리실 상부 영역(106-1)) 및 금속제의 다공판(116)의 시료 측(감압 처리실 하부 영역(106-2))에 별개의 헬리컬 코일(131, 132)을 갖고 있으며, 또한, 두 개의 헬리컬 코일에 고주파 전력의 공급을 전환하는 기구를 갖고 있으면, 한대의 장치로 라디칼 조사와 이온 조사의 스텝을 실현할 수 있다. 또한, 고주파 전원의 시료대에의 공급 전력을 조정함으로써, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있다.
이에 따라, 넓은 에칭 영역과 좁은 에칭 영역이 혼재하는 것 같은 시료여도, 한대의 장치로, 마이크로로딩 효과를 억제하여 원하는 깊이까지 균일하게 에칭할 수 있다. 금속제의 다공판(116)의 재질로서는, 알루미늄, 동, 스테인리스 등의 도전율이 높은 재료가 바람직하다. 또한, 금속제의 다공판을 알루미나 등의 유전체로 피복한 것이어도 된다.
실시예 3
본 발명의 제 3 실시예에 따른 플라스마 처리 방법에 대해서, 실시예 1에 기재된 플라스마 처리 장치를 이용하여, STI(Shallow Trench Isolation)의 에치백 공정을 예로 설명한다. 이 공정에서는, 예를 들면 도 3에 나타나 있는 바와 같이 깊이 200nm인 실리콘(Si)(200)의 홈에 실리콘 산화막(SiO2)(202)이 매립된 구조의 시료를 가공하고, SiO2(202)만을 20nm만큼 에칭한다. 이 가공을 행하기 위해서, 플루오로카본 가스의 라디칼 조사(제 1 스텝)와 희가스의 이온 조사(제 2 스텝)를 교대로 행하는 원자층 에칭을 행하였다.
제 1 스텝에서는, 가스 도입구(105)로부터 플루오로카본 가스를 공급하면서, ECR 면이 다공판(116)과 유전체창(117) 사이(감압 처리실 상부 영역(106-1))에 들어가는 자장 조건에서 플라스마를 생성하고, 발생한 이온을 다공판(116)으로 제거함으로써 플루오로카본 가스의 라디칼만을 시료에 흡착시킨다. 이 때, 시료에는 고주파 전원(123)으로부터의 고주파 전력을 인가하지 않는다.
다음으로, 제 2 스텝에서는, 가스 도입구(105)로부터 희가스를 공급하면서, ECR 면이 다공판(116)과 시료의 사이(감압 처리실 하부 영역(106-2))에 들어가는 자장 조건에서 플라스마를 생성한다. 또한, 시료에 30W의 고주파 전력을 인가함으로써, 30eV의 에너지를 가지는 이온만을 시료에 조사하여, Si에 대하여 SiO2를 선택적으로 에칭한다. 또, 시료에 인가하는 고주파 전력을 조정함으로써, 이온이 가지는 에너지를 제어할 수 있다.
제 1 스텝과 제 2 스텝을 교대로 50회 반복함으로써 20nm 에칭할 수 있다. 이 방법으로 가공된 시료의 단면 형상을 도 4에 나타낸다. Si(200)의 홈 내에 매립된 SiO2(202)가 정확하게 20nm 에칭되어 있는 것을 알 수 있다.
비교를 위해, 특허문헌 1에 기재된 장치를 이용하여, 마찬가지의 원자층 에칭을 행하였다. 구체적으로는, 제 1 스텝에서는, 가스 도입구로부터 플루오로카본 가스를 공급하면서, 헬리컬 코일에 고주파 전력을 공급하여 유도 결합 플라스마를 발생시킨다. 또한, 시료에는 고주파 전압을 인가하지 않도록 한다. 이에 따라, 시료에는 유도 결합 플라스마로부터 플루오로카본 가스의 라디칼만이 조사된다. 또한, 제 2 스텝에서는 가스 도입구로부터 희가스를 공급하면서, 시료에 1kW의 고주파 전력을 인가하고, 금속제의 다공판과 시료 사이에 용량 결합 플라스마를 생성시켜, 시료에 희가스의 이온을 조사한다.
제 1 스텝과 제 2 스텝을 교대로 50회 반복한 후의 시료의 가공 단면 형상을 도 5에 나타낸다. Si(200)의 홈 내에 매립된 SiO2(202)는 정확하게 20nm 에칭되어 있는 것을 알 수 있다. 한편, Si(200)도 거의 20nm 에칭되어 있어, 선택성이 낮은 문제가 있는 것을 알 수 있다. 즉, 용량 결합 플라스마를 생성하기 위해서 시료에 인가한 1kW의 고주파 전력에 의해, 이온이 가속되어 Si도 에칭해 버린다. 시료에 인가하는 고주파 전력을 낮추면 용량 결합 플라스마가 생성되지 않기 때문에, 이온의 가속 에너지를 제어하는 것은 곤란하다.
또한, 특허문헌 2에 나타내는 장치를 이용하여, 마찬가지의 원자층 에칭을 행하였다. 구체적으로는, 제 1 스텝에서는, ECR 플라스마를 생성시키면서, 가스 도입구로부터 플루오로카본 가스를 공급했다. 또한, 시료에는 고주파 전압을 인가하지 않도록 했다. 이에 따라, 시료에는 유도 결합 플라스마로부터 플루오로카본 가스의 라디칼과 이온이 조사된다. 또한, 제 2 스텝에서는 ECR 플라스마를 생성시키면서, 가스 도입구로부터 희가스를 공급했다. 또한, 시료에 30W의 고주파 전력을 인가함으로써, 30eV의 에너지를 가지는 이온만을 시료에 조사하고, Si(200)에 대하여 SiO2(202)를 선택적으로 에칭한다.
제 1 스텝과 제 2 스텝을 교대로 50회 반복한 후의 시료의 가공 단면 형상을 도 6에 나타낸다. Si(200)의 홈의 폭이 넓은 부분에서는, 매립된 SiO2(202)는 50nm 정도 에칭되어 있어, 에칭 깊이의 제어 정밀도가 낮은 것을 알 수 있다. 한편, Si(200)의 홈의 폭이 좁은 부분에서는, SiO2(202)가 15nm 정도밖에 에칭되어 있지 않아, 소밀(疎密) 차이도 크다는 것(마이크로로딩 효과)을 알 수 있다.
이상과 같이, 실시예 1의 장치를 이용하여, 플루오로카본 가스의 라디칼 조사와 희가스의 이온의 조사를 교대로 반복함으로써, 시료를 반송하지 않고 양쪽 스텝을 동일 장치 내에서 실현할 수 있기 때문에, 고선택이면서 또한 고정밀도의 STI의 에치백을 고스루풋으로 실현할 수 있다. 또한, 고주파 전원의 시료대에의 공급 전력을 조정함으로써, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있다. 이에 따라, 넓은 에칭 영역과 좁은 에칭 영역이 혼재하는 것 같은 시료여도, 한대의 장치로, 마이크로로딩 효과를 억제하여 원하는 깊이까지 균일하게 에칭할 수 있다. 본 실시예의 플루오로카본 가스로서는, C4F8, C2F6, C5F8 등을 이용할 수 있다. 또한, 희가스로서는 He, Ar, Kr, Xe 등을 이용할 수 있다.
실시예 4
본 실시예에서는, 실시예 1의 장치에 관하여, 다공판의 구멍의 배치가 이온을 차폐하는 성능에 주는 영향에 대해 설명한다.
먼저, 이온 차폐 효과에 대하여 설명한다. 자장이 있는 플라스마 중에서는 이온이 자력선(磁力線)을 따라 이동하는 것이 알려져 있다. 도 7은, 도 1에 나타내는 플라스마 처리 장치에 있어서의 자력선(140)의 모양을 설명하기 위한 장치 단면도이다. ECR 플라스마의 경우에는, 도 7에 나타나 있는 바와 같이 자력선(140)이 세로로 뻗어 있으며, 또한 시료에 가까워짐에 따라, 자력선의 간격이 넓어지고 있다.
따라서, 도 8에 나타나 있는 바와 같이 구멍(150)을 균등하게 배치한 다공판(116)의 경우, 중앙 부근의 구멍을 통과한 이온은 자력선(140)을 따라, 시료(121)에 입사해 버린다. 한편, 도 9에 나타나 있는 바와 같이 다공판(116)의 중앙부의 시료 직경에 상당하는 범위(151)에 구멍이 없는 구조의 것(라디칼 차폐 영역)을 만들면, 다공판의 유전체창 측(감압 처리실 상부 영역(106-1))에서 생성된 이온의 시료에의 입사를 완전하게 차폐할 수 있다. 또, 구멍(150)의 직경으로서는, 1∼2cmφ가 바람직하다.
이 효과를 확인하기 위해서, 다공판이 없을 경우, 도 8에 나타내는 다공판을 설치했을 경우, 도 9에 나타내는 다공판을 설치했을 경우의 세 가지 경우에 대하여, ECR 면이 다공판(116)과 유전체창 사이에 들어가는 자장 조건에서, 희가스의 플라스마를 생성시켜서 시료에 입사하는 이온 전류 밀도를 계측했다. 그 결과, 이온 전류 밀도는, 다공판이 없을 경우에 2mA/cm2이었던 것에 대해서, 도 8의 다공판의 경우에는 0.5mA/cm2, 도 9의 다공판의 경우에는 측정 한계인 0.02mA/cm2 이하로 감소했다. 즉, 중앙부의 시료 직경에 상당하는 범위(151)에 구멍이 없는 구조의 다공판을 채용함으로써, 시료에의 이온 입사를 대폭 저감할 수 있는 것을 확인할 수 있었다.
실시예 5
본 실시예에서는, 실시예 1의 장치에 관하여, 다공판이 라디칼 분포에 주는 영향에 대해 설명한다. 도 9와 같은 중앙부 부근에 구멍이 없는 다공판을 채용했을 경우, 다공판의 외주(外周)의 구멍으로부터 공급되기 때문에, 시료 근방에서는 라디칼 분포가 외주고(外周高)로 되기 쉬운 경향이 있다. 이 문제를 해결하기 위해서, 도 9의 다공판의 시료 측에 도 16과 같은 중앙부에 구멍이 뚫린 도넛 형상의 제 2 차폐판(118)을 설치하는 방법을 검토했다. 이에 따라, 도 17의 단면도에 나타나 있는 바와 같이 다공판(116)과 제 2 차폐판(118) 사이로부터 중심을 향하는 가스류(119)가 생겨, 라디칼이 시료의 중앙부 부근에도 공급되게 된다.
이 효과를 검증하기 위해서, 도 9의 다공판만일 경우와, 도 9의 다공판과 도 16의 제 2 차폐판을 조합시켰을 경우의 두 가지에 대하여, ECR 면이 다공판(116)과 유전체창(117) 사이에 들어가는 자장 조건에서, 플루오로카본 가스의 플라스마를 생성시켜, 플루오로카본의 라디칼에 기인하는 퇴적막의 막두께의 시료 상의 분포를 계측했다. 그 결과를 도 10a에 나타낸다. 도 9의 다공판만일 경우에는 외고(外高)의 막두께 분포임에 반하여, 도 9의 다공판과 도 16의 제 2 차폐판을 조합시켰을 경우에는, 균일한 막두께 분포를 얻을 수 있었다. 즉, 도 9의 다공판과 도 16의 제 2 차폐판을 조합시키는 것으로 균일한 라디칼 분포를 얻을 수 있는 것을 확인할 수 있었다.
본 실시예에서는, 중앙부의 시료 직경에 상당하는 범위에 구멍이 없는 구조의 다공판을 채용하였지만, 이 영역의 구멍의 밀도나 구멍 지름을 그 이외의 영역보다 작게 한 다공판으로도 마찬가지의 효과를 얻을 수 있다. 또한, 다공판과 시료 사이의 거리나 자장 조건에도 의존하지만, 구멍이 적은 영역의 지름은 시료 직경보다 30%정도 작게 할 수 있다.
또한, 이 효과를 얻을 수 있기 위해서는, 다공판의 구멍이 없는 영역의 직경보다도 제 2 차폐판의 중앙의 구멍의 직경은 작을 필요가 있다. 제 2 차폐판은 석영이나 알루미나 등의 유전체제 이외에, 금속제의 것이어도 된다. 또한, 제 2 차폐판은 판일 필요는 없으며, 예를 들면 중앙부에 구멍이 뚫린 블록 형상의 것이어도 된다.
실시예 6
본 실시예에서는, 실시예 1의 장치의 다공판의 구멍 뚫는 방법을 개량함으로써 이온의 차폐성과 라디칼의 균일성을 양립하는 방법을 검토했다. 중앙부에도 라디칼을 공급기 위해서는, 도 8의 다공판과 같이 중앙부 부근에도 구멍을 뚫을 필요가 있다. 한편, 이온은 자력선(140)을 따라 이동하기 때문에, 중앙 부근의 구멍을 통과한 이온은 시료(121)에 입사해 버린다.
그래서, 발명자들은, 도 18의 단면도와 같이, 다공판에 비스듬한 구멍을 뚫는 방법을 검토했다. 도 18에 나타나 있는 바와 같이 마이크로파 ECR 플라스마에서는, 시료에 가까이 가면 가까이 갈수록 자력선(140)의 간격이 넓어지는 방향으로 자력선이 기울어져 있다. 도 18의 장치에서는, 자력선의 기울어짐과는 역방향으로 구멍을 기울어지게 하고 있다. 즉, 시료 측의 구멍의 간격이 좁아지는 방향으로 구멍을 기울어지게 하고 있는 것이 특징으로 되어 있다.
이 경우, 도 19의 확대도와 같이 구멍의 방향과, 자력선(140)의 방향이 서로 다르기 때문에, 이온(127)은 다공판의 구멍을 통과할 수 없으며, 결과적으로 시료(121)에 입사하는 이온의 양을 대폭 저감할 수 있다. 한편, 라디칼은 자력선과는 무관하게 등방적으로 확산할 수 있기 때문에, 다공판의 비스듬한 구멍을 통과하여 시료에 도달하는 것으로 되기 때문에, 중앙부 부근의 구멍으로부터도 라디칼을 공급할 수 있게 된다. 이 효과를 확인하기 위해, 도 18의 구성에서 시료 상의 이온 전류 밀도를 계측했다. 그 결과, 이온 전류 밀도는, 수직한 구멍을 뚫은 다공판의 경우인 0.5mA/cm2로부터, 측정 한계인 0.02mA/cm2 이하로 감소했다.
다음으로, 실시예 5의 방법으로 퇴적막의 시료 상의 분포를 계측했다. 그 결과를 도 10b에 나타낸다. 중앙부 부근에도 구멍을 뚫음으로써 균일한 막두께 분포를 얻을 수 있었다. 즉, 다공판의 중앙부 부근에 비스듬한 구멍을 뚫음으로써, 높은 이온 차폐성과 균일한 라디칼 분포를 양립할 수 있는 것을 확인할 수 있었다.
다공판의 비스듬한 구멍의 각도에 관해서는, 다공판의 수직 방향으로부터 보아서, 구멍의 입구에서 출구가 내다보이지 않는 각도로 되어 있는 것이 바람직하다. 또한, 구멍이 기울어지게 하는 방향은, 반드시 중심축 방향일 필요는 없으며, 회전 방향으로 기울어져 있어도 된다. 또한, 본 실시예에서는 다공판 전체에 비스듬한 구멍을 뚫었지만, 시료 직경보다 큰 부분의 구멍에 관해서는, 수직하게 뚫어도 마찬가지의 효과를 얻을 수 있다.
실시예 7
본 실시예에서는, 실시예 1의 장치를 이용하여 공지의 삼차원 NAND(3D NAND) 메모리의 제조 공정의 일부에 적용할 경우에 대해 설명한다. 도 11의 (a)는 실리콘 질화막(201)과 실리콘 산화막(202)을 교대로 적층한 적층막에 복수의 홀을 형성하여 그들 내부를 충진(充塡)한 후, 홈(203)이 형성된 상태를 나타낸다. 이 구조를 갖는 시료로부터 실리콘 질화막(201)을 제거하여 도 11의 (b)에 나타나 있는 바와 같이 빗살 형상의 실리콘 산화막(202)을 형성한다.
이 빗살 형상의 실리콘 산화막(202)의 사이를 메워 실리콘 산화막을 덮도록 CVD로 텅스텐(204)을 형성하여, 도 11의 (c)에 나타내는 구조로 한다. 또한, 텅스텐(204)을 가로 방향으로 에칭함으로써, 도 11의 (d)에 나타나 있는 바와 같이 실리콘 산화막(202)과 텅스텐(204)이 교대로 적층되며, 또한, 각 텅스텐(204)의 층이 전기적으로 분리된 구조를 만든다. 이 중, 도 11의 (d)에 나타내는 구조를 만드는 공정에서는, 깊은 홈 내의 텅스텐(204)을 가로 방향으로 균일하게 에칭할 것이 요구된다.
이러한 깊은 홈 내의 텅스텐(204)을 가로 방향으로 균일하게 에칭하기 위한 방법으로서는, 예를 들면 텅스텐을 등방적으로 에칭할 수 있는 불소 함유 가스와 플루오로카본 등의 퇴적성의 가스를 혼합한 가스의 플라스마로 처리하는 것이 고려된다.
그래서, 실시예 1의 장치로, 불소 함유 가스와 플루오로카본의 혼합 가스의 플라스마를 생성시켜서, 도 11의 (c)의 구조의 시료를 처리했다. 등방성의 에칭을 실현하기 위해, ECR 면이 다공판(116)과 유전체창 사이에 들어가는 자장 조건에서 플라스마를 생성하고, 불소와 플루오로카본 가스의 라디칼만을 시료에 조사했다. 이 때, 시료에는 고주파 전력을 인가하지 않은 채 처리했다. 그 결과를 도 12에 나타낸다. 홈 상부(207), 홈 중앙부(208)에서는, 균일하게 텅스텐(204)이 제거되어 있지만, 홈 바닥부(209)에서는 텅스텐(204)이 에칭되지 않은 채 남아있어서, 텅스텐(204)의 각 층끼리가 전기적으로 단락되는 문제가 발생하는 것을 알 수 있었다.
다음으로, 이 원인에 대해 설명한다. 도 14는 홈 바닥면(홈 바닥 텅스텐 표면)으로부터의 거리에 대한 F 라디칼 농도의 관계를 나타낸다. 도 14로부터 이해할 수 있는 바와 같이, 홈 바닥부(209)(홈 바닥면으로부터의 거리가 0 부근)에서는, 불소 라디칼 농도가 급격하게 감소하는 것을 알 수 있었다. 이 감소의 원인은, 홈 바닥 텅스텐 표면(210)의 에칭에 의해 불소 라디칼이 소비되어 버리기 때문인 것으로 추정되었다.
이 문제를 해결하기 위해서, 이방성의 에칭으로 홈 바닥의 텅스텐을 일단 제거한 후에, 등방적으로 측면의 텅스텐(204)을 제거하는 2 스텝의 가공 방법을 검토했다. 이방성 에칭 스텝에 관해서는, ECR 면이 다공판(116)과 시료(121) 사이에 들어가는 자장 조건에서 플라스마를 생성하고, 시료에 고주파 전력을 인가함으로써, 이온을 수직하게 시료에 입사시켜서, 홈 바닥의 텅스텐(204)을 제거했다. 또, 고주파 전원의 시료대에의 공급 전력을 조정함으로써, 이온 조사의 에너지를 수십 eV에서부터 수 KeV까지 제어할 수 있다.
다음으로, 등방성의 에칭에 관해서는, ECR 면이 다공판(116)과 유전체창(117) 사이에 들어가는 자장 조건에서 플라스마를 생성하고, 시료에 고주파 바이어스를 인가하지 않고 처리했다. 그 결과, 등방성의 에칭의 스텝에 있어서는, 도 15에 나타나 있는 바와 같이 홈 바닥부(209)의 부근에서 불소 라디칼 농도가 급격하게 감소하는 현상이 보이지 않게 되었다.
이 2 스텝의 처리를 행했을 경우의 가공 단면 형상을 도 13에 나타낸다. 이 방법에 의해, 바닥면까지 균일하게 텅스텐(204)이 제거되는 것이 확인되었다.
본 실시예의 불소 함유 가스로서는 SF6, NF3, XeF2, SiF4 등을 이용할 수 있다. 또한, 본 실시예의 플루오로카본 가스로서는 C4F8, C2F6, C5F8 등을 이용할 수 있다. 또한, 본 실시예에서는 홈(203)을 이용했지만, 구멍으로 할 수도 있다.
또한, 본 실시예에서는, 실시예 1의 장치를 이용했지만, 한대의 장치로 라디칼 조사와 이온 조사의 스텝을 실현할 수 있는 장치이면, 실시예 2의 장치를 이용하여도 마찬가지의 효과를 얻을 수 있다.
실시예 8
본 실시예에서는, 실시예 1의 장치에 의해 복수의 공정의 처리를 행함으로써 장치 코스트를 줄인 예를 설명한다. 게이트 라스트(gate last)라고 불리는 MOS 트랜지스터의 메탈 게이트 형성 공정의 일부를 도 20에 나타낸다. 먼저 제 1 공정에서는, 실리콘 기판(301)과 SiO2(302) 상에 성막된 실리콘 막을 마스크(304)에 따라 이방성의 드라이 에칭함으로써, 실리콘의 더미 게이트(303)를 만든다.
다음으로, 제 2 공정에서 불순물을 주입함으로써 소스(305) 및 드레인(306)을 형성한다. 제 3 공정에서는 CVD(chemical vapor deposition)로 SiO2(302)를 성막한 후, 제 4 공정에서, 여분의 표면의 SiO2(302)를 CMP(Chemical Mechanical Polishing)로 연마한다. 그 후, 제 5 공정에서 실리콘의 등방성 드라이 에칭에 의해, 실리콘의 더미 게이트(303)를 제거한다. 또한, 제 6 공정에서 실제의 게이트가 되는 메탈(307)을 성막한 후, 제 7 공정에서 CMP에 의해 여분의 메탈을 제거하여, 메탈 게이트(308)를 형성한다.
이 프로세스에서는, 제 1 공정에 실리콘의 이방성 드라이 에칭 공정이 존재하고, 제 4 공정에는 실리콘의 등방성 드라이 에칭 공정이 존재한다. 따라서, 통상은, 실리콘의 이방성 드라이 에칭 장치와 등방성 드라이 에칭 장치가 각각 한대 이상 필요하게 된다. 그 때문에, 생산량이 적은 소량 다품종의 팹에서는, 가동률이 낮은 2종류의 드라이 에칭 장치를 보유할 필요가 있어서, 장치 코스트가 문제로 된다.
실시예 1의 장치를 이용하여, 제 1 공정의 이방성 드라이 에칭과 제 4 공정의 등방성 드라이 에칭을 한대의 장치로 행하면, 장치 가동률이 향상함과 동시에, 팹 내의 장치 대수를 반 정도로 줄일 수 있다.
본 실시예에서는, MOS 트랜지스터의 메탈 게이트 형성 공정에 실시예 1의 장치를 적용한 예를 설명했지만, 다른 제조 공정이어도, 이방성 드라이 에칭과 등방성 드라이 에칭 양쪽이 존재하면, 실시예 1의 장치로 양쪽의 공정을 처리함으로써, 마찬가지의 효과를 얻을 수 있다.
105…가스 도입구, 106-1…감압 처리실(106)의 상부 영역, 106-2…감압 처리실(106)의 하부 영역, 113…마그네트론, 114…코일, 116…다공판, 117…유전체제의 창, 118…제 2 차폐판, 119…가스류, 120…시료대, 121…시료, 122…정합기, 123…고주파 전원, 124…펌프, 125…정합기, 126…고주파 전원, 127…이온, 131…헬리컬 코일, 132…헬리컬 코일, 133…전환 스위치, 134…천판, 140…자력선, 150…구멍, 151…구멍이 마련되어 있지 않은 중앙 영역(라디칼 차폐 영역), 200…실리콘, 201…실리콘 질화막, 202…실리콘 산화막, 203…홈, 204…텅스텐, 207…홈 상부, 208…홈 중앙부, 209…홈 바닥부, 210…홈 바닥 텅스텐 표면, 301…기판 실리콘, 302…SiO2, 303…더미 게이트, 304…마스크, 305…소스, 306…드레인, 307…메탈, 308…메탈 게이트

Claims (2)

  1. 구멍 또는 홈의 측벽에 형성된 패턴에 매립된 막의 상기 패턴 이외의 부분을 플라스마 에칭에 의해 제거하는 플라스마 처리 방법에 있어서,
    상기 구멍 또는 홈의 바닥면의 상기 막을 제거한 후, 상기 구멍 또는 홈의 깊이 방향에 수직인 방향의 상기 막을 제거하는 것을 특징으로 하는 플라스마 처리 방법.
  2. 제 1 항에 있어서,
    이온 보조 에칭에 의해 상기 구멍 또는 홈의 바닥면의 상기 막을 제거하고,
    라디칼 에칭에 의해 상기 구멍 또는 홈의 깊이 방향에 수직인 방향의 상기 막을 제거하는 것을 특징으로 하는 플라스마 처리 방법.
KR1020197024746A 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법 KR102085044B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207005933A KR102465801B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2015104115 2015-05-22
JPJP-P-2015-104115 2015-05-22
PCT/JP2016/063129 WO2016190036A1 (ja) 2015-05-22 2016-04-27 プラズマ処理装置およびそれを用いたプラズマ処理方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177020668A Division KR102015891B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207005933A Division KR102465801B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법

Publications (2)

Publication Number Publication Date
KR20190102301A true KR20190102301A (ko) 2019-09-03
KR102085044B1 KR102085044B1 (ko) 2020-03-05

Family

ID=57392767

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207005933A KR102465801B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
KR1020177020668A KR102015891B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
KR1020197024746A KR102085044B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020207005933A KR102465801B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
KR1020177020668A KR102015891B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법

Country Status (5)

Country Link
US (2) US20180047595A1 (ko)
JP (3) JP6434617B2 (ko)
KR (3) KR102465801B1 (ko)
TW (6) TWI632833B (ko)
WO (1) WO2016190036A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220134577A (ko) 2020-01-31 2022-10-05 스미또모 가가꾸 가부시키가이샤 적층체

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
JP6987172B2 (ja) * 2017-11-28 2021-12-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US11615946B2 (en) * 2018-07-31 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Baffle plate for controlling wafer uniformity and methods for making the same
US20210335625A1 (en) 2019-02-08 2021-10-28 Hitachi High-Technologies Corporation Dry etching apparatus and dry etching method
JP6963097B2 (ja) * 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
CN110797245B (zh) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 一种半导体加工设备
WO2021130826A1 (ja) * 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理装置
JP7244447B2 (ja) * 2020-02-20 2023-03-22 株式会社日立ハイテク プラズマ処理装置
CN113394086A (zh) * 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR102560323B1 (ko) 2020-04-03 2023-07-28 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
WO2021214868A1 (ja) * 2020-04-21 2021-10-28 株式会社日立ハイテク プラズマ処理装置
JP7281433B2 (ja) * 2020-06-24 2023-05-25 株式会社日立ハイテク プラズマ処理装置
US11854770B2 (en) 2021-01-14 2023-12-26 Applied Materials, Inc. Plasma processing with independent temperature control
US20240096599A1 (en) 2021-02-08 2024-03-21 Hitachi High-Tech Corporation Plasma processing device
US11328931B1 (en) * 2021-02-12 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
CN115735267A (zh) 2021-06-28 2023-03-03 株式会社日立高新技术 等离子处理装置以及等离子处理方法
KR20230133267A (ko) 2022-03-07 2023-09-19 주식회사 히타치하이테크 플라스마 처리 방법
JP7498369B2 (ja) 2022-04-26 2024-06-11 株式会社日立ハイテク プラズマ処理方法
WO2024127535A1 (ja) * 2022-12-13 2024-06-20 株式会社日立ハイテク プラズマ処理方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6214429A (ja) 1985-07-12 1987-01-23 Hitachi Ltd 表面処理方法及び表面処理装置
JPH03218018A (ja) * 1990-01-23 1991-09-25 Sony Corp バイアスecrcvd装置
JPH04180621A (ja) 1990-02-23 1992-06-26 Hitachi Ltd 表面処理装置および表面処理方法
JPH04225226A (ja) * 1990-12-26 1992-08-14 Fujitsu Ltd プラズマ処理装置
JPH05234947A (ja) 1992-02-26 1993-09-10 Toshiba Corp マイクロ波プラズマエッチング装置
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP2013251546A (ja) * 2012-06-04 2013-12-12 Psk Inc 基板処理装置及び方法
JP2015050362A (ja) 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2015065434A (ja) * 2013-09-20 2015-04-09 ラム リサーチ コーポレーションLam Research Corporation デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0642462B2 (ja) * 1988-09-07 1994-06-01 日電アネルバ株式会社 プラズマ処理装置
JPH02230729A (ja) * 1989-03-03 1990-09-13 Fujitsu Ltd 半導体製造装置
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
ATE458261T1 (de) * 1998-12-11 2010-03-15 Surface Technology Systems Plc Plasmabehandlungsgerät
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
JP2002289588A (ja) * 2001-03-27 2002-10-04 Kawasaki Microelectronics Kk 金属膜のパターンニング方法
TW544805B (en) * 2002-06-27 2003-08-01 Applied Materials Inc High purity radical process system
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP3865692B2 (ja) 2002-12-16 2007-01-10 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
CN1914714B (zh) * 2004-03-31 2011-09-28 富士通半导体股份有限公司 基板处理装置及半导体装置的制造方法
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100927375B1 (ko) * 2007-09-04 2009-11-19 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기 조절 방법, 상기 배기 유닛을 포함하는 기판 처리 장치
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
TWI424796B (zh) * 2010-02-12 2014-01-21 Advanced Micro Fab Equip Inc Plasma processing device with diffusion dissociation region
US9536970B2 (en) * 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8187936B2 (en) * 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
JP5845754B2 (ja) * 2010-09-15 2016-01-20 東京エレクトロン株式会社 プラズマエッチング処理方法
JP5901887B2 (ja) * 2011-04-13 2016-04-13 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法及びプラズマ処理方法
JP5898882B2 (ja) * 2011-08-15 2016-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
TW201331408A (zh) * 2011-10-07 2013-08-01 Tokyo Electron Ltd 電漿處理裝置
KR20130049364A (ko) * 2011-11-04 2013-05-14 피에스케이 주식회사 플라스마 공급 유닛 및 이를 포함하는 기판 처리 장치
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
US9209034B2 (en) * 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
JP5959275B2 (ja) * 2012-04-02 2016-08-02 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR20130116607A (ko) * 2012-04-16 2013-10-24 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2014042004A (ja) * 2012-07-26 2014-03-06 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5996324B2 (ja) * 2012-08-07 2016-09-21 シャープ株式会社 不揮発性半導体記憶装置とその製造方法
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8765574B2 (en) * 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
TWI614813B (zh) * 2013-01-21 2018-02-11 半導體能源研究所股份有限公司 半導體裝置的製造方法
JP6045610B2 (ja) * 2013-01-24 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5887366B2 (ja) * 2013-03-26 2016-03-16 東京エレクトロン株式会社 遷移金属を含む膜をエッチングする方法
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
JP2014229751A (ja) * 2013-05-22 2014-12-08 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
JPWO2015016149A1 (ja) * 2013-07-29 2017-03-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10141322B2 (en) * 2013-12-17 2018-11-27 Intel Corporation Metal floating gate composite 3D NAND memory devices and associated methods
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6214429A (ja) 1985-07-12 1987-01-23 Hitachi Ltd 表面処理方法及び表面処理装置
JPH03218018A (ja) * 1990-01-23 1991-09-25 Sony Corp バイアスecrcvd装置
JPH04180621A (ja) 1990-02-23 1992-06-26 Hitachi Ltd 表面処理装置および表面処理方法
JPH04225226A (ja) * 1990-12-26 1992-08-14 Fujitsu Ltd プラズマ処理装置
JPH05234947A (ja) 1992-02-26 1993-09-10 Toshiba Corp マイクロ波プラズマエッチング装置
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP2013251546A (ja) * 2012-06-04 2013-12-12 Psk Inc 基板処理装置及び方法
JP2015050362A (ja) 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2015065434A (ja) * 2013-09-20 2015-04-09 ラム リサーチ コーポレーションLam Research Corporation デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220134577A (ko) 2020-01-31 2022-10-05 스미또모 가가꾸 가부시키가이샤 적층체

Also Published As

Publication number Publication date
TW201832621A (zh) 2018-09-01
TWI669028B (zh) 2019-08-11
KR102085044B1 (ko) 2020-03-05
KR20170101952A (ko) 2017-09-06
TWI798531B (zh) 2023-04-11
TW202339555A (zh) 2023-10-01
TWI689227B (zh) 2020-03-21
JPWO2016190036A1 (ja) 2017-12-28
KR102465801B1 (ko) 2022-11-14
KR20200024955A (ko) 2020-03-09
TW201642713A (zh) 2016-12-01
TW201739323A (zh) 2017-11-01
JP6580731B2 (ja) 2019-09-25
TW202224502A (zh) 2022-06-16
JP2019176184A (ja) 2019-10-10
US20230282491A1 (en) 2023-09-07
WO2016190036A1 (ja) 2016-12-01
JP2018093226A (ja) 2018-06-14
US20180047595A1 (en) 2018-02-15
TWI818454B (zh) 2023-10-11
TW202027563A (zh) 2020-07-16
TWI632833B (zh) 2018-08-11
JP6434617B2 (ja) 2018-12-05
KR102015891B1 (ko) 2019-08-29
JP6850830B2 (ja) 2021-03-31

Similar Documents

Publication Publication Date Title
KR102015891B1 (ko) 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
TWI624870B (zh) 用於蝕刻速率一致性的方法
US8956980B1 (en) Selective etch of silicon nitride
TWI333225B (en) Method and apparatus to confine plasma and to enhance flow conductance
EP3007205B1 (en) Workpiece processing method
US20120318773A1 (en) Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
TW201529898A (zh) 用於高深寬比溝槽的均等鎢蝕刻
JPH08107101A (ja) プラズマ処理装置及びプラズマ処理方法
TW201012312A (en) Method for generating hollow cathode plasma and method for treating large area substrate using hollow cathode plasma
JP5819154B2 (ja) プラズマエッチング装置
JPH09289193A (ja) プラズマ発生装置及びその方法、並びにプラズマ処理装置及びその方法
US9595467B2 (en) Air gap formation in interconnection structure by implantation process
JP3973283B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2006253190A (ja) 中性粒子ビーム処理装置および帯電電荷の中和方法
KR101310850B1 (ko) 플라즈마 에칭 방법
KR101285749B1 (ko) 드라이 에칭 방법 및 게이트 라스트 방식의 메탈 게이트 제조 방법
WO2022271526A1 (en) Profile twisting control in dielectric etch
JP2023541910A (ja) 磁場を用いるプラズマ放電の不均一性制御
JP2015222818A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right