WO2016190036A1 - プラズマ処理装置およびそれを用いたプラズマ処理方法 - Google Patents

プラズマ処理装置およびそれを用いたプラズマ処理方法 Download PDF

Info

Publication number
WO2016190036A1
WO2016190036A1 PCT/JP2016/063129 JP2016063129W WO2016190036A1 WO 2016190036 A1 WO2016190036 A1 WO 2016190036A1 JP 2016063129 W JP2016063129 W JP 2016063129W WO 2016190036 A1 WO2016190036 A1 WO 2016190036A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
sample
plasma processing
shielding plate
processing apparatus
Prior art date
Application number
PCT/JP2016/063129
Other languages
English (en)
French (fr)
Inventor
直行 小藤
政士 森
敏明 西田
良二 濱崎
Original Assignee
株式会社 日立ハイテクノロジーズ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社 日立ハイテクノロジーズ filed Critical 株式会社 日立ハイテクノロジーズ
Priority to JP2017520579A priority Critical patent/JP6434617B2/ja
Priority to KR1020207005933A priority patent/KR102465801B1/ko
Priority to KR1020197024746A priority patent/KR102085044B1/ko
Priority to US15/558,005 priority patent/US20180047595A1/en
Priority to KR1020177020668A priority patent/KR102015891B1/ko
Priority to TW111107126A priority patent/TWI818454B/zh
Priority to TW107114742A priority patent/TWI689227B/zh
Priority to TW112120737A priority patent/TW202339555A/zh
Priority to TW105115521A priority patent/TWI632833B/zh
Priority to TW106123071A priority patent/TWI669028B/zh
Priority to TW109105889A priority patent/TWI798531B/zh
Publication of WO2016190036A1 publication Critical patent/WO2016190036A1/ja
Priority to US18/113,846 priority patent/US20230282491A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the three-dimensional arrangements, e.g. with cells on different height levels

Definitions

  • the present invention relates to a plasma processing apparatus and a plasma processing method using the same.
  • a dry etching apparatus having both a function of irradiating both ions and radicals and a function of shielding ions and irradiating only radicals is disclosed in, for example, Patent Document 1 (Japanese Patent Laid-Open No. 2015-50362). Is disclosed.
  • inductively coupled plasma can be generated by supplying high frequency power to the helical coil.
  • ions can be shielded and only radicals can be irradiated.
  • capacitively coupled plasma can be generated between the metal porous plate and the sample by applying high-frequency power to the sample.
  • Patent Document 2 Japanese Patent Laid-Open No. 62-14429
  • a magnetic field generated by a solenoid coil and a 2.45 GHz microwave electron cyclotron resonance (ECR) phenomenon are used.
  • Plasma can be generated (ECR plasma).
  • a DC bias voltage can be generated, and ions can be accelerated by this DC bias voltage to irradiate the wafer.
  • ECR plasma can be generated as in Patent Document 2. Furthermore, by inserting a metal porous plate with a voltage applied between the plasma generator and the sample, it is possible to irradiate the sample only with neutral particles such as radicals that are shielded from ions and have no charge. .
  • Patent Document 4 Japanese Patent Laid-Open No. 5-234947
  • plasma can be generated near the quartz window by the power of the supplied microwave. Furthermore, by inserting a perforated plate between the plasma and the sample, the ions can be shielded and radicals can be supplied.
  • an etching reaction is caused by activating the radical adsorbed on the sample surface by irradiating with ions of a rare gas in step 2, thereby increasing the etching depth.
  • the height is controlled with high accuracy.
  • a single etching apparatus performs a plurality of processes. Therefore, anisotropic etching that irradiates both ions and radicals and isotropic etching that irradiates only radicals are performed. By having both functions, the apparatus cost can be greatly reduced.
  • dry etching apparatuses used in semiconductor device processing are required to have both a function of performing processing by irradiating both ions and radicals and a function of performing processing by irradiating only radicals. Yes.
  • the device of Patent Document 1 was considered to be a device that could answer this request. That is, in the first step of radical irradiation, high frequency power is supplied to the helical coil to generate inductively coupled plasma, while no high frequency voltage is applied to the sample. Thereby, only radicals are supplied to the sample from the inductively coupled plasma. In the second step of ion irradiation, a high frequency voltage is applied to the sample to generate capacitively coupled plasma between the metal porous plate and the sample, and the sample is irradiated with ions. However, in order to generate capacitively coupled plasma by this method and irradiate the sample with ions, it is necessary to apply a high-frequency voltage on the order of several KeV to the sample. For this reason, it turned out that there exists a problem that it cannot apply to the high selective process which requires ion irradiation of low energy of several tens eV.
  • the pressure range that can be used is as high as several hundred Pa, and it was found that the pressure range is not suitable for microfabrication that requires low-pressure processing.
  • an object of the present invention is to realize a plasma processing apparatus capable of realizing both a radical irradiation step and an ion irradiation step with a single apparatus, and capable of controlling the ion irradiation energy from several tens eV to several KeV, and the plasma processing apparatus.
  • An object of the present invention is to provide a plasma processing method.
  • a plasma processing apparatus comprising: a processing chamber in which a sample is plasma-processed; a plasma generating mechanism for generating plasma in the processing chamber; and a sample stage on which the sample is placed
  • the shielding plate disposed above the sample table to shield the ions in the plasma from entering the sample table, a first period for generating plasma above the shielding plate, and below the shielding plate
  • a control device for controlling the plasma processing while the second period for generating plasma is switched.
  • the plasma processing apparatus comprising: a processing chamber in which a sample is plasma-processed; a high-frequency power source that supplies high-frequency power for generating plasma in the processing chamber; and a sample stage on which the sample is placed.
  • a shield plate disposed above the sample table and shielded from the incidence of ions generated by the sample table, and one control for generating plasma above the shield plate, or plasma below the shield plate.
  • a control device that selectively performs the other control to be generated.
  • a processing chamber in which the sample is subjected to plasma processing a plasma generation mechanism for generating plasma in the processing chamber, a sample table on which the sample is placed, and the incidence of ions in the plasma on the sample table are shielded
  • the plasma processing method of plasma processing the sample using a plasma processing apparatus provided with a shielding plate disposed above the sample stage the sample is plasma treated using plasma generated below the shielding plate
  • a second step of plasma-treating the sample after the first step using the plasma generated above the shielding plate after the first step is as follows.
  • the plasma processing method of removing a portion other than the pattern of the film embedded in the pattern formed on the side wall of the hole or groove by plasma etching, after removing the film on the bottom surface of the hole or groove, the hole Alternatively, the plasma processing method is characterized in that the film in a direction perpendicular to the depth direction of the groove is removed.
  • both a radical irradiation step and an ion irradiation step can be realized with a single apparatus, and the ion irradiation energy can be controlled from several tens of eV to several KeV, and plasma using the same A processing method can be provided.
  • FIG. 1 is a schematic overall configuration cross-sectional view of a plasma processing apparatus according to a first embodiment of the present invention. It is a schematic whole structure sectional view of the plasma processing apparatus concerning the 2nd example of the present invention. It is a figure which shows the cross-sectional shape of the sample before STI (Shallow * Trench * Isolation) etch-back. It is a figure which shows an example of the cross-sectional shape of the sample at the time of applying the plasma processing method which concerns on the 3rd Example of this invention to STI etch back using the plasma processing apparatus shown in FIG. It is a figure which shows an example of the cross-sectional shape of a sample at the time of performing STI etch back using the conventional apparatus.
  • STI Shallow * Trench * Isolation
  • FIG. 2 is a plan view showing an example of hole arrangement of a perforated plate in the ECR plasma processing apparatus shown in FIG.
  • FIG. 6 is a plan view showing another example of the hole arrangement of the perforated plate in the ECR plasma processing apparatus shown in FIG. 1.
  • ECR plasma processing apparatus shown in FIG. 1 In the ECR plasma processing apparatus shown in FIG.
  • FIG. 17 it is a figure for demonstrating the effect of the presence or absence of a shielding board to the radical-derived deposit distribution of a fluorocarbon, and shows the relationship of the deposit deposition speed with respect to a sample radial position.
  • FIG. 18 it is a figure for demonstrating the radical origin deposit distribution of a fluorocarbon, and shows the relationship of the deposit deposition rate with respect to a sample radial position.
  • FIG. 4 is a cross-sectional view of an element showing a part of a manufacturing process of a NAND flash memory having a three-dimensional structure, where (a) shows a state in which a laminated film of a silicon nitride film and a silicon oxide film is processed, and (b) shows a silicon nitride film (C) is a state in which a silicon oxide film is removed and a tungsten film is formed so as to cover the silicon oxide film, and (d) is a space between the silicon silicon films. The state where the tungsten film is removed so that the tungsten film remains is shown.
  • FIG. 12 is a cross-sectional view showing an example of a processed shape after a tungsten removal step by isotropic etching in the structure shown in FIG.
  • FIG. 12C is a cross-sectional view showing an example of a processed shape after performing a tungsten removal step by isotropic etching after a tungsten removal step at the groove bottom in the structure shown in FIG.
  • FIG. 12 it is a figure for demonstrating the radical concentration distribution in the groove
  • 11C it is a diagram for explaining the radical concentration distribution in the groove being processed, and shows the relationship of the F radical concentration with respect to the distance from the groove bottom surface.
  • the shape of the shielding board which concerns on the 5th Example of this invention is shown. It is a general
  • FIG. 1 shows a schematic overall cross-sectional view of a plasma processing apparatus according to a first embodiment of the present invention.
  • the 2.45 GHz microwave supplied from the magnetron 113 to the decompression processing chamber 106 (the upper region 106-1 and the lower region 106-2) through the dielectric window 117.
  • the high frequency power source 123 is connected to the sample 121 placed on the sample stage 120 via the matching unit 122 as in the case of Patent Document 2.
  • the dielectric porous plate 116 divides the decompression processing chamber 106 into a decompression processing chamber upper region 106-1 and a decompression processing chamber lower region 106-2.
  • This is a very different point from Document 2. Because of this feature, if plasma can be generated in the upper region 106-1 of the decompression chamber on the dielectric window side of the perforated plate 116, which is a shielding plate, ions can be shielded and only the radicals can be irradiated to the sample.
  • the ECR plasma processing apparatus used in this example is characterized in that plasma is generated in the vicinity of a surface having a magnetic field intensity of 875 Gauss called an ECR surface.
  • the magnetic field is adjusted so that the ECR surface is between the porous plate 116 and the dielectric window 117 (the decompression processing chamber upper region 106-1), plasma can be generated on the dielectric window side of the porous plate 116 and generated. Since the performed ions hardly pass through the porous plate 116, the sample 121 can be irradiated with only radicals.
  • the perforated plate 116 is made of a dielectric. Since the porous plate 116 is not metal, the microwave can propagate from the porous plate 116 to the sample side.
  • the magnetic field is adjusted so that the ECR surface is between the porous plate 116 and the sample 121 (lower pressure treatment chamber lower region 106-2), plasma is generated on the sample side from the porous plate 116, so that ions and radicals Both can irradiate the sample.
  • the energy of ion irradiation can be controlled from several tens of eV to several keV by adjusting the power supplied from the high frequency power source 123 to the sample stage.
  • adjustment or switching of the height position of the ECR surface with respect to the height position of the perforated plate (upper or lower), a period for holding each height position, etc. can be performed using a control device (not shown). it can.
  • Reference numeral 124 denotes a pump.
  • the width of the space in which the plasma is generated needs to be large enough to maintain the plasma.
  • stable plasma can be obtained if these intervals are set to 40 mm or more. It was found that it can be formed.
  • a dielectric porous plate is disposed between a sample and a dielectric window, and the position of the ECR plane is By moving up and down, radical irradiation and ion irradiation steps can be realized with a single device. Furthermore, the energy of ion irradiation can be controlled from several tens of eV to several keV by adjusting the power supplied to the sample stage of the high frequency power source.
  • a material for the dielectric porous plate a material having a small dielectric loss such as quartz, alumina, yttria or the like is desirable.
  • FIG. 2 shows a schematic overall cross-sectional view of a plasma processing apparatus according to the second embodiment of the present invention.
  • inductively coupled plasma can be generated by supplying high frequency power from the high frequency power supply 126 to the helical coil 131 via the matching unit 125 as in Patent Document 1.
  • a high frequency power source 123 is connected to the sample 121 placed on the sample stage 120 or the point where the grounded metal porous plate 116 is inserted between the inductively coupled plasma and the sample via the matching unit 122.
  • the porous plate 116 is not limited to a metal, and any porous material can be used.
  • stable plasma can be formed if the distance between the porous plate 116 and the top plate 134 and between the porous plate 116 and the sample 121 is set to one digit or more larger than the Debye length, for example, 5 mm or more. .
  • the metal porous plate 116 is disposed between the sample 121 and the top plate 134, and the metal Separate helical coils 131 and 132 are provided on the top plate side (the decompression processing chamber upper region 106-1) of the metal porous plate 116 and on the sample side (the decompression processing chamber lower region 106-2) of the metal porous plate 116. If it has a mechanism for switching the supply of high-frequency power to the two helical coils, the radical irradiation and ion irradiation steps can be realized with a single device. Furthermore, the energy of ion irradiation can be controlled from several tens of eV to several keV by adjusting the power supplied to the sample stage of the high frequency power source.
  • a material of the metal porous plate 116 a material having high conductivity such as aluminum, copper, and stainless steel is desirable.
  • a metal porous plate coated with a dielectric such as alumina may be used.
  • a plasma processing method will be described using an STI (Shallow Trench Isolation) etch-back process as an example using the plasma processing apparatus described in the first embodiment.
  • STI Shallow Trench Isolation
  • a sample having a structure in which a silicon oxide film (SiO 2 ) 202 is embedded in a groove of silicon (Si) 200 having a depth of 200 nm is processed, and only SiO 2 202 is only 20 nm.
  • Etch In order to perform this processing, atomic layer etching was performed in which fluorocarbon gas radical irradiation (first step) and rare gas ion irradiation (second step) were performed alternately.
  • the first step while supplying a fluorocarbon gas from the gas inlet 105, plasma is generated under a magnetic field condition in which the ECR surface enters between the porous plate 116 and the dielectric window 117 (the decompression processing chamber upper region 106-1), By removing the generated ions with the porous plate 116, only the radical of the fluorocarbon gas is adsorbed to the sample. At this time, the high frequency power from the high frequency power supply 123 is not applied to the sample.
  • plasma is generated under a magnetic field condition where the ECR surface enters between the perforated plate 116 and the sample (lower pressure treatment chamber lower region 106-2) while supplying a rare gas from the gas inlet 105. Further, by applying high frequency power of 30 W to the sample, the sample is irradiated with only ions having energy of 30 eV, and SiO 2 is selectively etched with respect to Si. Note that the energy of ions can be controlled by adjusting the high-frequency power applied to the sample.
  • FIG. 4 shows a cross-sectional shape of a sample processed by this method. It can be seen that SiO 2 202 embedded in the Si 200 trench is precisely etched by 20 nm.
  • inductively coupled plasma is generated by supplying high frequency power to the helical coil while supplying the fluorocarbon gas from the gas inlet.
  • a high frequency voltage is not applied to the sample.
  • only the radical of fluorocarbon gas is irradiated to a sample from inductively coupled plasma.
  • a high-frequency power of 1 kW is applied to the sample while supplying a rare gas from the gas introduction port to generate capacitively coupled plasma between the metal porous plate and the sample, and the sample contains a rare gas. Irradiate ions.
  • FIG. 5 shows a processed cross-sectional shape of the sample after alternately repeating the first step and the second step 50 times.
  • SiO 2 202 embedded in the Si 200 trench is precisely etched by 20 nm.
  • Si 200 is also etched by approximately 20 nm, which indicates that there is a problem of low selectivity. That is, ions are accelerated by the 1 kW high frequency power applied to the sample to generate capacitively coupled plasma, and even Si is etched. Since capacitively coupled plasma is not generated when the high frequency power applied to the sample is lowered, it is difficult to control the acceleration energy of ions.
  • the same atomic layer etching was performed using the apparatus shown in Patent Document 2.
  • fluorocarbon gas was supplied from the gas inlet while generating ECR plasma.
  • no high frequency voltage was applied to the sample.
  • the sample is irradiated with fluorocarbon gas radicals and ions from the inductively coupled plasma.
  • noble gas was supplied from the gas inlet while generating ECR plasma.
  • the sample is irradiated only with ions having energy of 30 eV, and SiO 2 202 is selectively etched with respect to Si 200.
  • FIG. 6 shows a processed cross-sectional shape of the sample after the first step and the second step are alternately repeated 50 times. It can be seen that, in the wide portion of the Si 200 groove, the embedded SiO 2 202 is etched by about 50 nm, and the control accuracy of the etching depth is low. On the other hand, in the narrow portion of the Si 200 groove, it can be seen that SiO 2 202 is only etched by about 15 nm, and the density difference is large (micro loading effect).
  • both steps can be realized in the same apparatus without transporting the sample by alternately repeating the irradiation of the fluorocarbon gas radical and the rare gas ion irradiation using the apparatus of Example 1.
  • Highly selective and highly accurate STI etchback can be realized with high throughput.
  • the energy of ion irradiation can be controlled from several tens of eV to several keV by adjusting the power supplied to the sample stage of the high frequency power source.
  • the fluorocarbon gas of this embodiment C 4 F 8 , C 2 F 6 , C 5 F 8 and the like can be used.
  • the rare gas He, Ar, Kr, Xe, or the like can be used.
  • FIG. 7 is a cross-sectional view of the apparatus for explaining the state of the lines of magnetic force 140 in the plasma processing apparatus shown in FIG.
  • the magnetic field lines 140 run vertically as shown in FIG. 7, and the distance between the magnetic field lines increases as the sample approaches the sample.
  • the ions that have passed through the hole near the center enter the sample 121 along the magnetic force lines 140.
  • the dielectric window side of the porous plate (the upper part of the decompression chamber) The incidence of ions generated in the region 106-1) on the sample can be completely shielded.
  • the diameter of the hole 150 is preferably 1 to 2 cm ⁇ .
  • the ECR surface is the porous plate 116 and the dielectric window in the three cases where there is no porous plate, when the porous plate shown in FIG. 8 is installed, and when the porous plate shown in FIG. 9 is installed.
  • a rare gas plasma was generated and the ion current density incident on the sample was measured.
  • the ion current density was 2 mA / cm 2 in the absence of the porous plate, whereas it was 0.5 mA / cm 2 in the porous plate of FIG. 8 and measured in the porous plate of FIG.
  • the limit decreased to 0.02 mA / cm 2 or less. That is, it was confirmed that ion incidence to the sample can be greatly reduced by using a porous plate having a structure having no holes in a range 151 corresponding to the sample diameter at the center.
  • the ECR plane is the perforated plate 116 and the dielectric window for the case of only the perforated plate of FIG. 9 and the combination of the perforated plate of FIG. 9 and the second shielding plate of FIG.
  • a fluorocarbon gas plasma was generated, and the distribution of the deposited film thickness due to the fluorocarbon radical on the sample was measured.
  • FIG. 10A In the case of only the porous plate of FIG. 9, the film thickness distribution is high outside, whereas when the porous plate of FIG. 9 and the second shielding plate of FIG. 16 are combined, a uniform film thickness distribution is obtained. It was. That is, it was confirmed that a uniform radical distribution could be obtained by combining the porous plate of FIG. 9 and the second shielding plate of FIG.
  • a perforated plate having a structure with no holes in the range corresponding to the sample diameter at the center was used, but the same effect can be obtained with a perforated plate in which the density and diameter of holes in this region are smaller than those in other regions. can get. Moreover, although it depends on the distance between the perforated plate and the sample and the magnetic field conditions, the diameter of the region having few holes can be made about 30% smaller than the sample diameter.
  • the diameter of the central hole of the second shielding plate needs to be smaller than the diameter of the holeless region of the porous plate.
  • the second shielding plate may be made of a metal other than a dielectric such as quartz or alumina. Further, the second shielding plate need not be a plate, and may be, for example, a block shape having a hole in the center.
  • the inventors examined a method of making oblique holes in the perforated plate as shown in the cross-sectional view of FIG.
  • the magnetic field lines are inclined in the direction in which the interval between the magnetic field lines 140 increases as the distance from the sample increases.
  • the hole is inclined in the direction opposite to the inclination of the magnetic field lines. That is, the holes are inclined in the direction in which the interval between the holes on the sample side becomes narrow.
  • the ions 127 cannot pass through the holes of the perforated plate. It can be greatly reduced.
  • radicals can diffuse isotropically regardless of the lines of magnetic force, they can be reached by passing through the oblique holes of the perforated plate to reach the sample, so that radicals can be supplied from the holes near the center. Become.
  • the ion current density on the sample was measured with the configuration of FIG. As a result, the ionic current density decreased from 0.5 mA / cm 2 in the case of a perforated plate with vertical holes to 0.02 mA / cm 2 or less, which is the measurement limit.
  • Example 5 the distribution of the deposited film on the sample was measured by the method of Example 5. The result is shown in FIG. 10B.
  • a uniform film thickness distribution was obtained by opening a hole near the center. That is, it was confirmed that a high ion shielding property and a uniform radical distribution can be achieved by making an oblique hole near the center of the perforated plate.
  • the angle of the oblique holes of the perforated plate it is desirable that the angle is such that the outlet cannot be seen from the entrance of the hole when viewed from the vertical direction of the perforated plate.
  • the direction in which the hole is inclined does not necessarily have to be the central axis direction, and may be inclined in the rotational direction.
  • an oblique hole is formed in the entire perforated plate, but the same effect can be obtained even if the hole in a portion larger than the sample diameter is formed vertically.
  • FIG. 11A shows a state in which a groove 203 is formed after a plurality of holes are formed in the laminated film in which the silicon nitride films 201 and the silicon oxide films 202 are alternately laminated, and the insides thereof are filled.
  • the silicon nitride film 201 is removed from the sample having this structure, and a comb-like silicon oxide film 202 is formed as shown in FIG.
  • Tungsten 204 is formed by CVD so as to fill the space between the comb-like silicon oxide films 202 and cover the silicon oxide film, thereby obtaining the structure shown in FIG. Further, by etching the tungsten 204 in the lateral direction, as shown in FIG. 11D, the silicon oxide film 202 and the tungsten 204 are alternately stacked, and the layers of the tungsten 204 are electrically separated. Create Among these, in the step of creating the structure shown in FIG. 11D, it is required to uniformly etch the tungsten 204 in the deep groove in the lateral direction.
  • a fluorine-containing gas capable of isotropically etching tungsten and a deposition gas such as fluorocarbon are mixed. It is conceivable to treat with a plasma of gas.
  • the sample of the structure of FIG. 11C was processed by generating plasma of a mixed gas of fluorine-containing gas and fluorocarbon with the apparatus of Example 1.
  • plasma was generated under a magnetic field condition in which the ECR surface enters between the porous plate 116 and the dielectric window, and the sample was irradiated with only radicals of fluorine and fluorocarbon gas.
  • the sample was processed without applying high-frequency power. The result is shown in FIG.
  • the tungsten 204 is uniformly removed, but at the groove bottom portion 209, the tungsten 204 remains unetched, causing a problem that the layers of the tungsten 204 are electrically short-circuited. I found out that
  • FIG. 14 shows the relationship of the F radical concentration with respect to the distance from the groove bottom surface (groove bottom tungsten surface). As can be seen from FIG. 14, at the groove bottom portion 209 (distance from the groove bottom surface is near 0), it was found that the fluorine radical concentration rapidly decreased. The reason for this decrease was presumed to be that fluorine radicals were consumed by etching the groove bottom tungsten surface 210.
  • tungsten at the bottom of the groove was removed once by anisotropic etching, and then tungsten 204 on the side surface was removed isotropically.
  • anisotropic etching step plasma is generated under the magnetic field condition where the ECR surface enters between the perforated plate 116 and the sample 121, and high frequency power is applied to the sample so that ions are vertically incident on the sample. Tungsten 204 at the bottom of the groove was removed. Note that the energy of ion irradiation can be controlled from several tens of eV to several keV by adjusting the power supplied to the sample stage of the high frequency power source.
  • FIG. 13 shows the processed cross-sectional shape when this two-step process is performed. It was confirmed that the tungsten 204 was uniformly removed to the bottom surface by this method.
  • fluorine-containing gas in this embodiment SF 6 , NF 3 , XeF 2 , SiF 4 or the like can be used. Further, as the fluorocarbon gas in this embodiment, and the like can be used C 4 F 8, C 2 F 6, C 5 F 8. Moreover, although the groove
  • the apparatus of the first embodiment is used.
  • the same effect can be obtained by using the apparatus of the second embodiment as long as the apparatus can realize the steps of radical irradiation and ion irradiation with a single apparatus. can get.
  • FIG. 20 shows a part of a metal gate forming process of a MOS transistor called gate last.
  • a silicon dummy gate (303) is formed by anisotropically etching a silicon film formed on the silicon substrate (301) and the SiO2 substrate (302) along the mask (304).
  • a source (305) and a drain (306) are formed by implanting impurities in the second step.
  • SiO2 (302) is formed by CVD (chemical vapor deposition), and then in the fourth step, excess SiO2 (302) is polished by CMP (Chemical Mechanical Polishing). Thereafter, the silicon dummy gate 303 is removed by isotropic dry etching of silicon in a fifth step. Further, after forming a metal (307) to be an actual gate in the sixth step, excess metal is removed by CMP in the seventh step to form a metal gate (308).
  • the anisotropic dry etching of the first step and the isotropic dry etching of the fourth step are performed with one apparatus using the apparatus of Example 1, the apparatus operating rate is improved and the fab interior is increased. The number of devices can be reduced by half.
  • DESCRIPTION OF SYMBOLS 105 ... Gas inlet, 106-1 ... Upper area

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

一台の装置でラジカル照射のステップとイオン照射のステップの両方を実現でき、かつ、イオン照射のエネルギーを数10eVから数KeVまで制御できるプラズマ処理装置を提供する。 誘導結合プラズマを生成する機構(125、126,131、132)と、減圧処理室を上部領域106-1及び下部領域106-2に分け、且つイオンを遮蔽するための多孔板116と、プラズマ生成領域として上部領域106-1と下部領域106-2とを切換えるスイッチ133と、を有する。

Description

プラズマ処理装置およびそれを用いたプラズマ処理方法
 本発明は、プラズマ処理装置およびそれを用いたプラズマ処理方法に関する。
 ドライエッチング装置において、イオンとラジカルの両方を照射する機能とイオンを遮蔽してラジカルのみを照射するための機能の両方を有するドライエッチング装置は、例えば特許文献1(特開2015-50362号公報)に開示されている。特許文献1に開示の装置(ICP+CCP)では、ヘリカルコイルに高周波電力を供給することで誘導結合プラズマを発生させることができる。
 さらに、この誘導結合プラズマと試料の間に接地された金属製の多孔板を挿入することでイオンを遮蔽して、ラジカルのみを照射することができる。また、この装置では、試料に高周波電力を印加することで、金属製の多孔板と試料の間に容量結合プラズマを生成することができる。ヘリカルコイルに供給する電力と試料に供給する電力の割合を調整することで、ラジカルとイオンの比率を調整することができる。
 また、特許文献2(特開昭62-14429号公報)に開示されたドライエッチング装置では、ソレノイドコイルによって発生された磁場と2.45GHzのマイクロ波の電子サイクロトロン共鳴(ECR)現象を利用して、プラズマを発生させることができる(ECRプラズマ)。さらに、試料に高周波電力を印加することで、DCバイアス電圧を発生させ、このDCバイアス電圧でイオンを加速して、ウエハに照射することができる。
 また、特許文献3(特開平4-180621号公報)に記載された中性ビームエッチング装置では、特許文献2と同様にECRプラズマを発生させることができる。さらに、プラズマ生成部と試料の間に電圧を印加した金属製の多孔板を挿入することで、イオンを遮蔽して電荷を帯びていないラジカルなどの中性粒子のみを試料に照射することができる。
 また、特許文献4(特開平5-234947号公報)のマイクロ波プラズマを用いたドライエッチング装置では、供給するマイクロ波の電力により、石英窓付近にプラズマを生成することができる。さらに、このプラズマと試料の間に多孔板を挿入することによって、イオンを遮蔽してラジカルを供給することができる。
特開2015-50362号公報 特開昭62-14429号公報 特開平4-180621号公報 特開平5-234947号公報
 近年、半導体デバイス加工の高精度化に伴って、ドライエッチング装置には、イオンとラジカルの両方を照射して加工を行う機能と、ラジカルのみを照射して加工を行う機能の両方が必要になりつつある。 例えば、エッチング深さを高精度に制御する原子層エッチングでは、ラジカルのみを試料に照射する第一ステップとイオンを試料に照射する第二ステップを交互に繰り返してエッチング深さを制御する方法が検討されている。この加工では、第一ステップで試料表面にラジカルを吸着させた後、ステップ2で希ガスのイオンを照射して試料表面に吸着したラジカルを活性化させることでエッチング反応を生じさせて、エッチング深さを高精度に制御するものである。
 この処理を、従来の方法でこの原子層エッチングを実施する場合は、(1)特許文献3や特許文献4などに記載のラジカルのみを試料に照射することのできる装置と、(2)特許文献2などに記載されているようにプラズマ中のイオンを加速して試料に照射することのできる装置の二つの装置の間を交互に真空搬送で移動させて処理することが必要となること、したがって、この方法による原子層エッチングではスループットが大幅に低下することが問題となる。そのため、一台のドライエッチング装置で、ラジカルのみを試料に照射する第一ステップとイオンを試料に照射する第二ステップの両方を行うことが望ましい。
 また、例えばシリコンの等方加工では、イオンとラジカルの両方を照射して、シリコン表面の自然酸化膜を除去してから、ラジカルのみを照射してシリコンの等方エッチングを行う必要がある。このような加工では、自然酸化膜の除去に要する時間が数秒と短いため、自然酸化膜除去とシリコンの等方エッチングを別々の装置で処理するとスループットが大幅に低下してしまう。そのため、一台のドライエッチング装置で、イオンとラジカルの両方を照射する自然酸化膜除去と、ラジカルのみによるシリコンの等方エッチングの両方を行うことが望ましい。
 また、例えば、少量多品種生産の中規模のファブでは、一台のエッチング装置で複数の工程を行うため、イオンとラジカルの両方を照射する異方性エッチングとラジカルのみを照射する等方エッチングの両方の機能を有することで装置コストを大幅に低減できる。
 以上のように半導体デバイス加工で用いられるドライエッチング装置には、イオンとラジカルの両方を照射して加工を行う機能と、ラジカルのみを照射して加工を行う機能の両方が求められるようになっている。
 特許文献1の装置は、この要求に答えることができる装置であると思われた。即ち、第一ステップのラジカル照射では、ヘリカルコイルに高周波電力を供給して誘導結合プラズマを発生させ、一方、試料には高周波電圧を印加しないようにする。これにより、試料には、誘導結合プラズマからラジカルのみが供給される。また、第二ステップのイオン照射では、試料に高周波電圧を印加して、金属製の多孔板と試料の間に容量結合プラズマを生成させ、試料にイオンを照射する。 しかし、この方法で容量結合プラズマを生成して試料にイオンを照射するためには、数KeVオーダの大きな高周波電圧を試料に印加する必要がある。このため、数10eVの低エネルギーのイオン照射を必要とする高選択加工には適用できないとの問題の有ることが判明した。
 また、使用できる圧力域が数100Pa程度と高く、低圧力の処理を必要とする微細加工には適していないことが判明した。
 そこで、本発明の目的は、一台の装置でラジカル照射のステップとイオン照射のステップの両方を実現でき、かつ、イオン照射のエネルギーを数10eVから数KeVまで制御できるプラズマ処理装置およびそれを用いたプラズマ処理方法を提供することにある。
 上記目的を達成するための一実施形態として、試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するプラズマ生成機構と、前記試料が載置される試料台とを備えるプラズマ処理装置において、前記プラズマ中のイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板と、前記遮蔽板の上方にプラズマを生成する第一の期間と前記遮蔽板の下方にプラズマを生成する第二の期間が切換えられながらプラズマ処理される制御を行う制御装置とをさらに備えることを特徴とするプラズマ処理装置とする。
 また、試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するための高周波電力を供給する高周波電源と、前記試料が載置される試料台とを備えるプラズマ処理装置において、前記プラズマより生成されたイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板と、前記遮蔽板の上方にプラズマを生成させる一方の制御または前記遮蔽板の下方にプラズマを生成させる他方の制御が選択的に行われる制御装置と、をさらに備えることを特徴とするプラズマ処理装置とする。
 また、試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するプラズマ生成機構と、前記試料が載置される試料台と、前記プラズマ中のイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板とを備えるプラズマ処理装置を用いて前記試料をプラズマ処理するプラズマ処理方法において、前記遮蔽板の下方に生成されたプラズマを用いて前記試料をプラズマ処理する第一の工程と、前記第一の工程後、前記遮蔽板の上方に生成されたプラズマを用いて前記第一の工程後の試料をプラズマ処理する第二の工程とを有することを特徴とするプラズマ処理方法とする。
 また、孔または溝の側壁に形成されたパターンに埋め込まれた膜の前記パターン以外の部分をプラズマエッチングにより除去するプラズマ処理方法において、前記孔または溝の底面の前記膜を除去した後、前記孔または溝の深さ方向に垂直な方向の前記膜を除去することを特徴とするプラズマ処理方法とする。
 本発明によれば、一台の装置でラジカル照射のステップとイオン照射のステップの両方を実現でき、かつ、イオン照射のエネルギーを数10eVから数KeVまで制御できるプラズマ処理装置およびそれを用いたプラズマ処理方法を提供することができる。
本発明の第1の実施例に係るプラズマ処理装置の概略全体構成断面図である。 本発明の第2の実施例に係るプラズマ処理装置の概略全体構成断面図である。 STI(Shallow Trench Isolation)エッチバック前の試料の断面形状を示す図である。 本発明の第3の実施例に係るプラズマ処理方法を、図1に示すプラズマ処理装置を用いてSTIエッチバックに適用した場合の試料の断面形状の一例を示す図である。 従来の装置を用いてSTIエッチバックを行った場合の試料の断面形状の一例を示す図である。 従来の他の装置を用いてSTIエッチバックを行った後の試料の断面形状の一例を示す図である。 図1に示すECRプラズマ処理装置における磁力線の様子を説明するための装置断面図である。 図1に示すECRプラズマ処理装置における多孔板の孔配置の例を示す平面図である。 図1に示すECRプラズマ処理装置における多孔板の孔配置の他の例を示す平面図である。 図17に示すECRプラズマ処理装置において、フロロカーボンのラジカル起因堆積物分布への遮蔽板の有無の効果を説明するための図で、試料半径位置に対する堆積物のデポ速度の関係を示す。 図18に示すECRプラズマ処理装置において、フロロカーボンのラジカル起因堆積物分布を説明するための図で、試料半径位置に対する堆積物のデポ速度の関係を示す。 3次元構造のNANDフラッシュメモリの製造工程の一部を示す素子断面図であり、(a)はシリコン窒化膜とシリコン酸化膜との積層膜が加工された状態、(b)はシリコン窒化膜が除去され串歯状のシリコン酸化膜が形成された状態、(c)は串歯状のシリコン酸化膜を覆ってタングステン膜が形成された状態、(d)は串歯状のシリコン膜の間にタングステン膜が残るようにタングステン膜が除去された状態を示す。 図11(c)に示す構造において、等方性エッチングによるタングステン除去工程後の加工形状の一例を示す断面図である。 図11(c)に示す構造において、溝底部のタングステンの除去工程の後、等方性エッチングによるタングステン除去工程を行った後の加工形状の一例を示す断面図である。 図12に示す構造において、処理中の溝内のラジカル濃度分布を説明するための図であり、溝底面からの距離に対するFラジカル濃度の関係を示す。 図11(c)に示す構造において、処理中の溝内のラジカル濃度分布を説明するための図であり、溝底面からの距離に対するFラジカル濃度の関係を示す。 本発明の第5の実施例に係る遮蔽板の形状を示す。 本発明の第5の実施例に係るプラズマ処理装置の概略全体構成断面図である。 本発明の第6の実施例に係るプラズマ処理装置の概略全体構成断面図である。 本発明の第6の実施例の多孔板の拡大図である。 本発明の第7の実施例のメタルゲート形成プロセスフローである。
 以下、本発明を実施例により説明する。
 本発明の第1の実施例に係るプラズマ処理装置の概略全体構成断面図を図1に示す。本実施例の装置では、特許文献2と同様、マグネトロン113から誘電体窓117を介して減圧処理室106(上部領域106-1、下部領域106-2)に供給される2.45GHzのマイクロ波と、ソレノイドコイル114の作る磁場とのECR共鳴によって、プラズマを生成できる構造になっている。また、試料台120に載置した試料121に整合器122を介して高周波電源123が接続されているのも、特許文献2と同じである。
 また、本プラズマ処理装置では、誘電体製の多孔板116が減圧処理室106の中を、減圧処理室上部領域106-1と減圧処理室下部領域106-2とに分割していることが特許文献2と大きく異なる点である。この特徴のため、遮蔽版である多孔板116の誘電体窓側の減圧処理室上部領域106-1でプラズマを生成することができれば、イオンが遮蔽されてラジカルのみを試料に照射することができる。本実施例で用いたECRプラズマ処理装置では、特許文献4に記載のマイクロ波プラズマ処理装置とは異なり、ECR面と呼ばれる磁場強度875Gaussの面付近でプラズマが生成される特徴がある。
 このため、ECR面が多孔板116と誘電体窓117の間(減圧処理室上部領域106-1)になるように磁場を調整すれば、多孔板116の誘電体窓側でプラズマを生成でき、発生したイオンは多孔板116をほとんど通過することができないことから、ラジカルのみを試料121に照射することができる。また、本実施例では、特許文献3に示された装置とは異なり、多孔板116が誘電体でできている。多孔板116が金属ではないため、マイクロ波が多孔板116より試料側まで伝播することができる。
 したがって、ECR面が多孔板116と試料121の間(減圧処理室下部領域106-2)になるよう磁場を調整すれば、多孔板116より試料側でプラズマが生成されるため、イオンとラジカルの両方を試料に照射できる。また、この方式では、特許文献1の容量結合プラズマと異なり、高周波電源123から試料台へ供給する電力を調整すれば、イオン照射のエネルギーを数10eVから数KeVまで制御できる。なお、多孔板の高さ位置に対するECR面の高さ位置の調整或いは切換え(上方か下方か)、それぞれの高さ位置を保持する期間等は制御装置(図示せず)を用いて行うことができる。符号124はポンプを示す。
 また、この方式で安定したプラズマを維持するには、プラズマが生成される空間の幅がプラズマを維持するのに十分な大きさを有する必要がある。多孔板116と誘電体窓117の間および多孔板116と試料121の間の距離を実験的に変えて、プラズマの生成を調べた結果、これらの間隔を40mm以上にしておけば安定なプラズマを形成することができることがわかった。
 以上のように、磁場とマイクロ波のECR共鳴でプラズマを形成するドライエッチング装置等のプラズマ処理装置において、試料と誘電体窓の間に誘電体製の多孔板を配置して、ECR面の位置を上下に移動させることにより、一台の装置でラジカル照射とイオン照射のステップを実現することができる。更に、高周波電源の試料台への供給電力を調整することにより、イオン照射のエネルギーを数10eVから数KeVまで制御できる。
 これにより、広いエッチング領域と狭いエッチング領域が混在するような試料であっても、1台の装置で、マイクロローディング効果を抑制し所望の深さまで均一にエッチングすることができる。誘電体製の多孔板の材質としては、石英、アルミナ、イットリアなどの誘電損失の少ない材料が望ましい。
 本発明の第2の実施例に係るプラズマ処理装置の概略全体構成断面図を図2に示す。本実施例の装置では、特許文献1と同様にヘリカルコイル131に整合器125を介して高周波電源126から高周波電力を供給することで誘導結合プラズマを発生させることができる。さらに、この誘導結合プラズマと試料の間に接地された金属製の多孔板116が挿入されている点や試料台120に載置した試料121に整合器122を介して高周波電源123が接続されている点も、特許文献1と同じである。なお、多孔板116は金属に限定されるものではなく、導体であれば用いることができる。
 一方、この装置では、特許文献1と違い、金属製の多孔板116より試料側(減圧処理室下部領域106-2)でも誘導結合プラズマを形成できるようにするため、金属製の多孔板116と試料121の間の高さに、別のヘリカルコイル132を有している。ヘリカルコイル131とヘリカルコイル132のいずれに高周波電力を供給するかをスイッチ133によって切換ることができるようになっている。ヘリカルコイル131に高周波電力を供給した場合は、多孔板116の天板側(減圧処理室上部領域106-1)でプラズマが生成されるため、イオンが多孔板116により遮蔽されてラジカルのみが試料121に照射される。
 また、ヘリカルコイル132に高周波電力を供給した場合は、多孔板116より試料側(減圧処理室下部領域106-2)でプラズマが生成されるため、イオンを試料121に照射できる。なお、スイッチ133によるヘリカルコイルの切換え(多孔板より上方のヘリカルコイルと下方のヘリカルコイルの切換え)、切換えまでのそれぞれの期間等は制御装置(図示せず)を用いて行うことができる。
 また、この方式では多孔板116より試料側に誘導結合プラズマを生成できるため、高周波電源123から供給する電力を調整すれば、イオン照射のエネルギーを数10eVから数KeVまで制御できる。低エネルギーから高エネルギーまで制御できることが特許文献1と異なっている点である。
 また、この方式でも、多孔板116と天板134の間および多孔板116と試料121の間の距離をデバイ長より一桁以上大きい例えば5mm以上にしておけば安定なプラズマを形成することができる。
 以上のように、ヘリカルコイルに高周波電力を供給して誘導結合プラズマ生成する方式のドライエッチング装置において、試料121と天板134の間に金属製の多孔板116を配置しており、かつ、金属製の多孔板116の天板側(減圧処理室上部領域106-1)および金属製の多孔板116の試料側(減圧処理室下部領域106-2)に別のヘリカルコイル131、132を有しており、かつ、二つのヘリカルコイルへ高周波電力の供給を切換る機構を有していれば、一台の装置でラジカル照射とイオン照射のステップを実現することができる。更に、高周波電源の試料台への供給電力を調整することにより、イオン照射のエネルギーを数10eVから数KeVまで制御できる。
 これにより、広いエッチング領域と狭いエッチング領域が混在するような試料であっても、1台の装置で、マイクロローディング効果を抑制し所望の深さまで均一にエッチングすることができる。金属製の多孔板116の材質としては、アルミニウム、銅、ステンレスなどの導電率の高い材料が望ましい。また、金属製の多孔板をアルミナなどの誘電体で被服したものでもよい。
 本発明の第3の実施例に係るプラズマ処理方法について、実施例1に記載のプラズマ処理装置を用いて、STI(Shallow Trench Isolation)のエッチバック工程を例に説明する。この工程では、例えば図3に示すように深さ200nmのシリコン(Si)200の溝にシリコン酸化膜(SiO) 202が埋め込まれた構造の試料を加工して、SiO 202のみを20nmだけエッチングする。この加工を行うため、フロロカーボンガスのラジカル照射(第一ステップ)と希ガスのイオン照射(第二ステップ)を交互に行う原子層エッチングを行った。
 第一ステップでは、ガス導入口105からフロロカーボンガスを供給しつつ、ECR面が多孔板116と誘電体窓117の間(減圧処理室上部領域106-1)に入る磁場条件でプラズマを生成し、発生したイオンを多孔板116で取り除くことで、フロロカーボンガスのラジカルのみを試料に吸着させる。このとき、試料には高周波電源123からの高周波電力を印加しない。
 次に、第二ステップでは、ガス導入口105から希ガスを供給しつつ、ECR面が多孔板116と試料の間(減圧処理室下部領域106-2)に入る磁場条件でプラズマを生成する。さらに、試料に30Wの高周波電力を印加することで、30eVのエネルギーを持つイオンのみを試料に照射して、Siに対してSiOを選択的にエッチングする。なお、試料に印加する高周波電力を調整することにより、イオンの持つエネルギーを制御することができる。
 第一ステップと第二ステップを交互に50回繰り返すことで、20nmエッチングすることができる。この方法で加工された試料の断面形状を図4に示す。Si 200の溝の中に埋め込まれたSiO 202が正確に20nmエッチングされていることがわかる。
 比較のため、特許文献1に記載の装置を用いて、同様の原子層エッチングを行った。具体的には、第一ステップでは、ガス導入口からフロロカーボンガスを供給しつつ、ヘリカルコイルに高周波電力を供給して誘導結合プラズマを発生させる。また、試料には高周波電圧を印加しないようにする。これにより、試料には、誘導結合プラズマからフロロカーボンガスのラジカルのみが照射される。また、第二ステップでは、ガス導入口から希ガスを供給しつつ、試料に1kWの高周波電力を印加して、金属製の多孔板と試料の間に容量結合プラズマを生成させ、試料に希ガスのイオンを照射する。
 第一ステップと第二ステップを交互に50回繰り返した後の試料の加工断面形状を図5に示す。Si 200の溝の中に埋め込まれたSiO 202は正確に20nmエッチングされていることがわかる。一方、Si 200もほぼ20nmエッチングされており、選択性が低い問題があることがわかる。即ち、容量結合プラズマを生成するために試料に印加した1kWの高周波電力により、イオンが加速されSiまでもエッチングしてしまう。試料に印加する高周波電力を下げると容量結合プラズマが生成されないため、イオンの加速エネルギーを制御することは困難である。
 さらに、特許文献2に示す装置を用いて、同様の原子層エッチングを行った。具体的には、第一ステップでは、ECRプラズマを生成させつつ、ガス導入口からフロロカーボンガスを供給した。また、試料には高周波電圧を印加しないようにした。これにより、試料には、誘導結合プラズマからフロロカーボンガスのラジカルとイオンが照射される。また、第二ステップでは、ECRプラズマを生成させつつ、ガス導入口から希ガスを供給した。さらに、試料に30Wの高周波電力を印加することで、30eVのエネルギーを持つイオンのみを試料に照射して、Si 200に対してSiO 202を選択的にエッチングする。
 第一ステップと第二ステップを交互に50回繰り返した後の試料の加工断面形状を図6に示す。Si 200の溝の幅の広い部分では、埋め込まれたSiO 202は50nm程度エッチングされており、エッチング深さの制御精度が低いことがわかる。一方、Si200の溝の幅の狭い部分では、SiO 202が15nm程度しかエッチングされておらず、疎密差も大きい(マイクロローディング効果)ことがわかる。
 以上のように、実施例1の装置で用いて、フロロカーボンガスのラジカル照射と希ガスのイオンの照射を交互に繰り返すことにより、試料を搬送せずに両ステップを同一装置内で実現できるため、高選択かつ高精度のSTIのエッチバックを高スループットで実現できる。更に、高周波電源の試料台への供給電力を調整することにより、イオン照射のエネルギーを数10eVから数KeVまで制御できる。これにより、広いエッチング領域と狭いエッチング領域が混在するような試料であっても、1台の装置で、マイクロローディング効果を抑制し所望の深さまで均一にエッチングすることができる。本実施例のフロロカーボンガスとしては、C、C、Cなどを用いることができる。また、希ガスとしては、He、Ar、Kr、Xeなどを用いることができる。
 本実施例では、実施例1の装置に関して、多孔板の孔の配置が、イオンを遮蔽する性能に与える影響について説明する。
 まず、イオン遮蔽効果について説明する。磁場のあるプラズマ中ではイオンが磁力線に沿って移動することが知られている。図7は、図1に示すプラズマ処理装置における磁力線140の様子を説明するための装置断面図である。ECRプラズマの場合は、図7に示すように磁力線140が縦に走っており、さらに試料に近づくに従って、磁力線の間隔が広がっている。
 したがって、図8に示すように孔150を均等に配置した多孔板116の場合、中央付近の孔を通過したイオンは磁力線140に沿って、試料121に入射してしまう。一方、図9に示すように多孔板116の中央部の試料直径に相当する範囲151に孔のない構造のもの(ラジカル遮蔽領域)を作成すれば、多孔板の誘電体窓側(減圧処理室上部領域106-1)で生成されたイオンの試料への入射を完全に遮蔽することができる。なお、孔150の直径としては、1~2cmφが好適である。
 この効果を確認するため、多孔板がない場合、図8に示す多孔板を設置した場合、図9に示す多孔板を設置した場合の3つの場合について、ECR面が多孔板116と誘電体窓の間に入る磁場条件にて、希ガスのプラズマを生成させて試料に入射するイオン電流密度を計測した。その結果、イオン電流密度は、多孔板がない場合に2mA/cmであったのに対して、図8の多孔板の場合は0.5mA/cm、図9の多孔板の場合は測定限界の0.02mA/cm以下に減少した。すなわち、中央部の試料直径に相当する範囲151に孔のない構造の多孔板を用いることで、試料へのイオン入射を大幅に低減できることが確認できた。
 本実施例では、実施例1の装置に関して、多孔板がラジカル分布に与える影響について説明する。図9のような中央部付近に孔のない多孔板を用いた場合、多孔板の外周の孔から供給されるため、試料近傍ではラジカル分布が外周高になりやすい傾向がある。この問題を解決するため、図9の多孔板の試料側に図16のような中央部に穴のあいたドーナッツ状の第二の遮蔽板118を設置する方法を検討した。これにより、図17の断面図に示すように多孔板116と第二の遮蔽板118の間から中心に向かうガス流119ができ、ラジカルが試料の中央部付近にも供給されるようになる。
 この効果を検証するため、図9の多孔板のみの場合と、図9の多孔板と図16の第二の遮蔽板を組み合わせた場合の二つについて、ECR面が多孔板116と誘電体窓117の間に入る磁場条件にて、フロロカーボンガスのプラズマを生成させて、フロロカーボンのラジカルに起因する堆積膜の膜厚の試料上の分布を計測した。その結果を図10Aに示す。図9の多孔板のみの場合は外高な膜厚分布であるのに対して、図9の多孔板と図16の第二の遮蔽板を組み合わせた場合は、均一な膜厚分布が得られた。すなわち、図9の多孔板と図16の第二の遮蔽板を組み合わせることで均一なラジカル分布が得られることが確認できた。
 本実施例では、中央部の試料直径に相当する範囲に孔のない構造の多孔板を用いたが、この領域の孔の密度や孔径をそれ以外の領域より小さくした多孔板でも同様の効果が得られる。また、多孔板と試料の間の距離や磁場条件にも依存するが、孔の少ない領域の径は試料直径より30%程度小さくすることができる。
 また、この効果が得られるためには、多孔板の孔のない領域の直径よりも第二の遮蔽板の中央の穴の直径は小さい必要がある。第二の遮蔽板は、石英やアルミナなどの誘電体製の他、金属製のものであってもよい。また、第二の遮蔽板は、板である必要はなく、例えば中央部に穴の開いたブロック状のものでもよい。
 本実施例では、実施例1の装置の多孔板の孔の開け方を改良することで、イオンの遮蔽性とラジカルの均一性を両立する方法を検討した。中央部にもラジカルを供給するためには、図8の多孔板のように中央部付近にも孔を開ける必要がある。一方で、イオンは磁力線140に沿って移動するため、中央付近の孔を通過したイオンは試料121に入射してしまう。
 そこで、発明者らは、図18の断面図のように、多孔板に斜めの孔をあけること方法を検討した。図18に示すようにマイクロ波ECRプラズマでは、試料に近づけば近づくほど磁力線140の間隔が広がる方向に磁力線が傾いている。図18の装置では、磁力線の傾きとは逆方向に穴を傾けている。すなわち、試料側の孔の間隔が狭くなる方向に孔を傾けていることが特徴となっている。
 この場合、図19の拡大図のように孔の方向と、磁力線140の方向が異なっているため、イオン127は多孔板の孔を通過できず、結果的に試料121に入射するイオンの量を大幅に低減できる。一方で、ラジカルは磁力線とは無関係に等方的に拡散できるため、多孔板の斜めの孔を通過して試料に到達することでできるため、中央部付近の孔からもラジカルが供給できるようになる。この効果を確認するため、図18の構成で試料上のイオン電流密度を計測した。その結果、イオン電流密度は、垂直な孔を開けた多孔板の場合の0.5mA/cmから、測定限界の0.02mA/cm以下に減少した。
 次に、実施例5の方法で、堆積膜の試料上の分布を計測した。その結果を図10Bに示す。中央部付近にも孔を開けたことによって均一な膜厚分布が得られた。すなわち、多孔板の中央部付近に斜めの孔を開けることによって、高いイオン遮蔽性と均一なラジカル分布を両立できることを確認できた。
 多孔板の斜めの孔の角度に関しては、多孔板の垂直方向からみて、孔の入り口から出口が見通せない角度になっていることが望ましい。また、孔を傾ける方向は、必ずしも中心軸方向である必要はなく、回転方向に傾いていてもよい。また、本実施例では、多孔板の全体に斜めの孔を開けたが、試料直径より大きい部分の孔に関しては、垂直に開けても同様の効果が得られる。
 本実施例では、実施例1の装置を用いて公知の三次元NAND(3DNAND)メモリの製造工程の一部へ適用する場合について説明する。図11(a)は、シリコン窒化膜201とシリコン酸化膜202を交互に積層した積層膜に複数のホールを形成しそれらの内部を充填した後、溝203が形成された状態を示す。この構造を有する試料からシリコン窒化膜201を除去して図11(b)に示すように櫛歯状のシリコン酸化膜202を形成する。
 この櫛歯状のシリコン酸化膜202の間を埋めシリコン酸化膜を覆うようにCVDでタングステン204を形成し、図11(c)に示す構造とする。さらに、タングステン204を横方向にエッチングすることで、図11(d)に示すようにシリコン酸化膜202とタングステン204が交互に積層され、かつ、各タングステン204の層が電気的に分離された構造を作成する。このうち、図11(d)に示す構造を作成する工程では、深い溝内のタングステン204を横方向に均一にエッチングすることが求められる。
 このような深溝の中のタングステン204を横方向に均一にエッチングするための方法としては、例えばタングステンを等方的にエッチングすることのできるフッ素を含有ガスとフロロカーボンなどの堆積性のガスを混合したガスのプラズマで処理することが考えられる。
 そこで、実施例1の装置で、フッ素含有ガスとフロロカーボンの混合ガスのプラズマを生成させて、図11(c)の構造の試料を処理した。等方性のエッチングを実現するため、ECR面が多孔板116と誘電体窓の間に入る磁場条件でプラズマを生成して、フッ素とフロロカーボンガスのラジカルのみを試料に照射した。このとき、試料には高周波電力を印加しないまま処理した。その結果を図12に示す。溝上部207、溝中央部208では、均一にタングステン204が除去されているが、溝底部209ではタングステン204がエッチングされないまま残っており、タングステン204の各層同士が電気的に短絡される問題が発生することがわかった。
 次に、この原因について説明する。図14は、溝底面(溝底タングステン表面)からの距離に対するFラジカル濃度の関係を示す。図14から分かるように、溝底部209(溝底面からの距離が0付近)では、フッ素ラジカル濃度が急激に減少することがわかった。この減少の原因は、溝底タングステン表面210のエッチングによってフッ素ラジカルが消費されてしまうためと推定された。
 この問題を解決するため、異方性のエッチングで溝底のタングステンを一旦除去した後に、等方的に側面のタングステン204を除去する2ステップの加工方法を検討した。異方性エッチングステップに関しては、ECR面が多孔板116と試料121の間に入る磁場条件でプラズマを生成して、試料に高周波電力を印加することで、イオンを垂直に試料に入射させて、溝底のタングステン204を除去した。なお、高周波電源の試料台への供給電力を調整することにより、イオン照射のエネルギーを数10eVから数KeVまで制御できる。
 次に、等方性のエッチングに関しては、ECR面が多孔板116と誘電体窓117の間に入る磁場条件でプラズマを生成して、試料に高周波バイアスを印加せずに処理した。その結果、等方性のエッチングのステップにおいては、図15に示すように溝底部209の付近でフッ素ラジカル濃度が急激に減少する現象が見られなくなった。
 この2ステップの処理を行った場合の加工断面形状を図13に示す。この方法によって、底面まで均一にタングステン204が除去されることが確認された。
 本実施例のフッ素含有ガスとしては、SF,NF,XeF、SiFなどを用いることができる。また、本実施例のフロロカーボンガスとしては、C、C、Cなどを用いることができる。また、本実施例では溝203を用いたが、孔とすることもできる。
 また、本実施例では、実施例1の装置を用いたが、一台の装置でラジカル照射とイオン照射のステップを実現できる装置であれば、実施例2の装置を用いても同様の効果が得られる。
 本実施例では、実施例1の装置によって複数の工程の処理を行うことで、装置コストを減らした例を説明する。ゲートラストと呼ばれるMOSトランジスターのメタルゲート形成工程の一部を図20に示す。まず第1の工程では、シリコン基板(301)とSiO2 (302)上に成膜されたシリコン膜をマスク(304)に沿って異方性のドライエッチングすることによって、シリコンのダミーゲート(303)を作成する。
 次に、第2の工程で不純物を注入することで、ソース(305)およびドレイン(306)を形成する。第3の工程ではCVD(chemical vapor deposition)でSiO2(302)を成膜した後、第4の工程で、余分な表面のSiO2(302)をCMP(Chemical Mechanical Polishing)で研磨する。その後、第5の工程でシリコンの等方性ドライエッチングによって、シリコンのダミーゲート(303)を除去する。さらに、第6の工程で実際のゲートとなるメタル(307)を成膜した後、第7の工程でCMPによって余分なメタルを除去して、メタルゲート(308)を形成する。
 このプロセスでは、第1の工程にシリコンの異方性ドライエッチングの工程が存在し、第4の工程にはシリコンの等方性ドライエッチングの工程が存在する。したがって、通常は、シリコンの異方性ドライエッチング装置と等方性ドライエッチング装置がそれぞれ1台以上必要となる。そのため、生産量の少ない少量多品種のファブでは、稼働率の低い2種類のドライエッチング装置を保有する必要があり、装置コストが問題となる。
 実施例1の装置を用いて、第1の工程の異方性ドライエッチングと第4の工程の等方性ドライエッチングを1台の装置で行えば、装置稼働率が向上するとともに、ファブ内の装置台数を半分に減らすことができる。
 本実施例では、MOSトランジスターのメタルゲート形成工程に実施例1の装置を適用した例を説明したが、他の製造工程であっても、異方性ドライエッチングと等方性ドライエッチングの両方が存在すれば、実施例1の装置で両方の工程を処理することによって、同様の効果が得られる。
105…ガス導入口、106-1…減圧処理室106の上部領域、106-2…減圧処理室106の下部領域、113…マグネトロン、114…コイル、116…多孔板、117…誘電体製の窓、118…第二の遮蔽版、119…ガス流、120…試料台、121…試料、122…整合器、123…高周波電源、124…ポンプ、125…整合器、126…高周波電源、127…イオン、131…ヘリカルコイル、132…ヘリカルコイル、133…切換スイッチ、134…天板、140…磁力線、150…孔、151…孔が設けられていない中央領域(ラジカル遮蔽領域)、200…シリコン、201…シリコン窒化膜、202…シリコン酸化膜、203…溝、204…タングステン、207…溝上部、208…溝中央部、209…溝底部、210…溝底タングステン表面、301…基板シリコン、302…SiO2、303…ダミーゲート、304…マスク、305…ソース、306…ドレイン、307…メタル、308…メタルゲート

Claims (14)

  1. 試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するための高周波電力を供給する高周波電源と、前記試料が載置される試料台とを備えるプラズマ処理装置において、
    前記プラズマより生成されたイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板と、
    前記遮蔽板の上方にプラズマを生成させる一方の制御または前記遮蔽板の下方にプラズマを生成させる他方の制御が選択的に行われる制御装置と、をさらに備えることを特徴とするプラズマ処理装置。
  2. 請求項1に記載のプラズマ処理装置において、
    前記処理室内に磁場を生成する磁場生成手段をさらに備え、
    前記高周波電源は、マイクロ波の高周波電力を前記処理室内に供給することを特徴とするプラズマ処理装置。
  3. 請求項1に記載のプラズマ処理装置において、
    誘導磁場により前記遮蔽版の上方にプラズマを生成させるための第一の誘導コイルと、
    誘導磁場により前記遮蔽版の下方にプラズマを生成させるための第二の誘導コイルと、をさらに備えることを特徴とするプラズマ処理装置。
  4. 請求項2に記載のプラズマ処理装置において、
    前記遮蔽板の材質は、誘電体であることを特徴とするプラズマ処理装置。
  5. 請求項3に記載のプラズマ処理装置において、
    前記遮蔽板の材質は、導体であることを特徴とするプラズマ処理装置。
  6. 試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するための高周波電力を供給する高周波電源と、前記試料が載置される試料台とを備えるプラズマ処理装置において、
    前記プラズマより生成されたイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板と、
    前記遮蔽板の上方にプラズマを生成させる第一の期間と前記遮蔽板の下方にプラズマを生成させる第二の期間が切り替えられながらプラズマ処理される制御が行われる制御装置と、をさらに備えることを特徴とするプラズマ処理装置。
  7. 請求項1または請求項6に記載のプラズマ処理装置において、
    前記遮蔽版は、第一の遮蔽版と、前記第一の遮蔽版と対向する第二の遮蔽版と、を具備し、
    前記第一の遮蔽版の開口部と対向する前記第二の遮蔽版の箇所に開口部が配置されていないことを特徴とするプラズマ処理装置。
  8. 請求項1または請求項6に記載のプラズマ処理装置において、
    前記処理内に磁場を生成する磁場生成手段をさらに備え、
    前記遮蔽版は、ラジカルが前記試料台へ供給されるための孔を具備し、
    前記処理室の垂直方向に対する前記孔の傾き方向は、前記処理室の垂直方向に対する前記磁場の傾き方向と逆であることを特徴とするプラズマ処理装置。
  9. 試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するための高周波電力を供給する高周波電源と、前記試料が載置される試料台と、前記プラズマより生成されたイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板とを備えるプラズマ処理装置を用いて前記試料をプラズマ処理するプラズマ処理方法において、
    前記遮蔽板の上方にプラズマを生成する一方の制御または前記遮蔽板の下方にプラズマを生成する他方の制御を選択的に行うことを特徴とするプラズマ処理方法。
  10. 請求項9に記載のプラズマ処理方法において、
    前記プラズマは、マイクロ波電子サイクロトロン共鳴型プラズマであり、
    前記マイクロ波と電子サイクロトロン共鳴するための磁束密度の位置を制御することにより前記遮蔽板の上方にプラズマを生成するまたは前記遮蔽板の下方にプラズマを生成することを特徴とするプラズマ処理方法。
  11. 試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するための高周波電力を供給する高周波電源と、前記試料が載置される試料台と、前記プラズマより生成されたイオンの前記試料台への入射を遮蔽し前記試料台の上方に配置された遮蔽板とを備えるプラズマ処理装置を用いて前記試料をプラズマ処理するプラズマ処理方法において、
    前記遮蔽板の上方にプラズマを生成する第一の期間と前記遮蔽板の下方にプラズマを生成する第二の期間を切り替えながらプラズマ処理を行うことを特徴とするプラズマ処理方法。
  12. 請求項11に記載のプラズマ処理方法において、
    前記プラズマは、マイクロ波電子サイクロトロン共鳴型プラズマであり、
    前記マイクロ波と電子サイクロトロン共鳴するための磁束密度の位置を制御することにより前記遮蔽板の上方にプラズマを生成するまたは前記遮蔽板の下方にプラズマを生成することを特徴とするプラズマ処理方法。
  13. 孔または溝の側壁に形成されたパターンに埋め込まれた膜の前記パターン以外の部分をプラズマエッチングにより除去するプラズマ処理方法において、
    前記孔または溝の底面の前記膜を除去した後、前記孔または溝の深さ方向に垂直な方向の前記膜を除去することを特徴とするプラズマ処理方法。
  14. 請求項13に記載のプラズマ処理方法において、
    イオンアシストエッチングにより前記孔または底面の膜を除去し、
    ラジカルエッチングにより前記孔または溝の深さ方向に垂直な方向の膜を除去することを特徴とするプラズマ処理方法。
PCT/JP2016/063129 2015-05-22 2016-04-27 プラズマ処理装置およびそれを用いたプラズマ処理方法 WO2016190036A1 (ja)

Priority Applications (12)

Application Number Priority Date Filing Date Title
JP2017520579A JP6434617B2 (ja) 2015-05-22 2016-04-27 プラズマ処理装置およびそれを用いたプラズマ処理方法
KR1020207005933A KR102465801B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
KR1020197024746A KR102085044B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
US15/558,005 US20180047595A1 (en) 2015-05-22 2016-04-27 Plasma processing device and plasma processing method using same
KR1020177020668A KR102015891B1 (ko) 2015-05-22 2016-04-27 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
TW111107126A TWI818454B (zh) 2015-05-22 2016-05-19 電漿處理裝置及使用彼之電漿處理方法
TW107114742A TWI689227B (zh) 2015-05-22 2016-05-19 電漿處理裝置及使用彼之電漿處理方法
TW112120737A TW202339555A (zh) 2015-05-22 2016-05-19 電漿處理裝置及使用彼之電漿處理方法
TW105115521A TWI632833B (zh) 2015-05-22 2016-05-19 電漿處理裝置及使用彼之電漿處理方法
TW106123071A TWI669028B (zh) 2015-05-22 2016-05-19 電漿處理裝置及使用彼之電漿處理方法
TW109105889A TWI798531B (zh) 2015-05-22 2016-05-19 電漿處理裝置及使用彼之電漿處理方法
US18/113,846 US20230282491A1 (en) 2015-05-22 2023-02-24 Plasma processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-104115 2015-05-22
JP2015104115 2015-05-22

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/558,005 A-371-Of-International US20180047595A1 (en) 2015-05-22 2016-04-27 Plasma processing device and plasma processing method using same
US18/113,846 Division US20230282491A1 (en) 2015-05-22 2023-02-24 Plasma processing apparatus

Publications (1)

Publication Number Publication Date
WO2016190036A1 true WO2016190036A1 (ja) 2016-12-01

Family

ID=57392767

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2016/063129 WO2016190036A1 (ja) 2015-05-22 2016-04-27 プラズマ処理装置およびそれを用いたプラズマ処理方法

Country Status (5)

Country Link
US (2) US20180047595A1 (ja)
JP (3) JP6434617B2 (ja)
KR (3) KR102015891B1 (ja)
TW (6) TWI632833B (ja)
WO (1) WO2016190036A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2020113795A (ja) * 2017-11-28 2020-07-27 東京エレクトロン株式会社 エッチング方法およびエッチング装置
WO2020161879A1 (ja) * 2019-02-08 2020-08-13 株式会社 日立ハイテクノロジーズ ドライエッチング方法及びドライエッチング装置
WO2021130826A1 (ja) * 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理装置
JP2021132125A (ja) * 2020-02-20 2021-09-09 株式会社日立ハイテク プラズマ処理装置
WO2021214868A1 (ja) * 2020-04-21 2021-10-28 株式会社日立ハイテク プラズマ処理装置
WO2022168313A1 (ja) * 2021-02-08 2022-08-11 株式会社日立ハイテク プラズマ処理装置
WO2023170732A1 (ja) * 2022-03-07 2023-09-14 株式会社日立ハイテク プラズマ処理方法
WO2023209812A1 (ja) * 2022-04-26 2023-11-02 株式会社日立ハイテク プラズマ処理方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6434617B2 (ja) * 2015-05-22 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびそれを用いたプラズマ処理方法
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US11615946B2 (en) * 2018-07-31 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Baffle plate for controlling wafer uniformity and methods for making the same
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
CN110797245B (zh) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 一种半导体加工设备
US20230054742A1 (en) 2020-01-31 2023-02-23 Sumitomo Chemical Company, Limited Laminate
KR20210117157A (ko) * 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
JP7281433B2 (ja) * 2020-06-24 2023-05-25 株式会社日立ハイテク プラズマ処理装置
US11854770B2 (en) * 2021-01-14 2023-12-26 Applied Materials, Inc. Plasma processing with independent temperature control
US11328931B1 (en) * 2021-02-12 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
CN115735267A (zh) 2021-06-28 2023-03-03 株式会社日立高新技术 等离子处理装置以及等离子处理方法
KR20230014339A (ko) * 2021-07-21 2023-01-30 세메스 주식회사 기판 처리 방법 및 기판 처리 장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP2003229419A (ja) * 2002-12-16 2003-08-15 Hitachi Ltd 半導体集積回路装置の製造方法
JP2009117843A (ja) * 2007-11-08 2009-05-28 Samsung Electronics Co Ltd 垂直型半導体素子及びその製造方法
JP2011211200A (ja) * 2010-03-26 2011-10-20 Samsung Electronics Co Ltd 3次元半導体装置
JP2013214583A (ja) * 2012-04-02 2013-10-17 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2013251546A (ja) * 2012-06-04 2013-12-12 Psk Inc 基板処理装置及び方法
JP2014036034A (ja) * 2012-08-07 2014-02-24 Sharp Corp 不揮発性半導体記憶装置とその製造方法
JP2015019064A (ja) * 2013-07-08 2015-01-29 ラム リサーチ コーポレーションLam Research Corporation イオンビームエッチングシステム

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2603217B2 (ja) 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
JPH0642462B2 (ja) * 1988-09-07 1994-06-01 日電アネルバ株式会社 プラズマ処理装置
JPH02230729A (ja) * 1989-03-03 1990-09-13 Fujitsu Ltd 半導体製造装置
JPH03218018A (ja) * 1990-01-23 1991-09-25 Sony Corp バイアスecrcvd装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JPH04225226A (ja) * 1990-12-26 1992-08-14 Fujitsu Ltd プラズマ処理装置
JPH05234947A (ja) 1992-02-26 1993-09-10 Toshiba Corp マイクロ波プラズマエッチング装置
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
KR100768610B1 (ko) * 1998-12-11 2007-10-18 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
JP2002289588A (ja) * 2001-03-27 2002-10-04 Kawasaki Microelectronics Kk 金属膜のパターンニング方法
TW544805B (en) * 2002-06-27 2003-08-01 Applied Materials Inc High purity radical process system
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
WO2005104203A1 (ja) * 2004-03-31 2005-11-03 Fujitsu Limited 基板処理装置および半導体装置の製造方法
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
KR100927375B1 (ko) * 2007-09-04 2009-11-19 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기 조절 방법, 상기 배기 유닛을 포함하는 기판 처리 장치
TWI424796B (zh) * 2010-02-12 2014-01-21 Advanced Micro Fab Equip Inc Plasma processing device with diffusion dissociation region
US8187936B2 (en) * 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
TWI473163B (zh) * 2010-09-15 2015-02-11 Tokyo Electron Ltd A plasma etching processing apparatus, a plasma etching processing method, and a semiconductor device manufacturing method
JP5901887B2 (ja) * 2011-04-13 2016-04-13 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法及びプラズマ処理方法
JP5898882B2 (ja) * 2011-08-15 2016-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR20130049364A (ko) * 2011-11-04 2013-05-14 피에스케이 주식회사 플라스마 공급 유닛 및 이를 포함하는 기판 처리 장치
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
US9209034B2 (en) * 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
KR20130116607A (ko) * 2012-04-16 2013-10-24 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2014042004A (ja) * 2012-07-26 2014-03-06 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8765574B2 (en) * 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
TWI614813B (zh) * 2013-01-21 2018-02-11 半導體能源研究所股份有限公司 半導體裝置的製造方法
JP6045610B2 (ja) * 2013-01-24 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5887366B2 (ja) * 2013-03-26 2016-03-16 東京エレクトロン株式会社 遷移金属を含む膜をエッチングする方法
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
JP2014229751A (ja) * 2013-05-22 2014-12-08 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
KR20160025591A (ko) * 2013-07-29 2016-03-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2015050362A (ja) 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10141322B2 (en) * 2013-12-17 2018-11-27 Intel Corporation Metal floating gate composite 3D NAND memory devices and associated methods
JP6434617B2 (ja) * 2015-05-22 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびそれを用いたプラズマ処理方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP2003229419A (ja) * 2002-12-16 2003-08-15 Hitachi Ltd 半導体集積回路装置の製造方法
JP2009117843A (ja) * 2007-11-08 2009-05-28 Samsung Electronics Co Ltd 垂直型半導体素子及びその製造方法
JP2011211200A (ja) * 2010-03-26 2011-10-20 Samsung Electronics Co Ltd 3次元半導体装置
JP2013214583A (ja) * 2012-04-02 2013-10-17 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2013251546A (ja) * 2012-06-04 2013-12-12 Psk Inc 基板処理装置及び方法
JP2014036034A (ja) * 2012-08-07 2014-02-24 Sharp Corp 不揮発性半導体記憶装置とその製造方法
JP2015019064A (ja) * 2013-07-08 2015-01-29 ラム リサーチ コーポレーションLam Research Corporation イオンビームエッチングシステム

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2020113795A (ja) * 2017-11-28 2020-07-27 東京エレクトロン株式会社 エッチング方法およびエッチング装置
WO2020161879A1 (ja) * 2019-02-08 2020-08-13 株式会社 日立ハイテクノロジーズ ドライエッチング方法及びドライエッチング装置
KR20200098386A (ko) 2019-02-08 2020-08-20 주식회사 히타치하이테크 드라이 에칭 방법 및 드라이 에칭 장치
JPWO2020161879A1 (ja) * 2019-02-08 2021-02-18 株式会社日立ハイテク ドライエッチング方法及びドライエッチング装置
JPWO2021130826A1 (ja) * 2019-12-23 2021-12-23 株式会社日立ハイテク プラズマ処理装置
JP7024122B2 (ja) 2019-12-23 2022-02-22 株式会社日立ハイテク プラズマ処理装置
KR20210084419A (ko) 2019-12-23 2021-07-07 주식회사 히타치하이테크 플라스마 처리 장치
WO2021130826A1 (ja) * 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理装置
JP2021132125A (ja) * 2020-02-20 2021-09-09 株式会社日立ハイテク プラズマ処理装置
JP7244447B2 (ja) 2020-02-20 2023-03-22 株式会社日立ハイテク プラズマ処理装置
JPWO2021214868A1 (ja) * 2020-04-21 2021-10-28
JP7078793B2 (ja) 2020-04-21 2022-05-31 株式会社日立ハイテク プラズマ処理装置
WO2021214868A1 (ja) * 2020-04-21 2021-10-28 株式会社日立ハイテク プラズマ処理装置
WO2022168313A1 (ja) * 2021-02-08 2022-08-11 株式会社日立ハイテク プラズマ処理装置
JPWO2022168313A1 (ja) * 2021-02-08 2022-08-11
JP7292493B2 (ja) 2021-02-08 2023-06-16 株式会社日立ハイテク プラズマ処理装置
WO2023170732A1 (ja) * 2022-03-07 2023-09-14 株式会社日立ハイテク プラズマ処理方法
KR20230133267A (ko) 2022-03-07 2023-09-19 주식회사 히타치하이테크 플라스마 처리 방법
WO2023209812A1 (ja) * 2022-04-26 2023-11-02 株式会社日立ハイテク プラズマ処理方法
JP7498369B2 (ja) 2022-04-26 2024-06-11 株式会社日立ハイテク プラズマ処理方法

Also Published As

Publication number Publication date
JP6580731B2 (ja) 2019-09-25
KR20170101952A (ko) 2017-09-06
JPWO2016190036A1 (ja) 2017-12-28
KR102465801B1 (ko) 2022-11-14
KR20190102301A (ko) 2019-09-03
TWI689227B (zh) 2020-03-21
US20180047595A1 (en) 2018-02-15
TW201832621A (zh) 2018-09-01
TWI632833B (zh) 2018-08-11
TW201739323A (zh) 2017-11-01
TW202224502A (zh) 2022-06-16
JP6434617B2 (ja) 2018-12-05
KR20200024955A (ko) 2020-03-09
KR102085044B1 (ko) 2020-03-05
TW202339555A (zh) 2023-10-01
JP2019176184A (ja) 2019-10-10
TW202027563A (zh) 2020-07-16
TWI798531B (zh) 2023-04-11
TWI669028B (zh) 2019-08-11
US20230282491A1 (en) 2023-09-07
TWI818454B (zh) 2023-10-11
TW201642713A (zh) 2016-12-01
JP2018093226A (ja) 2018-06-14
KR102015891B1 (ko) 2019-08-29
JP6850830B2 (ja) 2021-03-31

Similar Documents

Publication Publication Date Title
JP6580731B2 (ja) プラズマ処理装置およびそれを用いたプラズマ処理方法
JP7385621B2 (ja) イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
US8956980B1 (en) Selective etch of silicon nitride
WO2017027165A1 (en) Apparatus and techniques to treat substrates using directional plasma and reactive gas
JPH08107101A (ja) プラズマ処理装置及びプラズマ処理方法
US9595467B2 (en) Air gap formation in interconnection structure by implantation process
WO2017079470A1 (en) Method of corner rounding and trimming of nanowires by microwave plasma
JP3973283B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2006253190A (ja) 中性粒子ビーム処理装置および帯電電荷の中和方法
JP4342984B2 (ja) エッチング方法
CN114068320A (zh) 硅的干蚀刻方法
JP5814663B2 (ja) プラズマ処理方法及びゲートラスト方式のメタルゲートの製造方法
KR20130047537A (ko) 플라즈마 에칭 방법
JP5918886B2 (ja) プラズマ処理方法
JP2004193359A (ja) ラジカル支援ドライエッチング装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16799744

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20177020668

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2017520579

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 15558005

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16799744

Country of ref document: EP

Kind code of ref document: A1