KR20160025591A - 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR20160025591A
KR20160025591A KR1020167002323A KR20167002323A KR20160025591A KR 20160025591 A KR20160025591 A KR 20160025591A KR 1020167002323 A KR1020167002323 A KR 1020167002323A KR 20167002323 A KR20167002323 A KR 20167002323A KR 20160025591 A KR20160025591 A KR 20160025591A
Authority
KR
South Korea
Prior art keywords
gas
substrate
film
supplying
layer
Prior art date
Application number
KR1020167002323A
Other languages
English (en)
Inventor
야스토시 츠보타
신 히야마
유이치 와다
겐지 가메다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20160025591A publication Critical patent/KR20160025591A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Abstract

반도체 장치의 품질을 향상시킴과 함께, 제조 스루풋을 향상시키는 것이 가능한 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체를 제공한다. Si 함유막 상에 변성층이 형성된 기판을 수용하는 처리 용기와, 상기 기판에 제거제를 공급하는 제거제 공급부와, 상기 기판에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 제거제를 상기 기판에 공급하는 변성층 제거 공정과, 상기 처리 가스를 상기 기판에 공급하는 막 제거 공정을 실행하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어하는 제어부를 갖는다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING DEVICE, METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM}
본 발명은 기판을 처리하는 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
대규모 집적 회로(Large Scale Integrated Circuit: 이하 LSI)의 미세화에 수반하여, 패터닝 기술의 미세화도 진행되고 있다. 패터닝에서는, 주로, 약품에 의한 웨트 에칭이 사용되고 있다. 예를 들어, 특허문헌 1에 기재되어 있다.
일본 특허 공개 제2011-86908호
그러나, 최근의 LSI, DRAM(Dynamic Random Access Memory)이나 Flash Memory로 대표되는 반도체 장치의 최소 가공 치수가, 30nm 폭보다 작게 되어 있다. 이러한 반도체 장치의 제조 공정의 일 공정인 습식 에칭에서는, 이하의 과제를 발생한다. 예를 들어, 습식 에칭시에 사용되는 액체의 표면 장력에 의한 패턴의 도괴가 있다. 반도체 장치의 품질을 유지한 상태에서의 미세화나 제조 스루풋 향상의 달성이 곤란해지고 있다.
본 발명의 목적은, 반도체 장치의 품질을 향상시킴과 함께, 제조 스루풋을 향상시키는 것이 가능한 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체를 제공하는 것이다.
일 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 공정과, 상기 기판에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거하는 막 제거 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판을 수용하는 처리 용기와, 상기 기판에, 기판에 공급하는 변성층 제거 공정과, 상기 처리 가스를 상기 기판에 공급하는 막 제거 공정을 실행하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어하는 제어부를 갖는 기판 처리 장치가 제공된다.
또 다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 수순과, 상기 기판에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거시키는 막 제거 수순을 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체가 제공된다.
본 발명에 따르면, 반도체 장치의 제조 품질을 향상시킴과 함께, 제조 스루풋을 향상시키는 것이 가능하게 된다.
도 1은 본 발명의 일 실시 형태에 따른 기판 처리 장치의 구성이다.
도 2의 (a)는 본 발명의 일 실시 형태에 따른 처리 전의 기판의 구성예이다. (b)는 본 발명의 일 실시 형태에 따른 처리 전의 기판의 다른 구성예이다. (c)는 본 발명의 일 실시 형태에 따른 처리 전의 기판의 또 다른 구성예이다.
도 3은 본 발명의 일 실시 형태에 따른 반송계의 구성예의 측면 단면도이다.
도 4는 본 발명의 일 실시 형태에 따른 반송계의 구성예의 상면 단면도이다.
도 5는 본 발명의 일 실시 형태에 따른 컨트롤러의 구조 예이다.
도 6은 본 발명의 일 실시 형태에 따른 기판 처리 공정의 플로우 예이다.
도 7은 실리콘 산화막이 잔사로서 남은 경우의 개념도이다.
도 8의 (a)는 본 발명의 일 실시 형태에 따른 기판 처리의 실시예이다. (b)는 본 발명의 일 실시 형태에 따른 기판 처리의 다른 실시예이다.
이어서, 본 발명의 바람직한 실시 형태에 대해서 설명한다.
발명자들은, 후술하는 처리 가스를 사용한 드라이 에칭을 행함으로써, 일정한 온도 영역에서, 적어도, 산화실리콘(SiO2), 질화실리콘(Si3N4), 질화티타늄(TiN), 아몰퍼스·카본(a-C)에 대하여 Si 원소를 주성분으로 하는 Si 함유막을 선택적으로 제거할 수 있음을 알아내었다. 또한, 후술하는 처리 가스를 사용함으로써, 처리 가스를 플라즈마화하지 않고, Si 함유막을 등방적으로 제거시킬 수 있음을 알아내었다. 여기서, Si 함유막이란, 예를 들어 Si 원소가 90% 이상 포함되는 막이다.
<제1 실시 형태>
이하에, 본 발명의 바람직한 실시 형태에 대해서 도면을 참조하여 보다 상세하게 설명한다.
(1) 기판 처리 장치의 구성
먼저, 본 실시 형태에 따른 기판 처리 장치의 구성에 대해서, 주로 도 1을 사용해서 설명한다. 도 1은, 본 실시 형태에 따른 기판 처리 장치의 개략 구성도이며, 처리로(202) 부분을 종단면으로 나타내고 있다.
(기판)
기판으로서의 웨이퍼(600)에는, 예를 들어 도 2의 (a)에 나타낸 바와 같이, 스토퍼막으로서의 실리콘 질화막(601), 통 형상의 전극으로서의 질화티타늄막(602), 상기 전극의 도괴 방지 지지부로서의 실리콘 질화막(603), 실리콘 함유막(604), 실리콘 함유막(604)의 상부에는, 변성층(605a)이 형성되어 있다. 실리콘 함유막(604)은, 상기 전극 형성용의 몰드 실리콘막이며, 후술하는 실리콘 함유막 제거 공정에서 제거된다. 몰드 실리콘막은, 예를 들어 아몰퍼스 실리콘, 폴리실리콘, 도프드 시리콘, 단결정 실리콘 등이 있다. 변성층(605a)은, 예를 들어 몰드 실리콘막의 표면이나 상부에 산소가 흡착 또는 확산함으로써 형성된 실리콘 산화막이다. 또한, 도 2의 (b)는 실리콘 함유막(604)과 질화티타늄막(602)과의 계면에, 실리콘 함유막(604)이 산화해서 형성된 변성층(계면 변성층(605b))이 존재하고 있는 경우를 예시하고 있다. 이 경우, 실리콘 함유막(604)을 제거한 후에 계면 변성층(605b)이 남아버린다. 이와 같이, 몰드 실리콘 함유막의 제거 후에 남는 계면 변성층(605b)이 존재하는 경우도 있다. 도 2의 (c)는 다른 예로서, 제거되는 막으로서의 실리콘 하드 마스크(607), 변성층(605a), 매립막으로서의 SOC(Spin On Carbon)막(606), 실리콘 기판 표면을 덮는 스토퍼막으로서의 실리콘 질화막(또는 실리콘 산화막)(601)이 형성되어 있다. 실리콘 하드 마스크(607)는, 예를 들어 아몰퍼스 실리콘, 폴리실리콘, 도프드 실리콘 등이 있다. 이와 같은 예는, 실리콘 하드 마스크(607) 표면의 자연 산화나, 실리콘 하드 마스크(607)의 패터닝을 행하는 드라이 에칭 공정이나 레지스트막의 제거 공정에서, 실리콘 하드 마스크(607)의 표면이 변성됨으로써, 실리콘 함유막 표면의 변성층(605a)이 발생하는 것이 상정된다. 발명자는, 도 2의 (a) 내지 (c)와 같은 기판에 대하여 후술하는 변성층 제거 공정과, 실리콘 함유막 제거 공정을 조합함으로써, 실리콘 함유막을 제거하는 선택적 기판 처리를 알아내었다.
(처리실)
처리 용기(431)는, 통상 비금속 재료의 석영 유리나 세라믹스에 의해 원통 형상으로 형성되어 있다. 단, 특별히 문제가 없으면 금속제 재료이어도 된다. 처리 용기(431)의 상단은 톱 플레이트(454)로 폐색되고, 하단은 가대로서의 수평한 베이스 플레이트(448) 및 바닥 기판(469)에 의해 폐색되고, 또한 후술하는 압력 조정 기구에 의해 기밀하게 밀봉된다. 처리 용기(431) 내의 상측 공간은, 가스 혼합실(430)이 된다. 가스 혼합실(430)은, 원하는 가스 흐름이나 혼합 상태에 따라 최적화된다. 또한, 가스 혼합실(430)에 샤워 플레이트를 설치하고, 후술하는 처리실(445)에 가스가 직접 공급되도록 구성해도 된다. 또한, 베이스 플레이트(448)면의 하측이며, 웨이퍼(600)가 설치되는 공간은, 처리실(445)이 된다. 또한, 플라즈마를 사용해서 실리콘 산화막의 제거를 행하는 경우에는, 플라즈마 혼합실(430)이며, 후술하는 여기부로서의 공진 코일(432)이 대향하는 공간에는 플라즈마가 생성된다.
(기판 지지부)
처리실(445)의 저면에는 서셉터(459)가 설치된다. 서셉터(459)는, 서셉터 테이블(411)과 서셉터 상의 웨이퍼를 소정의 온도로 유지하는 기판 가열부(463)를 갖는다. 또한, 기판 가열부(463)는, 필요에 따라, 과잉의 열을 배제하기 위한 냉각 기구를 함유해도 된다. 또한, 서셉터(459)는, 복수개의 지주(461)에 의해 지지된 구조로 되어 있다. 이 서셉터 테이블(411)을 관통하여, 복수개로 이루어지는 리프터 핀(413)이 설치되어 있고, 그 상부에는 웨이퍼 지지 핀(414)이 구비되어 있다. 웨이퍼 지지 핀(414)은 서셉터(459)의 중심 방향으로 연장되어 있다. 웨이퍼(600)는, 서셉터 테이블(411) 또는 웨이퍼 지지핀(414)에 적재된다. 여기에서는, 웨이퍼 지지핀(414)은, 웨이퍼(600)의 외주부를 지지하는 구조로 되어 있지만, 필요에 따라, 웨이퍼(600)의 중심 부근을 지지하는 구조로 해도 된다. 기판의 중심 부근을 지지함으로써, 기판 직경이 450mm와 같은 대구경 기판을 지지했을 때 발생하는, 기판의 휨을 경감하여, 처리 균일성을 향상시킬 수 있다. 예를 들어, 기판이 휘어 있으면, 휨 부분 부근의 가스 흐름이나 웨이퍼 온도가, 휨 부분 이외의 흐름이나 온도와 상이하여, 처리 균일성이 변화하는 경우가 있다. 기판 지지부는, 웨이퍼 지지핀(414)으로 구성된다. 경우에 따라서는, 서셉터 테이블(411)과 리프터 핀(413)을 포함해서 생각해도 된다. 리프터 핀(413)은, 승강 기판(471)에 접속되어, 가이드 샤프트(467)에 따라, 승강 구동부(490)에 의해 승강 가능하게 구성되어 있다.
(배기부)
서셉터(459)의 하방에는, 배기부가 설치된다. 배기부는 압력 조정부(압력 조정 기구)로서의 APC(Auto Pressure Control) 밸브(479)와 배기관(480)을 갖는다. 경우에 따라서는, 배기 펌프(481)를 배기부에 포함하도록 해도 된다. APC 밸브(479)의 밸브 개방도는, 처리실(445) 내의 압력을 바탕으로 피드백 제어되도록 구성된다. 처리실(445) 내의 압력은, 압력 센서(도시하지 않음)에 의해 측정된다. 본 실시 형태에서 사용하는 할로겐 함유 가스는, 일반적인 퍼지 가스인 질소(N2) 가스보다도 무겁게 되어 있다. 예를 들어, 후술하는 7불화요오드(IF7) 가스는 실온에서의 비중이 약 2.7로, 질소(N2) 가스보다도 2.8배 정도 무겁다. 그 때문에, 할로겐 함유 가스가 체류하기 쉬운 처리실의 저부에 배기구를 형성하는 것은 할로겐 함유 가스의 잔류를 억제하기 위해서 유용하다. 또한, 할로겐 함유 가스의 배출을 촉진하기 위해서, 배기부에 퍼지 가스를 공급할 수 있도록 구성해도 된다.
(배플 링)
또한, 처리 가스의 흐름을, 개선하기 위해서, 원통 형상의 배플 링(458)과 배기판(465)을 설치해도 된다. 배플 링(458)에는 원통 측면에 통기 구멍이 다수 균일하게 형성되고, 배기판(465)에는 중앙부에 배기 연통 구멍(475)이 형성된다. 서셉터(459), 배플 링(458), 배기판(465)에 의해 제1 배기실(474)이 형성되고, 배기판(465)과 바닥 기판(469)에 의해 제2 배기실(476)이 형성된 구조로 되어 있고, 제1 배기실(474)과 제2 배기실(476)은 배기 연통 구멍(475)에 의해 연통되어 있다. 또, 제2 배기실(476)에는 배기관(480)이 연통되어 있다. 제1 배기실(474)과 제2 배기실(476)을 각각 설치함으로써, 상기 웨이퍼(600)의 전체 둘레 방향으로부터 균일하게 배기를 할 수 있어, 웨이퍼(600)에의 처리 균일성을 향상시킬 수 있다.
(가스 공급부)
처리 용기(431)의 상부 톱 플레이트(454)에는, 도면 중 생략한 가스 공급 설비로부터 필요한 복수의 처리 가스를 공급하는 위한 가스 공급관(455)이, 가스 도입구(433)에 부설되어 있다. 가스 공급관(455)에는, 처리 가스로서의 할로겐 원소 함유 가스를 기판에 공급하는 처리 가스 공급부, 제거제를 기판에 공급하는 제거제 공급부 및 그 밖의 가스, 여기에서는, 퍼지용의 N2 가스, 클리닝용의 불화염소(ClF3) 가스 등을 공급하는 제3 공급부(도시하지 않음)가 그 필요에 따라서 설치되어 있다. 제거제는, 예를 들어 제거제로서 불화수소 가스 등이 사용된다. 또한, 여기에서는, 제거제로서 가스를 공급하는 예를 나타내지만, 이에 한정하지 않고, 액체를 공급함으로 인한 에칭 방법으로 제거 가능하게 구성해도 된다. 또, 변성층을 스퍼터링으로 제거하는 경우에는, 아르곤 등의 희가스를 흘려도 된다. 가스 공급부에는 각각, 유량 제어부인 매스 플로우 컨트롤러(477, 483) 및 개폐 밸브(478, 484)가 설치되어 있어, 가스 공급량을 제어할 수 있다. 여기에서는 제거제 공급부까지만 기재하고 있지만, 제3 이후의 가스 공급부가 있어도 된다. 또한, 사용하는 가스를 사전에 혼합하고 나서 가스 도입구(433)에 흘려도 된다. 또한, 처리 용기(431) 내에는, 처리 가스의 흐름을 조정하기 위해서, 대략 원형이고 석영 유리나 세라믹스로 이루어지는 배플판(460)이 설치되어 있다. 또, 필요에 따라 샤워 플레이트를 사용하는 구조로 해도 된다. 양 제어부 및 APC 밸브(479)에 의해 공급량, 배기량을 조정함으로써, 처리 용기(431)와 처리실(445)의 압력이 원하는 값으로 제어된다.
(여기부)
플라즈마를 사용해서 변성층 막의 제거를 행하는 경우에는, 플라즈마를 발생시키는 여기부가 설치되어도 된다. 여기부로서의 공진 코일(432)은, 소정의 파장의 정재파를 형성하기 위해서, 일정 파장의 모드에서 공진하도록 권취 직경, 권회 피치, 권취수가 설정된다. 즉, 공진 코일(432)의 전기적 길이는, 고주파 전원(444)으로부터 공급되는 전력의 소정 주파수에 있어서의 1 파장의 정수배(1배, 2배, …) 또는 반파장 또는 1/4 파장에 상당하는 길이로 설정된다. 예를 들어, 27.12MHz인 경우, 1 파장의 길이는 약 11미터이다. 사용하는 주파수 및 공진 코일 길이는, 원하는 플라즈마 발생 상태나 플라즈마 발생실(430)의 기계적인 치수 등에 따라 선택되면 된다.
보다 구체적으로는, 공진 코일(432)은, 인가하는 전력이나 발생시키는 자계 강도 또는 적용하는 장치의 외형 등을 감안해서, 예를 들어 800kHz 내지 50MHz, 0.5 내지 5kW의 고주파 전력에 의해 0.01 내지 10가우스 정도의 자장을 발생할 수 있도록, 50 내지 300mm2의 유효 단면적이며, 또한 200 내지 500mm의 코일 직경으로 구성되어, 처리 용기(431)의 외주측에 2 내지 60회 정도 권회된다. 공진 코일(432)을 구성하는 소재로서는, 구리 파이프, 구리의 박판, 알루미늄 파이프, 알루미늄 박판, 중합체 벨트에 동판 또는 알루미늄을 증착한 소재 등이 사용된다. 공진 코일(432)은, 절연성 재료로 평판 형상으로 형성되고, 또한 베이스 플레이트(448)의 상단면에 연직으로 세워 설치된 복수의 지지부에 의해 지지된다.
공진 코일(432)의 양단은 전기적으로 접지되어 있는데, 공진 코일(432) 중 적어도 일단은, 장치의 최초의 설치 시 또는 처리 조건의 변경 시에 당해 공진 코일의 전기적 길이를 미세 조정하기 위해서, 가동 탭(462)을 통해서 접지된다. 예를 들어, 고정 접지 개소(464)에 의해 접지된다. 또한, 장치의 최초의 설치 시 또는 처리 조건의 변경 시에, 공진 코일(432)의 임피던스를 미세 조정하기 위해서, 공진 코일(432)이 접지된 양단의 사이에는, 가동 탭(466)에 의해 급전부가 구성된다.
즉, 공진 코일(432)은, 전기적으로 접지된 그라운드부를 양단에 구비하고, 또한 고주파 전원(444)으로부터 전력 공급되는 급전부를 각 그라운드부의 사이에 구비한다. 또한, 적어도 한쪽의 그라운드부는, 위치 조정 가능한 가변식 그라운드부이며, 급전부는, 위치 조정 가능한 가변식 급전부로 해도 된다. 공진 코일(432)이 가변식 그라운드부 및 가변식 급전부를 구비하고 있는 경우에는, 후술하는 바와 같이, 플라즈마 발생실(430)의 공진 주파수 및 부하 임피던스를 조정함에 있어서, 보다 한층 간편하게 조정할 수 있다.
또한, 공진 코일(432)의 일단(또는 양단)에는, 위상 및 역위상 전류가 공진 코일(432)의 전기적 중점에 대해서 대상에 흐르도록, 코일 및 실드로 이루어지는 파형 조정 회로가 삽입되어도 된다. 이러한 파형 조정 회로는, 공진 코일(432)의 단부를 전기적으로 비접속 상태로 하거나 또는 전기적으로 등가의 상태로 설정함으로써 개로로 구성된다. 또, 공진 코일(432)의 단부는, 초크 직렬 저항에 의해 비접지로 하고, 고정 기준 전압에 직류 접속되어도 된다.
외측 실드(452)는, 공진 코일(432)의 외측으로의 전자파의 누설을 차폐함과 함께, 공진 회로를 구성하는데 필요한 용량 성분을 공진 코일(432)과의 사이에 형성하기 위해서 설치된다. 외측 실드(452)는, 일반적으로는, 알루미늄 합금, 구리 또는 구리 합금 등의 도전성 재료를 사용해서 원통 형상으로 형성된다. 외측 실드(452)는, 공진 코일(432)의 외주로부터, 예를 들어 5 내지 10mm 정도 이격해서 배치된다. 그리고, 통상, 외측 실드(452)는, 공진 코일(432)의 양단과 전위가 동등해지도록 접지되는데, 공진 코일(432)의 공진수를 정확하게 설정하기 위해서, 외측 실드(452)의 일단 또는 양단은, 탭 위치를 조정 가능하게 이루어지거나, 또는, 공진 코일(432)과 외측 실드(452)의 사이에는, 트리밍 캐패시턴스가 삽입되거나 해도 된다. 또한, 전기적으로 접지된 외측 실드(452)와 공진 코일에 의해, 나선 공진기가 구성된다.
고주파 전원(444)으로서는, 공진 코일(432)에 필요한 전압 및 주파수의 전력을 공급할 수 있는 전원인 한, RF 제네레이터 등의 적절한 전원을 사용할 수 있다. 예를 들어, 주파수 80kHz 내지 800MHz에서 0.5 내지 5kW 정도의 전력을 공급 가능한 고주파 전원이 사용된다.
또한, 고주파 전원(444)의 출력측에는 반사파 전력계(468)가 설치되고, 반사파 전력계(468)에 의해 검출된 반사파 전력이, 제어부로서 사용되는 컨트롤러(500)에 입력된다. 컨트롤러(470)는, 간단히 고주파 전원(444)만을 제어하는 것이 아니라, 예를 들어 기판 반송 기구나 게이트 밸브의 동작 등을 포함한, 당해 기판 처리 장치 전체의 제어를 행하고 있다. 표시 장치로서의 디스플레이(472)는, 예를 들어 반사파 전력계(468)에 의한 반사파의 검출 결과 등의 당해 기판 처리 장치에 설치된 각종 검출부에서 검출된 데이터 등을 표시한다. 또한, 고주파 전원(444)에는 발신 주파수를 제어하는 주파수 정합기(446)가 설치되어 있다.
본 실시 형태에 있어서, 여기부는, 공진 코일(432)로 구성되는데, 고주파 전원(444), 외부 실드(452), 반사파 전력계(468), 주파수 정합기(446) 중 1개 이상을 포함해서 생각해도 된다.
(기판 반송계)
이어서, 본 실시 형태에서의 기판의 반송계에 대해, 도 3, 도 4를 사용해서 설명한다. 기판을 반송하는 반송계는, EFEM(Equipment Front End Module)(100)과, 로드로크 챔버부(200)와, 트랜스퍼 모듈부(300)를 갖는다.
EFEM(100)은, FOUP(Front Opening Unified Pod)(110, 120) 및 각각의 FOUP로부터 로드로크 챔버에 웨이퍼를 반송하는 제1 반송부인 대기 반송 로봇(130)을 구비한다. FOUP에는 25매의 웨이퍼가 탑재되고, 대기 반송 로봇(130)의 아암부가 FOUP로부터 5매씩 웨이퍼를 뽑아낸다. EFEM(100) 내와 FOUP(110, 120) 내는, 그 필요에 따라, 웨이퍼의 자연 산화를 억제하기 위해서 불활성 가스 분위기로 해도 된다.
로드로크 챔버부(200)는, 로드로크 챔버(250, 260)와, FOUP로부터 반송된 웨이퍼(600)를 로드로크 챔버(250, 260) 내에서 각각 유지하는 버퍼 유닛(210, 220)을 구비하고 있다. 버퍼 유닛(210, 220)은, 보트(211, 221)와 그 하부의 인덱스 어셈블리(212, 222)를 구비하고 있다. 보트(211)(221)와, 그 하부의 인덱스 어셈블리(212)(222)는, θ축(214)(224)에 의해 동시에 회전한다. 또한, 로드로크 챔버부(200) 내는, 진공 분위기 또는 불활성 가스 분위기, 불활성 가스가 공급되는 감압 분위기이어도 된다.
트랜스퍼 모듈부(300)는, 반송실로서 사용되는 트랜스퍼 모듈(310)을 구비하고 있고, 상술한 로드로크 챔버(250, 260)는, 게이트 밸브(311, 312)를 통해서, 트랜스퍼 모듈(310)에 설치되어 있다. 트랜스퍼 모듈(310)에는, 제2 반송부로서 사용되는 진공 아암 로봇 유닛(320)이 설치되어 있다. 또한, 트랜스퍼 모듈부(300) 내는, 진공 분위기 또는 불활성 가스 분위기, 불활성 가스가 공급되는 감압 분위기이어도 된다. 웨이퍼(600)의 반송 스루풋을 향상시키면서, 웨이퍼(600)에의 예기치못한 산소 흡착을 억제하기 위해서는, 로드로크 챔버부(200) 내와, 트랜스퍼 모듈부(300) 내를 불활성 가스가 공급되는 감압 분위기로 하는 것이 바람직하다.
프로세스 챔버부(400)는, 처리실(410, 420)과, 그 상부에 설치된 가스 혼합 실(430, 440)을 구비하고 있다. 처리실(410, 420)은, 게이트 밸브(313, 314)를 통해서 트랜스퍼 모듈(310)에 설치되어 있다. 여기서, 처리실(420)은 처리실(410)과 마찬가지의 구성이다.
(컨트롤러)
컨트롤러(500)는, 후술하는 기판 처리 공정을 행하도록, 상술한 각 부를 제어한다.
(제어부)
도 5에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(500)는, CPU(Central Processing Unit)(500a), RAM(Random Access Memory)(500b), 기억 장치(500c), I/O 포트(500d)를 구비한 컴퓨터로서 구성되어 있다. RAM(500b), 기억 장치(500c), I/O 포트(500d)는, 내부 버스(500e)를 통해서, CPU(500a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(500)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(501)가 접속되어 있다.
기억 장치(500c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(500c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 또한, 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(500)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히 프로그램이라고도 한다. 또한, 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다. 또한, RAM(500b)은, CPU(500a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(500d)는, 상술한 승강 구동부(490), 기판 온도 조정부(463), APC 밸브(479), 매스 플로우 컨트롤러(477, 483), 개폐 밸브(478, 484), 배기 펌프(481), 대기 반송 로봇(130), 게이트 밸브(313, 314), 진공 아암 로봇 유닛(320) 등에 접속되어 있다. 또한, 여기부를 설치한 경우에는, 고주파 전원(444), 가동 탭(466), 반사 전력계(468), 주파수 정합기(446)에도 접속 가능하게 구성된다.
CPU(500a)는, 기억 장치(500c)로부터 제어 프로그램을 판독해서 실행함과 함께, 입출력 장치(501)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(500c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(500a)는, 판독한 프로세스 레시피의 내용을 따르도록, 승강 구동부(490)에 의한 리프터 핀(413)의 상하 동작, 기판 온도 조정부(463)에 의한 웨이퍼(600)의 가열·냉각 동작, APC 밸브(479)에 의한 압력 조정 동작, 매스 플로우 컨트롤러(477, 483)와 개폐 밸브(478, 484)에 의한 처리 가스의 유량 조정 동작 등을 제어하도록 구성되어 있다.
또한, 컨트롤러(500)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리(USB Flash Drive)나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이러한 외부 기억 장치(123)를 사용해서 범용의 컴퓨터에 프로그램을 인스톨하거나 함으로써, 본 실시 형태에 따른 컨트롤러(500)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해서 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(500c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 간단히 기록 매체라고도 한다. 또한, 본 명세서에서 기록 매체라는 말을 사용한 경우에는, 기억 장치(500c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
계속해서, 도 6을 사용하여, 본 실시 형태에 따른 반도체 제조 공정의 일 공정으로서 실시되는 기판 처리 공정에 대해서 설명한다. 이러한 공정은, 상술한 기판 처리 장치에 의해 실시된다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은, 컨트롤러(500)에 의해 제어된다.
(기판의 반입 공정 S10)
먼저, 웨이퍼(600)가, FOUP(110)로부터 대기 반송 로봇(130)에 의해, 로드로크 챔버(250)에 반송된다. 로드로크 챔버(250)에서는, 진공 배기가 행하여져, EFEM 내의 대기 분위기 또는 불활성 가스 분위기로부터, 진공 분위기 또는 불활성 가스 분위기, 불활성 가스가 공급되는 감압 분위기로 치환된다. 분위기의 치환이 종료되면, 로드로크 챔버(250)와 트랜스퍼 모듈(310)과의 사이에 있는 게이트 밸브(311)가 개방되고, 웨이퍼(600)가 진공 아암 로봇 유닛(320)에 의해, 로드로크 챔버(250)로부터 트랜스퍼 모듈(310) 내에 반송된다. 반송되면, 게이트 밸브(311)는 폐쇄된다. 그 후, 트랜스퍼 모듈(310)과 플라즈마 처리 유닛(410)과의 사이에 설치된 게이트 밸브(313)를 통해서 리프터 핀(413) 상의 웨이퍼 지지 핀(414)에 적재한다. 웨이퍼 반송 기구가 처리실(445)의 밖으로 퇴피되면, 게이트 밸브(313)가 폐쇄된다. 이 웨이퍼(600)의 반송 시에는, 반송 경로를 불활성 가스로 퍼지하고, 또한 감압 상태에서 행하는 것이 바람직하다. 불활성 가스 분위기로 하고, 또한 감압 상태로 함으로써, 웨이퍼(600)에 형성된 반도체 소자의 산화(산소 흡착)나 의도하지 않은 수분의 흡착 등을 억제할 수 있다.
(기판 가열 공정 S20)
이어서, 리프터 핀(413)을 하강시켜, 웨이퍼(600)를 서셉터 테이블(411) 상에 적재한다. 여기서 리프터 핀(413)의 승강은, 승강 구동부(490)에 의해 승강됨으로써 행하여진다. 서셉터(459)에 구비된 기판 온도 조정부(463)는, 미리 소정의 온도로 가열되어 있어, 웨이퍼(600)를 실온 내지 저온 정도, 소정의 웨이퍼 온도로 되도록 가열한다. 필요에 따라, 과잉 열(반응열)을 배열하기 위한 냉각 기구도 병용한다. 여기서, 저온이란, 후술하는 제거 가스나 처리 가스가 충분히 기화하고 있는 온도대이며, 웨이퍼(600)에 형성된 막 특성이 변질되지 않는 온도로 한다.
(변성층 제거 공정 S30)
계속해서, 가스 공급관(445)으로부터 소정의 제거제로서의 제거 가스를 웨이퍼(600)에 공급하여, 웨이퍼(600)로부터 변성층의 제거를 행한다. 변성층의 제거는, 제거제를 웨이퍼(600)에 공급함으로써 행하여진다. 예를 들어, 제거 가스를 공급함으로써 행하여진다. 제거 가스는, 예를 들어 HF 가스가 사용되고, 0.1slm 내지 10slm 중, 소정의 가스 유량으로 설정된다. 예를 들어 3slm으로 설정된다. 처리실 내의 압력은 예를 들어 1Pa 내지 1300Pa 중 소정의 압력으로 설정된다. 예를 들어 100Pa로 설정된다. HF 가스는 특히 실리콘 산화막의 제거에 유효하지만, 실리콘 질화막의 제거에도 사용할 수 있다. 이 경우, HF 가스를 처리실에 도입해도 되고, IF7 가스와 수소(H2) 가스와의 혼합 가스를 처리실에 도입해서 플라즈마화함으로써 HF 가스 성분을 발생시켜도 된다. IF7 가스를 공급함으로써, 후술하는 Si 함유막 제거 공정의 예비적 처리를 행할 수 있다. 즉, 변성층과 실리콘 함유막의 중간층을 제거할 수 있고, 실리콘 함유막 제거 공정에서, 실리콘 함유막을 보다 확실하게 제거하는 것이 가능해진다. 또한, 여기서는, HF 가스로 변성층을 제거하는 예를 나타냈지만, 이것에 한정하는 것이 아니다. 예를 들어, 환원성의 가스를 공급하여, 산소를 제거하도록 구성해도 된다. 환원성의 가스로서는, 예를 들어 수소(H2) 가스가 있다. 또한, 세정액 등에 의한 표면에의 산소 흡착량이 허용 범위 내이면, 제거제로서, 제거액(예를 들어 HF 수용액)을 사용한 습식 에칭법으로 변성층을 제거해도 된다. 또한, 제거제로서, 아르곤(Ar) 등의 희가스와 수소 가스 등의 환원성의 가스 중 어느 하나 또는 양쪽을 활성화(플라즈마화)한 가스를 사용하여, 웨이퍼(600)에 공급함으로써 변성층을 제거해도 된다. 활성화된 희가스를 웨이퍼(600)에 공급함으로써, 변성층을 스퍼터링해서 제거할 수 있다. 또한, 활성화된 수소를 웨이퍼(600)에 공급함으로써, 변성층을 환원할 수 있다. 이러한 활성화한 제거제(예를 들어 활성화된 Ar)를 웨이퍼(600)에 공급함으로써, HF 가스를 사용한 경우와 비교하여, 매립막으로서의 SOC막(606)을 손상시키지 않고 변성층(605a)을 제거할 수 있다. 즉, 매립막으로서의 기능을 손상시키지 않고, 변성층(605a)의 제거를 행할 수 있다.
변성층의 제거 후에는 새로운 다음 공정에 대비하여 필요한 퍼지 처리를 행하는 것이 바람직하다.
(변성층 억제 공정 S40)
이 공정에서는, 변성층의 제거 후에, 다시 변성층이 성장해버리는 것을 방지한다. 예를 들어, 웨이퍼(600)를 불활성 가스 분위기, 환원성 분위기, 진공 분위기 중에 유지함으로써 변성층의 발생을 억제한다. 본 실시 형태에서는, 일련의 처리를 동일한 처리실에서 행하고 있기 때문에, 처리실의 분위기에 산소를 혼입시키지 않고, 빠르게 다음 공정으로 이행하는 것이 가능하다.
(처리 가스 공급 공정 S50)
계속해서, 가스 공급관(445)으로부터 소정의 처리 가스를 공급한다. 처리 가스는, 에칭 가스로서 할로겐 함유 가스나, 퍼지용 또는 희석용의 불활성 가스 등을 공급한다. 여기서, 할로겐 함유 가스는, 예를 들어 불소(F), 염소(Cl), 브롬(Br), 요오드(I) 중에서 2개 이상의 할로겐 원소를 포함하는 가스이다. 예를 들어, 5불화요오드(IF5), 7불화요오드(IF7), 3불화브롬(BrF3), 5불화브롬(BrF5), 2불화크세논(XeF2), 3불화염소(ClF3) 등이 있다. 바람직하게는, IF7이 사용된다. IF7은, 실리콘 함유막을 적극적(선택적)으로 제거시킬 수 있다. 여기서, 선택적으로란, 예를 들어 실리콘 함유막의 에칭 레이트를 다른 막(예를 들어 금속막)의 에칭 레이트보다도 높게 하는 것을 말한다. 불활성 가스는, 예를 들어 질소(N2) 가스가 사용되지만, He, Ne, Ar 등의 희가스이어도 된다.
가스의 공급과 동시에 APC 밸브(479)에 의해 배기량을 조정함으로써, 처리실(445) 내의 전체 압력을 1 내지 1330Pa 정도의 범위 중, IF7의 분압을 1 내지 1330Pa 정도의 범위 중, 소정의 압력으로 유지한다. 예를 들어, 100Pa로 유지된다. 각각의 가스 유량은, 0.1 내지 10SLM 정도의 범위 중, 소정의 유량으로 설정한다. 예를 들어, 3SLM으로 설정된다. 또한, 필요에 따라, 일단, 처리 용기(431)와 처리실(445)의 분위기를 배기하고 나서 소정의 가스를 공급해도 된다. 또한, IF7 가스가 공급되는 대로, 실리콘 함유막의 에칭이 개시되므로, 압력이나 가스 유량은 빠르게 소정의 값으로 설정되는 것이 바람직하다.
그런데, 상기 처리 가스와 실리콘막이 접촉함으로써 반응열이 발생한다. 반응열은, 열전도에 의해, 금속막이나 기판에 전도하고, 그 결과 금속막의 특성 열화나 기판의 휨이 발생하는 것이 생각된다. 나아가, 웨이퍼(600)의 온도가 소정의 온도 범위에서 벗어나, 처리 가스의 높은 선택성을 상실하는 것이 생각된다.
또한, 처리 가스의 농도와 에칭 레이트는 비례 관계에 있고, 또한 에칭 레이트와 반응열량은 비례 관계에 있기 때문에, 처리 가스의 농도를 높게 해서 에칭 레이트를 상승시키는 경우, 상기의 반응열에 의한 금속막이나 기판의 가열이 현저해진다.
따라서, 처리 가스와 함께 희석 가스를 처리실(445)에 공급함으로써, 처리 가스 농도를 엷게 하여, 반응열에 의한 과도한 온도 상승을 억제한다. 희석 가스의 공급량은, 예를 들어 처리 가스의 공급량보다도 많게 한다.
또한, 희석 가스는 처리 가스와 동시에 공급하도록 해도 되고, 희석 가스를 공급한 후에 처리 가스를 공급해도 된다. 이와 같이 처리 가스를 나중에 공급함으로써, 농도가 높은 처리 가스가 웨이퍼(600)에 공급되는 것을 방지하여, 웨이퍼(600)의 처리 균일성을 향상시킬 수 있다. 또한, 반응열에 의한 웨이퍼(600)의 급격한 온도 변화도 억제시킬 수 있다.
더욱 바람직하게는, 희석 가스를 공급하고, 처리실 내의 압력이 안정되고 나서 처리 가스를 공급한다. 이것은, 희석 가스량이 처리 가스량에 대하여 충분히 많은 경우이며, 예를 들어 에칭의 깊이를 제어하는 프로세스 등에 유효하다. 압력이 안정된 상태에서 에칭을 행하므로, 에칭 레이트를 안정시킬 수 있다. 그 결과, 에칭의 깊이를 제어하기 쉬워진다.
(실리콘 함유막 제거 공정 S60)
기판 온도, 압력, 가스 유량을, 소정의 값으로 소정의 시간동안 유지함으로써 실리콘 함유막이 선택적으로 소정의 양만큼 제거된다.
(변성층 제거 공정 S70)
필요에 따라, 실리콘 함유막 제거 후에 남은 변성층의 제거를 행한다. 변성층의 제거를 행한다. 변성층의 제거는, 예를 들어 제거 가스를 공급함으로써 행하여진다. 이 경우, HF 가스를 처리실에 도입해도 되고, IF7 가스 H2 가스와의 혼합 가스를 처리실에 도입해서 플라즈마화함으로써 HF 가스 성분을 발생시켜도 된다. IF7 가스를 공급함으로써, 상술한 실리콘 함유막 제거 공정에서, 실리콘 함유막이 남아있었다고 해도, 실리콘 함유막을 제거할 수 있다. 또한, 실리콘 함유막과 변성층의 중간막도 제거할 수 있다. 또한, 제거제로서, 아르곤 등의 희가스와 수소 가스 등의 환원성의 가스 중 어느 하나 또는 양쪽을 활성화(플라즈마화)한 가스를 사용하여, 웨이퍼(600)에 공급함으로써 변성층을 제거해도 된다. 활성화된 희가스를 웨이퍼(600)에 공급함으로써, 변성층을 스퍼터링해서 제거할 수 있다. 또한, 활성화된 수소를 웨이퍼(600)에 공급함으로써, 변성층을 환원할 수 있다. 이러한 활성화된 제거제를 웨이퍼(600)에 공급함으로써, 매립막으로서의 SOC막(606)을 손상시키지 않고 변성층(605a)을 제거할 수 있다.
특히 애스펙트비가 큰 트렌치 구조 내부의 변성층을 제거하는 경우에는, 처리 가스를 플라즈마화(활성화)해서 트렌치 내부에 입사시키는 것은 유효하다. 또한, HF 가스의 반응성은 반응실 분위기 중의 수분량에도 좌우되어버리기 때문에, 플라즈마화해서 충분히 활성화된 처리 가스를 사용해서 변성층을 제거하는 것은 유효하다.
(퍼지·냉각 공정 S80)
필요한 제거 공정을 종료하면 처리 가스의 공급을 정지하고, 처리 용기(431)와 처리실(445)의 분위기 가스를 배기한다. 이때, 퍼지용의 불활성 가스를 흘리면서 배기해도 된다. 또한, 상술한 바와 같이, 할로겐 함유 가스는 퍼지 가스보다도 무겁기 때문에, 처리 가스가 잔류해버릴 가능성이 있다. 그러므로, 처리 가스를 잔존시키지 않기 위해서 충분한 퍼지를 행하는 것이 바람직하다. 예를 들어, 퍼지용의 불활성 가스의 공급과 분위기 가스의 배기를 교대로 행한다. 이에 의해, 할로겐 함유 가스를 처리실 내에 잔류하는 것이나, 처리실 외로의 유출을 방지할 수 있다. 또한, 리프터 핀(413)을 상승시켜서, 웨이퍼(600)를 서셉터 테이블(411)로부터 이격해서 반송 가능한 온도까지 냉각한다.
(기판 반출 공정 S90)
웨이퍼(600)가 반송 가능한 온도까지 냉각되어, 처리실로부터 반출할 준비가 되었으면, 상술한 기판 반입 공정 S10의 역의 수순으로 반출한다.
(3) 변성층의 제거 공정
여기에서는, 본 실시 형태에 따른 변성층의 제거 공정에 대해서 상세하게 설명한다.
제거 대상인 실리콘 함유막이 변성층으로 덮여 있는 경우, 그 변성층이 충분히 두껍고 밀한 막이라면, IF7 가스의 침투를 저해하여 실리콘의 제거 반응은 발생하지 않는다. 그러나, 변성층이 자연 산화막과 같은 얇고 성긴 막인 경우, IF7 가스는 변성층을 투과해서 하지의 실리콘과 반응하여, 실리콘은 제거되면서 변성층이 잔사로서 남는 것으로 판명되어 있다. 이와 같은 현상의 개념도를 도 7에 도시한다.
특히 실리콘 함유막의 표면은 용이하게 자연 산화하기 때문에, 이 자연 산화막의 제거에 유의하지 않으면, IF7 가스에 의한 실리콘 함유막 제거 후에 의도하지 않은 잔사를 발생시켜버리게 된다.
또한, 실리콘 함유막 제거 전에는 기판의 웨트 세정이 가능해도, 실리콘 함유막 제거 후에는, 미세하고 고종횡비의 구조물이 노출되기 때문에, 기판의 웨트 세정을 할 수 없는 경우도 많다. 여기서, 미세한 고종횡비의 구조물이란, 예를 들어 필러 구조가 있다. 그와 같은 경우에는, 실리콘 함유막 제거 후에 변성층의 잔사가 남아버리면 제거할 방법이 없을 가능성이 있다. 예를 들어, 미세하고 고종횡비의 구조물이 노출된 웨이퍼(600)를 웨트 세정한 경우, 상술한 바와 같이 패턴이 도괴되어버리는 과제가 있다. 따라서, 실리콘 함유막의 제거 전에 잔사의 원인으로 되는 변성층을 제거하는 것은 특히 중요해진다.
이어서, 기판을 처리 플로우의 다른 형태로서, 상술한 도 6을 사용해서 예시한 기판을 처리 플로우에 대해서, 그 요소마다 분할해서 서로 다른 장소에서 행하는 경우를 예시한다.
도 8의 (a)에, 기판 처리 플로우의 다른 형태를 예시한다. 여기에서는, 변성층 제거 공정 S30을 변성층 제거 장치(610)에서 행한 후, 실리콘 함유막 제거 공정 S60을 실리콘 함유막 제거 장치(612)에서 행하고 있다. 또한, 변성층 억제 공정 S40으로서, 기판을 불활성 가스 분위기의 용기(611)에 저장해서 반송함으로써, 새로운 변성층의 발생을 억제하고 있다. 이러한 형태의 구체예로서는, 예를 들어 웨트 세정 장치에 의해 변성층 막을 제거하고, N2 퍼지 FOUP(Front Opening Unified Pod)를 사용해서 기판을 실리콘 함유막의 제거를 행하는 장치에 반송하는 예를 들 수 있다. 또한, 변성층 제거 방법은, 웨트 세정에 한하지 않고, 가스를 사용한 드라이 프로세스이어도 된다. 여기서, 변성층의 제거 방법 및 새로운 변성층의 억제 방법은, 당업자라면 본 발명에 따른 기술 사상의 범위 내에서, 다양한 개량, 변경, 부가가 가능하다.
도 8의 (b)에는, 기판 처리 플로우의 또 다른 형태를 예시한다. 여기에서는, 클러스터형의 기판 처리 장치를 사용하여, 변성층 제거용의 반응실(613)과 실리콘 함유막 제거용의 반응실(614)을, 불활성 가스로 퍼지된 진공 반송실(615)로 연결하여, 일련의 처리를 연속적으로 행하는 경우를 예시하고 있다. 여기에서는, 변성층 제거 공정 S30 및 S70을 반응실(613)에서, 변성층 억제 공정 S40을 진공 반송실(615)에서, 실리콘 함유막 제거 공정 S60을 반응실(614)에서 행하고 있다. 또한, 변성층 제거 공정 S30과 S70을 각각 별도의 반응실에서 행해도 된다.
(4) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 이하에 기재하는 하나 또는 복수의 효과를 발휘한다.
(a) 또한, IF7을 사용해서 Si를 선택적으로 제거하는 가스 에칭 처리에 있어서, 실리콘 제거 반응을 저해하는 변성층을 사전에 제거할 수 있다.
(b) 또한, IF7 가스를 사용해서 Si를 선택적으로 제거하는 가스 에칭 처리에 있어서, 제거 대상의 실리콘 함유막 표면에 존재한 변성층에 기인하는 잔사를 억제할 수 있다.
(c) 또한, 상기 변성층에 기인하는 잔사에 의해 기판 처리 장치가 오염되는 것을 억제할 수 있다.
(d) 또한, IF7 가스를 사용해서 Si를 선택적으로 제거하는 가스 에칭 처리에 있어서, 제거 대상의 실리콘 함유막에 덮인 개소에 존재한 변성층에 기인하는 잔사를 억제할 수 있다.
(e) 또한, 제거 가스로 변성층을 제거한 후에 할로겐 함유 가스로 실리콘 함유막을 제거함으로써, 기판에 형성된 전극을 도괴시키지 않고, 실리콘 함유막을 제거할 수 있다.
(f) 또한, 실리콘 함유막 제거 공정 후에 변성층의 제거 공정을 행함으로써, 실리콘 함유막과 전극과의 계면에 형성된 산화막을 제거할 수 있다.
(g) 또한, 변성층의 제거를, 활성화된 희가스와 활성화된 환원성 가스 중 어느 하나 또는 양쪽을 사용해서 행함으로써, 매립 막을 손상시키지 않고 변성층을 제거할 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명했지만, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
본 발명은 IF7을 사용한 Si의 선택 드라이 에칭에 있어서, 제거 대상의 실리콘 함유막 표면에 존재하는 변성층을 제거하는 공정과, 새로운 변성층의 발생을 억제하는 공정과, 제거 대상의 실리콘 함유막에 덮인 개소에 존재하는 변성층을 제거하는 공정을 조합함으로써, 불필요한 변성층은 제거하면서, 실리콘의 선택 제거가 가능한 기판 처리 방법 및 기판 처리 장치를 제공하는 것으로서, 기판의 동시 처리 매수, 기판을 유지하는 방향, 희석용 가스나 퍼지용 가스의 종류, 클리닝 방법, 기판 처리실이나 가열 기구 및 냉각 기구의 형상 등으로 실시 범위를 한정하는 것은 아니다.
또한, 본 발명에서는, 기판에 형성된 변성층 및 실리콘 함유막 중 어느 하나 또는 양쪽을 드라이 에칭하는 공정에 한하지 않고, 기판 처리실 내에 퇴적된 변성층이나 실리콘 함유막의 제거(클리닝) 공정도 행할 수 있다.
또한, 상술에서는, 제거 가스나 처리 가스를 사용해서 대상의 막을 직접 제거하는 공정에 대해서 기재했지만, 이에 한정하지 않고, 할로겐염 가스를 실리콘 산화막과 반응시켜서 반응물을 생성하여, 반응물을 가열·기화시켜서 제거시켜도 된다.
또한, 상술에서는, 변성층으로서, 실리콘 함유막의 상부에 형성된 실리콘 산화막에 대해서 기재했지만, 이것에 한정하는 것은 아니다. 예를 들어, 레지스트 애싱할 때 수소와 질소를 사용한 플라즈마 처리가 행하여졌을 때, 기판이나 기판에 형성된 막의 표면에 질화막이 형성된다. 이 질화막이 존재하는 경우도 상술한 바와 마찬가지의 문제를 발생할 가능성이 있어, 실리콘 함유막을 제거하기 전에 질화막(변성층)을 제거함으로써 잔류하는 질화막의 양을 억제할 수 있다.
또한, 상술에서는, 제거제로, 전극 형성용의 몰드 실리콘막에 형성된 변성층을 제거하고, 몰드 실리콘막을 처리 가스로 제거하는 예를 나타냈지만, 이것에 한정하는 것은 아니다. 예를 들어, 실리콘을 주성분으로 하는 더미 게이트 전극을 제거할 때 더미 게이트 전극의 표면에 형성된 자연 산화막을 제거제로 제거한 후에, 더미 게이트 전극을 처리 가스로 제거하도록 구성해도 된다.
또한, 본 발명은 본 실시 형태에 따른 기판 처리 장치와 같은 반도체 웨이퍼를 처리하는 반도체 제조 장치 등에 한하지 않고, 유리 기판을 처리하는 LCD(Liquid Crystal Display) 제조 장치, 태양 전지 제조 장치 등의 기판 처리 장치, MEMS(Micro Electro Mechanical Systems) 제조 장치에도 적용할 수 있다.
<본 발명의 바람직한 형태>
이하에, 본 발명의 바람직한 형태에 대해서 부기한다.
<부기 1>
일 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판을 수용하는 처리 용기와, 상기 기판에, 상기 제거제를 상기 변성층에 공급하는 변성층 제거 공정과, 상기 처리 가스를 상기 Si 함유막에 공급하는 막 제거 공정을 실행하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어하는 제어부를 갖는 기판 처리 장치가 제공된다.
<부기 2>
부기 1에 기재된 기판 처리 장치로서, 바람직하게는 상기 할로겐 원소는, 불소와 요오드이다.
<부기 3>
부기 1 또는 부기 2에 기재된 기판 처리 장치로서, 바람직하게는 상기 처리 가스는, 5불화요오드, 7불화요오드, 3불화브롬, 5불화브롬, 2불화크세논, 3불화염소 중 어느 하나 또는 2개 이상을 조합한 가스이다.
<부기 4>
부기 1 내지 부기 3 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 변성층은 실리콘 산화막이다.
<부기 5>
부기 1 내지 부기 4 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 막 제거 공정 후에, 상기 변성층의 발생을 억제하는 변성층 억제 공정을 갖도록 상기 제어부를 제어한다.
<부기 6>
부기 1 내지 부기 5 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 변성층 제거 공정과, 상기 막 제거 공정 중 어느 하나 또는 양쪽의 공정 후에 변성층 억제 공정을 갖는다.
<부기 7>
부기 1 내지 부기 6 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 제어부는, 상기 변성층 제거 공정에 있어서, 상기 제거제를 공급한 후에 상기 처리 가스를 공급하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어한다.
<부기 8>
부기 1 내지 부기 7 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 제어부는, 상기 막 제거 공정에서, 상기 처리 가스를 공급한 후에 상기 제거제를 공급하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어한다.
<부기 9>
부기 7에 기재된 기판 처리 장치로서, 바람직하게는 상기 제어부는, 상기 변성층 제거 공정에서, 상기 제거제의 공급을 정지한 후에 상기 막 제거 공정을 행하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어한다.
<부기 10>
부기 8에 기재된 기판 처리 장치로서, 바람직하게는 상기 제어부는, 상기 막 제거 공정에서, 상기 제거제를 공급한 후에, 상기 처리 가스의 공급을 정지하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어한다.
<부기 11>
부기 1 내지 부기 10 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 처리 가스는, 할로겐 원소를 포함하는 가스와 염기성 가스와의 혼합 가스를 여기시킴으로써 생성한다.
<부기 12>
부기 1 내지 부기 11 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 제거제는, 활성화된 희가스이다.
<부기 13>
부기 12에 기재된 기판 처리 장치로서, 바람직하게는 상기 변성층의 제거는, 상기 활성화된 희가스에 의해 스퍼터링됨으로써 행하여진다.
<부기 14>
부기 1 내지 부기 11 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 제거제는, 활성화된 환원성 가스이다.
<부기 15>
부기 1 내지 부기 11 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 제거제는, 할로겐 원소를 1개 이상 포함하는 가스이다.
<부기 16>
다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판을 처리 용기에 반입하는 공정과, 상기 변성층에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 공정과, 상기 Si 함유막에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거하는 막 제거 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
<부기 17>
부기 16에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는 상기 할로겐 원소는, 불소와 요오드이다.
<부기 18>
부기 16 또는 부기 17에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는 상기 처리 가스는, 5불화요오드, 7불화요오드, 3불화브롬, 5불화브롬, 2불화크세논, 3불화염소 중 어느 하나 또는 2개 이상을 조합한 가스이다.
<부기 19>
부기 16 내지 부기 18 중 어느 한 항에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는 상기 변성층은 실리콘 산화막이다.
<부기 20>
부기 16 내지 부기 19 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 변성층 제거 공정에서는, 희가스를 포함하는 제거 가스가 공급되는 스텝과, 당해 제거 가스가 활성화되는 스텝을 갖는다.
<부기 21>
부기 16 내지 부기 20 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 변성층 제거 공정에서는, 환원성 가스를 포함하는 제거 가스가 공급되는 스텝과, 당해 제거 가스가 활성화되는 스텝을 갖는다.
<부기 22>
부기 16 내지 부기 21 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 막 제거 공정 후에, 변성층의 발생을 억제하는 변성층 억제 공정을 갖는다.
<부기 23>
부기 16 내지 부기 22 중 어느 한 항에 기재된 기판 처리 장치로서, 바람직하게는 상기 변성층 제거 공정과, 상기 막 제거 공정 중 어느 하나 또는 양쪽의 공정 후에 변성층 억제 공정을 행한다.
<부기 24>
부기 16 내지 부기 23 중 어느 한 항에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는 상기 변성층 제거 공정에서, 상기 제거제를 공급한 후에 상기 처리 가스를 공급한다.
<부기 25>
부기 16 내지 부기 24 중 어느 한 항에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는 상기 막 제거 공정에서, 상기 처리 가스를 공급한 후에 상기 제거제를 공급한다.
<부기 26>
부기 24에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는 상기 변성층 제거 공정에서, 상기 제거제의 공급을 정지한 후에 상기 막 제거 공정을 행한다.
<부기 27>
또 다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판을 처리 용기에 반입시키는 수순과, 상기 변성층에 제거제를 공급하여, 상기 변성층을 제거시키는 변성층 제거 수순과, 상기 Si 함유막에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거시키는 막 제거 수순을 컴퓨터에 실행시키는 프로그램이 제공된다.
<부기 28>
또 다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판을 처리 용기에 반입하는 수순과, 상기 변성층에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 수순과, 상기 Si 함유막에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거시키는 막 제거 수순을 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체가 제공된다.
<부기 29>
또 다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 기판으로서, 상기 변성층에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 공정과, 상기 Si 함유막에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거하는 막 제거 공정이 실시된 기판이 제공된다.
<부기 30>
또 다른 형태에 의하면, Si 함유막 상에 변성층이 형성된 반도체 장치 구조를 갖는 기판으로서, 상기 변성층에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 공정과, 상기 Si 함유막에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거하는 막 제거 공정이 실시되어, 도괴 방지 지지부와 통 형상 전극이 형성된 반도체 장치 구조를 갖는 기판이 제공된다.
[산업상 이용 가능성]
본 발명에 따른 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체에 의하면, 반도체 장치의 제조 품질을 향상시킴과 함께, 제조 스루풋을 향상시키는 것이 가능하게 된다.
123 : 외부 기억 장치 410 : 처리실
431 : 처리 용기 455 : 가스 공급관
459 : 서셉터 463 : 기판 가열부
500 : 컨트롤러 500a : CPU
500b : RAM 500c : 기억 장치
600 : 웨이퍼
601 : 스토퍼막으로서의 실리콘 질화막
602 : 통 형상의 전극으로서의 질화티타늄막
603 : 전극의 도괴 방지용 지지부로서의 실리콘 질화막
604 : 실리콘 함유막 605a : 변성층
605b : 계면 변성층 606 : 매립막
607 : 실리콘 하드 마스크 608 : IF7 가스 분자
609 : 반응 성생물 610 : 실리콘 산화막 제거 장치
611 : 불활성 가스 분위기의 용기 612 : 실리콘 함유막 제거 장치
613 : 실리콘 산화막 제거용의 반응실
614 : 실리콘막 제거용의 반응실
615 : 불활성 가스로 퍼지된 진공 반송실

Claims (12)

  1. Si 함유막 상에 변성층이 형성된 기판에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 공정과, 상기 기판에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거하는 막 제거 공정을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 변성층은 실리콘 산화막인, 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 변성층은 실리콘 질화막인, 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 제거제는, 활성화된 희가스인, 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 제거제는, 활성화된 환원성의 가스인, 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 변성층 제거 공정은, 7불화요오드 가스와 수소 가스의 혼합 가스를 공급하는 스텝과, 당해 혼합 가스를 활성화하는 스텝을 포함하는, 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 처리 가스가 포함하는 할로겐 원소는 불소와 요오드인, 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 처리 가스는, 5불화요오드, 7불화요오드, 3불화브롬, 5불화브롬, 2불화크세논, 3불화염소 중 어느 하나, 또는 2개 이상을 조합한 가스인, 반도체 장치의 제조 방법.
  9. 제1항에 있어서,
    상기 변성층 제거 공정과, 상기 막 제거 공정 중 어느 하나 또는 양쪽의 공정 후에, 상기 변성층의 발생을 억제하는 변성층 억제 공정을 행하는, 반도체 장치의 제조 방법.
  10. 제1항에 있어서,
    상기 막 제거 공정 후에, 상기 제거제를 상기 기판에 공급하여, 상기 막 제거 공정 후에 남은 상기 변성층을 제거하는 스텝을 포함하는, 반도체 장치의 제조 방법.
  11. Si 함유막 상에 변성층이 형성된 기판을 수용하는 처리 용기와,
    상기 기판에 제거제를 공급하는 제거제 공급부와,
    상기 기판에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하는 처리 가스 공급부와,
    상기 제거제를 상기 기판에 공급하는 변성층 제거 공정과, 상기 처리 가스를 상기 기판에 공급하는 막 제거 공정을 실행하도록 상기 제거제 공급부와 상기 처리 가스 공급부를 제어하는 제어부를 포함하는 기판 처리 장치.
  12. Si 함유막 상에 변성층이 형성된 기판에 제거제를 공급하여, 상기 변성층을 제거하는 변성층 제거 수순과, 상기 기판에 할로겐 원소를 2개 이상 포함하는 처리 가스를 공급하여, 상기 Si 함유막을 제거하는 막 제거 수순을 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체.
KR1020167002323A 2013-07-29 2014-07-25 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 KR20160025591A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2013-156958 2013-07-29
JP2013156958 2013-07-29
PCT/JP2014/069701 WO2015016149A1 (ja) 2013-07-29 2014-07-25 基板処理装置、半導体装置の製造方法および記録媒体

Publications (1)

Publication Number Publication Date
KR20160025591A true KR20160025591A (ko) 2016-03-08

Family

ID=52431684

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167002323A KR20160025591A (ko) 2013-07-29 2014-07-25 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (5)

Country Link
US (1) US20160155630A1 (ko)
JP (1) JPWO2015016149A1 (ko)
KR (1) KR20160025591A (ko)
TW (1) TW201519314A (ko)
WO (1) WO2015016149A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190088009A (ko) * 2018-01-17 2019-07-25 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
JP6434617B2 (ja) * 2015-05-22 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびそれを用いたプラズマ処理方法
JP6817757B2 (ja) * 2016-09-16 2021-01-20 東京エレクトロン株式会社 基板処理装置及び基板移送方法
JP7002268B2 (ja) * 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
KR20210143313A (ko) * 2019-06-18 2021-11-26 쇼와 덴코 가부시키가이샤 플라즈마 에칭 방법
FR3101196B1 (fr) * 2019-09-20 2021-10-01 Semco Smartech France Dispositif d'homogeneisation
KR20210081591A (ko) * 2019-12-24 2021-07-02 (주)에스티아이 기판 처리 장치 및 기판 처리 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011086908A (ja) 2009-09-16 2011-04-28 Hitachi Kokusai Electric Inc 基板処理方法及び基板処理装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06196455A (ja) * 1991-07-31 1994-07-15 Kawasaki Steel Corp 半導体基板の処理方法
JPH06204191A (ja) * 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
JP3545104B2 (ja) * 1994-10-17 2004-07-21 株式会社半導体エネルギー研究所 薄膜半導体の作製方法
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
JPH11150112A (ja) * 1997-11-19 1999-06-02 Sony Corp 半導体製造装置および半導体装置の製造方法
JP3897071B2 (ja) * 1998-01-20 2007-03-22 ソニー株式会社 半導体装置の製造方法
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002113700A (ja) * 2000-10-05 2002-04-16 Sony Corp マイクロマシン製造装置、マイクロマシンの製造方法、回折格子ライトバルブの製造方法および表示装置の製造方法
JP3985537B2 (ja) * 2002-01-31 2007-10-03 富士電機デバイステクノロジー株式会社 半導体装置の製造方法
JP4115761B2 (ja) * 2002-07-05 2008-07-09 アルプス電気株式会社 アクティブマトリクス基板及びその製造方法並びにそれを用いた表示装置
JP2004349616A (ja) * 2003-05-26 2004-12-09 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体製造装置
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP6056136B2 (ja) * 2011-09-07 2017-01-11 セントラル硝子株式会社 ドライエッチング方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011086908A (ja) 2009-09-16 2011-04-28 Hitachi Kokusai Electric Inc 基板処理方法及び基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190088009A (ko) * 2018-01-17 2019-07-25 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치

Also Published As

Publication number Publication date
WO2015016149A1 (ja) 2015-02-05
US20160155630A1 (en) 2016-06-02
JPWO2015016149A1 (ja) 2017-03-02
TW201519314A (zh) 2015-05-16

Similar Documents

Publication Publication Date Title
KR20160025591A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20160218012A1 (en) Method of forming fine pattern, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP6000665B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
US20160056035A1 (en) Method of Manufacturing Semiconductor Device
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
TW201028804A (en) Substrate processing method
US10153172B2 (en) Etching method and recording medium
KR102118268B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6262333B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR101393185B1 (ko) 패턴 형성 방법 및 반도체 장치의 제조 방법
JP3204836B2 (ja) プラズマ処理方法およびプラズマ処理装置
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
TW201907476A (zh) 蝕刻多孔質膜之方法
KR20200041962A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
TWI588297B (zh) Attachment removal method and dry etching method
JP2017157660A (ja) 半導体装置の製造方法および基板処理装置
KR101812900B1 (ko) 패턴 형성 방법
CN112740376A (zh) 半导体装置的制造方法、基板处理装置和程序
KR20230124008A (ko) 기판 처리 방법 및 기판 처리 장치
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
JP2018129330A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
WO2015011829A1 (ja) 基板処理装置及び半導体装置の製造方法
KR102653253B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101150268B1 (ko) 열처리 장치 및 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal