SG10201405549VA - Ion to neutral control for wafer processing with dual plasma source reactor - Google Patents

Ion to neutral control for wafer processing with dual plasma source reactor

Info

Publication number
SG10201405549VA
SG10201405549VA SG10201405549VA SG10201405549VA SG10201405549VA SG 10201405549V A SG10201405549V A SG 10201405549VA SG 10201405549V A SG10201405549V A SG 10201405549VA SG 10201405549V A SG10201405549V A SG 10201405549VA SG 10201405549V A SG10201405549V A SG 10201405549VA
Authority
SG
Singapore
Prior art keywords
ion
plasma source
wafer processing
neutral control
dual plasma
Prior art date
Application number
SG10201405549VA
Inventor
Rajinder Dhindsa
Sang Ki Nam
Alexei Marakhtanov
Eric A Hudson
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201405549VA publication Critical patent/SG10201405549VA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
SG10201405549VA 2013-09-20 2014-09-08 Ion to neutral control for wafer processing with dual plasma source reactor SG10201405549VA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/033,241 US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor

Publications (1)

Publication Number Publication Date
SG10201405549VA true SG10201405549VA (en) 2015-04-29

Family

ID=52690006

Family Applications (1)

Application Number Title Priority Date Filing Date
SG10201405549VA SG10201405549VA (en) 2013-09-20 2014-09-08 Ion to neutral control for wafer processing with dual plasma source reactor

Country Status (6)

Country Link
US (2) US9793126B2 (en)
JP (1) JP6641077B2 (en)
KR (1) KR20150032811A (en)
CN (1) CN104465457B (en)
SG (1) SG10201405549VA (en)
TW (1) TWI647731B (en)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR102510737B1 (en) * 2015-03-30 2023-03-15 도쿄엘렉트론가부시키가이샤 Atomic layer etching method
KR102465801B1 (en) * 2015-05-22 2022-11-14 주식회사 히타치하이테크 Plasma processing device and plasma processing method using same
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US20170207077A1 (en) * 2016-01-15 2017-07-20 Mattson Technology, Inc. Variable Pattern Separation Grid for Plasma Chamber
JP6625891B2 (en) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP6770887B2 (en) * 2016-12-28 2020-10-21 株式会社Screenホールディングス Board processing equipment and board processing system
JP2018148143A (en) * 2017-03-08 2018-09-20 株式会社東芝 Shower plate, processor, and discharge method
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
KR102453450B1 (en) * 2017-10-23 2022-10-13 삼성전자주식회사 apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP6902991B2 (en) 2017-12-19 2021-07-14 株式会社日立ハイテク Plasma processing equipment
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11404245B2 (en) * 2018-02-28 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. DC bias in plasma process
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10418550B2 (en) * 2018-05-29 2019-09-17 Nanjing University High temperature resistant memristor based on two-dimensional covalent crystal and preparation method thereof
KR20200015264A (en) 2018-08-03 2020-02-12 삼성전자주식회사 Wafer to wafer bonding method and wafer to wafer bonding system
US10868237B2 (en) 2018-08-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition
US10516102B1 (en) 2018-10-16 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple spacer assisted physical etching of sub 60nm MRAM devices
TW202044320A (en) * 2019-01-23 2020-12-01 美商蘭姆研究公司 Substrate processing system including dual ion filter for downstream plasma
CN110042369A (en) * 2019-03-26 2019-07-23 云谷(固安)科技有限公司 The chamber structure of plasma enhanced chemical vapor deposition and equipment with it
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
CN110797245B (en) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 Semiconductor processing equipment
WO2021090798A1 (en) * 2019-11-08 2021-05-14 東京エレクトロン株式会社 Etching method
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
KR102362893B1 (en) * 2019-11-27 2022-02-11 세메스 주식회사 Substrate processing apparatus and substrate processing method
JP6825069B2 (en) * 2019-11-28 2021-02-03 株式会社日立ハイテク Vacuum processing equipment
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
CN112234015B (en) * 2020-10-12 2022-05-13 烟台睿瓷新材料技术有限公司 Electrostatic chuck electrode pattern structure with concentric circle structure
KR102592414B1 (en) * 2020-11-23 2023-10-20 세메스 주식회사 An unit for controlling an electrode and an apparatus for treating a substrate with the unit
KR102577288B1 (en) * 2020-11-23 2023-09-08 세메스 주식회사 Apparatus for treating substrate and method thereof
CN112593208B (en) * 2020-11-25 2022-01-11 北京北方华创微电子装备有限公司 Semiconductor processing equipment
JP2022112423A (en) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 Plasma processing apparatus
KR102591654B1 (en) * 2021-10-20 2023-10-19 ( 주)아이씨디 Capacitively Coupled Plasma Substrate Processing Apparatus
KR20230063414A (en) 2021-11-02 2023-05-09 세메스 주식회사 Apparatus for treating substrate and method for processing a substrate
CN115547915B (en) * 2022-11-28 2023-02-14 四川上特科技有限公司 Wafer exposure clamp and exposure device

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (en) 1985-09-10 1994-11-14 松下電器産業株式会社 Method of making substrate of flat information recording medium
JPH0738384B2 (en) * 1986-03-18 1995-04-26 富士通株式会社 Plasma assing device
JP2643457B2 (en) 1989-06-28 1997-08-20 三菱電機株式会社 Plasma processing apparatus and method
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (en) 1990-09-28 1992-05-12 Hitachi Ltd Ion beam etching method and ion beam etching device
JP3149454B2 (en) 1991-05-17 2001-03-26 日本電気株式会社 Upper electrode of single wafer plasma etching system
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (en) 1992-09-08 1994-03-29 Tokyo Electron Ltd Etching equipment
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (en) 1994-02-22 1997-04-30 木下 治久 Plasma process equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3360461B2 (en) 1995-01-31 2002-12-24 ソニー株式会社 Pretreatment method for metal film formation process
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JP3190830B2 (en) 1996-07-22 2001-07-23 日本電気株式会社 Method for manufacturing semiconductor device
US20040071876A1 (en) 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4043089B2 (en) * 1997-02-24 2008-02-06 株式会社エフオーアイ Plasma processing equipment
JPH10242116A (en) 1997-02-25 1998-09-11 Nkk Corp Parallel flat plate type rie apparatus
JP3317209B2 (en) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 Plasma processing apparatus and plasma processing method
JP3364675B2 (en) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 Plasma processing equipment
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (en) 1998-02-02 1999-08-10 Matsushita Electron Corp Plasma etching method
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (en) 1998-09-22 2000-04-07 Canon Inc Plasma treating unit and treatment method using the same
JP2000208483A (en) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp Method and system for processing wafer
JP2001274143A (en) 2000-03-28 2001-10-05 Tdk Corp Dry etching method, micromachining method and mask for dry etching
DE10024883A1 (en) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasma etching system
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
AU2001288232A1 (en) 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2002289585A (en) 2001-03-26 2002-10-04 Ebara Corp Neutral particle beam treatment device
WO2003054947A1 (en) 2001-12-13 2003-07-03 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US20050025791A1 (en) 2002-06-21 2005-02-03 Julius Remenar Pharmaceutical compositions with improved dissolution
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (en) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd Plasma processing apparatus
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (en) * 2003-05-21 2004-12-09 Nec Kansai Ltd Gas dispersing plate of dry etching apparatus
JP4111274B2 (en) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 Magnetic material dry etching method
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
JP2005116865A (en) * 2003-10-09 2005-04-28 Canon Inc System and method for ion milling
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (en) 2004-11-12 2007-01-02 삼성전자주식회사 Plasma processing apparatus
JP4773079B2 (en) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ Control method of plasma processing apparatus
JP2006236772A (en) * 2005-02-24 2006-09-07 Ebara Corp Neutral particle beam source and neutral particle beam processing apparatus
CN101223624B (en) 2005-09-09 2011-06-15 株式会社爱发科 Ion source and plasma processing device
KR100653073B1 (en) 2005-09-28 2006-12-01 삼성전자주식회사 Apparatus for treating substrate and method of treating substrate
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
EP2333821A4 (en) 2008-09-01 2014-07-30 Japan Science & Tech Agency Plasma etching method, plasma etching device and photonic crystal manufacturing method
JP2010192197A (en) * 2009-02-17 2010-09-02 Tokyo Electron Ltd Substrate processing apparatus, and substrate processing method
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20120104274A1 (en) 2009-07-16 2012-05-03 Canon Anelva Corporation Ion beam generating apparatus, substrate processing apparatus and method of manufacturing electronic device
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US20110192993A1 (en) 2010-02-09 2011-08-11 Intevac, Inc. Adjustable shadow mask assembly for use in solar cell fabrications
JP5388915B2 (en) 2010-03-16 2014-01-15 株式会社東芝 Channel opening / closing device and paper sheet processing device
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
KR101742815B1 (en) 2010-07-23 2017-06-01 삼성전자 주식회사 Coating composition for DUV filtering, method of forming a photoresist pattern using the same and method of fabricating a semiconductor device
JP5735232B2 (en) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー Plasma processing equipment
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
KR20140092892A (en) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 Precursor distribution features for improved deposition uniformity
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (en) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching equipment
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Also Published As

Publication number Publication date
JP6641077B2 (en) 2020-02-05
US9793126B2 (en) 2017-10-17
TW201528310A (en) 2015-07-16
TWI647731B (en) 2019-01-11
JP2015065434A (en) 2015-04-09
CN104465457B (en) 2018-09-14
CN104465457A (en) 2015-03-25
US20170213747A9 (en) 2017-07-27
US20180005852A1 (en) 2018-01-04
KR20150032811A (en) 2015-03-30
US20150083582A1 (en) 2015-03-26

Similar Documents

Publication Publication Date Title
SG10201405549VA (en) Ion to neutral control for wafer processing with dual plasma source reactor
SG10201403999YA (en) Dual chamber plasma etcher with ion accelerator
SG10201403639PA (en) Ion beam etching system
SG11201506564RA (en) Toroidal plasma processing apparatus
GB201414715D0 (en) Ion modification
EP3074426A4 (en) Milling process
EP3041324A4 (en) Microwave plasma processing device
GB201210994D0 (en) Ion accelerators
SG10201608877QA (en) Narrow source for physical vapor deposition processing
EP2867915A4 (en) Multi Species Ion Source
EP3008618A4 (en) Compiler optimization for complex exponential calculations
PT2954758T (en) Plasma source
PL3080352T3 (en) Plasma treatments for coloration of textiles
EP3040389A4 (en) Sheet for processing semiconductor
EP2932508A4 (en) Nano-emitter ion source neutron generator
SG11201500389UA (en) Device for treating an object with plasma
GB201304776D0 (en) Ion modification
HK1220699A1 (en) Compunds for treating neurodegenerative proteinopathies
SG11201504651QA (en) Plasma source
EP3056069A4 (en) Low-cost plasma reactor
GB2516448B (en) Reactive Ion Etching
SG11201402690TA (en) Semiconductor processing system with source for decoupled ion and radical control
GB201316178D0 (en) Plasma source
GB201403890D0 (en) Optimised ion mobility separation tiemscales for targeted ions
EP2964773A4 (en) Milling process