JP4043089B2 - Plasma processing equipment - Google Patents

Plasma processing equipment Download PDF

Info

Publication number
JP4043089B2
JP4043089B2 JP05606098A JP5606098A JP4043089B2 JP 4043089 B2 JP4043089 B2 JP 4043089B2 JP 05606098 A JP05606098 A JP 05606098A JP 5606098 A JP5606098 A JP 5606098A JP 4043089 B2 JP4043089 B2 JP 4043089B2
Authority
JP
Japan
Prior art keywords
plasma
plasma processing
space
processing apparatus
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP05606098A
Other languages
Japanese (ja)
Other versions
JPH10294307A (en
Inventor
裕 奥村
理一郎 原野
Original Assignee
株式会社エフオーアイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社エフオーアイ filed Critical 株式会社エフオーアイ
Priority to JP05606098A priority Critical patent/JP4043089B2/en
Publication of JPH10294307A publication Critical patent/JPH10294307A/en
Application granted granted Critical
Publication of JP4043089B2 publication Critical patent/JP4043089B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
この発明は、ICやLCDなど高精度の製造工程においてプラズマ処理を効率よく行うときに好適なプラズマ処理装置に関し、詳しくは、電界及び磁界を用いてプラズマを発生させるプラズマ処理装置に関する。
【0002】
【従来の技術】
従来、CVDやエッチング,アッシング等のプラズマ処理に用いられるプラズマ処理装置の例として、対向電極となる一対の平行平板を設けておいてこれらの平行平板間にプラズマ処理空間を形成してシリコンウエハ等の基板を対象にエッチング処理を行ういわゆる平行平板形エッチャー(RIE)や成膜処理を行う平行平板形PCVD等が知られている。
図13に縦断面構造図を示したが、平行平板形のプラズマ処理装置は、一対の平行平板が真空チャンバ内に設けられていて、両平板間に形成されたプラズマ処理空間にプラズマを発生させ又は導入するとともにそのプラズマ処理空間内に所定の処理ガス等も導入する。そして、プラズマ処理空間にてプラズマ反応を行わせ、これによってプラズマ処理空間内の基板表面に対してエッチング処理等を施すものである。
【0003】
エッチャーを例に詳述すると、この装置は、真空チャンバ本体部2の上に真空チャンバ蓋部3が開閉可能に取着された真空チャンバを備えており、被処理物である基板1が平板状をしていることから、水平に置かれたカソード部12が真空チャンバ本体部2内のほぼ中央に設けられ、このカソード部12の上面が平坦に形成されたうえ絶縁膜が張られて基板1を乗載しておくことが可能なようになっている。真空チャンバ本体部2の内底中央には筒状のローアーサポート12aが貫通して立設されており、カソード部12はこのローアーサポート12aの上端に固着して支持されており、これらによって構成された基板支持体は、真空チャンバ内に植設され上面が基板乗載可能に形成されたものとなっている。
【0004】
真空チャンバ蓋部3内のほぼ中央であってカソード部12の上方にはアノード部11が筒状のアッパーサポート11aによって真空チャンバ蓋部3に垂設されており、アノード部11とカソード部12とを互いに対向した電極としてRF電源31によって高周波が印加されると所定の真空圧の下でアノード部11とカソード部12との間にプラズマが発生する。そこに、所定の処理ガスが供給されるとカソード部12上面に載置された基板1にガス状態等に応じたプラズマ処理がなされる。これにより、アノード部11は、カソード部12の上面との間にプラズマ処理空間13を形成するものとなっている。
【0005】
真空チャンバ本体部2には真空チャンバ内ガスを吸い出して適度な真空度を保つために内外貫通した吸引口2aが加工形成され、この吸引口2aに対し順にゲートバルブ4a、可変バルブ4、真空ポンプ5が連結されている。ゲートバルブ4aは保守時等に仕切るための手動弁であり通常動作時には開状態にされる。これとターボポンプ等の真空ポンプ5とに介挿された可変バルブ4は、バルブ開度を可変駆動するモータ等が付設されていてこれを電気信号で制御することで遠隔制御可能な通過流体の可変絞りとして機能する。そして、真空チャンバに付設された真空圧計4bによって真空チャンバ内の真空圧が検出され、この検出値と所定の設定目標値との差に基づいてPID制御回路4cによって制御信号が生成出力されると、この制御信号に従って可変バルブ4による絞り量が可変駆動される。このような真空圧計4bを圧力検出器としPID制御回路4cを圧力制御回路とし可変バルブ4を圧力制御機構とする圧力制御手段によって、真空チャンバ内の真空圧が設定圧力になるように自動制御される。
【0006】
ところで上述のようにプラズマ空間を挟む平行平板に電界を加えるだけのプラズマ発生ではプラズマ密度が不足するので、磁界も加えてプラズマを封じることで高密度プラズマ(HDP)を発生させるようにした物も知られている。これは、MRIE(マグネトロンリアクティブイオンエッチャー)等に応用されており、プラズマの高密度化に伴ってプラズマ成分におけるイオン種の割合も増加させるものである。このタイプではプラズマが偏在しがちなことに加えて、イオン種の割合が高くなるとイオンによる被処理物へのダメージも強くなる傾向がある。そこで、特開平3−79025号公報に記載の如く平面状コイルを用いた磁場の一様化によってダメージを防止しようとした装置もあるが、この方式では、依然として、発生中の高密度プラズマに被処理物が直接曝される。しかし、そのことに起因してのプラズマ電流による被処理物のチャージアップ等その他の問題ついては、言及が無い。
【0007】
これに対し、イオンによる被処理物へのダメージを低減させるとともに発生中の高密度プラズマに被処理物が直接曝されないようにするために、プラズマ空間を互いに連通したプラズマ処理空間とプラズマ発生空間とに分離しておき、プラズマ発生空間内で高密度プラズマを発生させてそこからプラズマ処理空間へプラズマを供給させる際にプラズマ成分のイオン種を抑制してラジカル種の割合を増加させるようにしたプラズマエッチング装置も知られている。これには、ラジカルフローを利用したECR(電子サイクロトロン共鳴)や特開平4−81324号公報記載のもの等のように両空間を距離的に引き離したものや、ICP(インダクティブカップルプラズマ)等のように強力な磁場で高密度プラズマをプラズマ処理空間に隣接したプラズマ発生空間へ閉じこめるもの、さらにプラズマ処理空間にプラズマ発生空間が隣接している点では同じであるが特開平4−290428号公報記載のもの等のようにリングアンテナからの円偏波電磁波を利用して高密度プラズマを閉じこめるものなどに分類される。
【0008】
【発明が解決しようとする課題】
しかしながら、これら従来のプラズマ処理装置のうち、上述したECRタイプのもの即ち距離的に引き離す方式では、プラズマ処理空間およびプラズマ発生空間の両空間が適度な距離を保つようにそれらの機構を実装するうえで制約が多い等のことから、イオン種の割合が必要以上に抑制されてしまってラジカル種が増えた割にはプラズマ処理効率の向上が得られない。しかも、機構の実装等を工夫してプラズマにおけるラジカル種の成分とイオン種の成分との比率をプラズマ処理効率の高いところへ近づけたとしても、活性ガスの種類や圧力さらには被処理物の材質などが変化すると望ましい比率自体がずれて変動するうえ、両空間の距離を可変制御しうる機構の具体化が困難なこともあって、この方式の下では、適正なプラズマ成分比率で処理効率が良いというプラズマ処理装置を実現しきれていない。
【0009】
一方、ICPのタイプでは、コイルに流す電流の時間変化に伴う磁界の変化が電子を加速してその電子が周りの処理ガスを電離させるエネルギーを超えるとイオン化が起こりプラズマが発生し形成される。この電離メカニズムは、コイルの合成磁界に依存して収束された状態で形成されるため、イオン化に役立つ高エネルギー電子の発生形状はドーナツ状となる。この電子エネルギー分布はほぼボルツマン分布をするから、電離以上のエネルギーを持つ電子は、プラズマ空間内のガスを電離させ、それ以下の電子はラジカルを生成させる。このように、ICPプラズマではイオン形成とラジカル形成とが同一のプラズマ形成手段に依存しているため、イオンとラジカルとの密度比率を任意に設定・制御することができない。また、TCPプラズマ(トランスフォームドカップルプラズマ)についても、コイル形状は異なるが、ほぼ同一のメカニズムとなっている。
【0010】
他方、円偏波電磁波を利用する方式では、強力磁場の利用は避けても、大径の単一リングアンテナを用いていること等のため、プラズマ処理空間におけるプラズマ分布の均一性を確保するために、プラズマ発生空間が概ねプラズマ処理空間に匹敵する広がりを持っており、少なくとも被処理物以上の広がりを持っており、その広い状態のまま両空間の隣接面のところで連通するものとなっている。
【0011】
しかし、両空間連通部の面積が広いと、プラズマ処理空間からプラズマ発生空間へ逆流するガスが多くなる。このことは、プラズマ処理空間とプラズマ発生空間とが分離されていても隣接している方式を採っている従来のプラズマ処理装置の場合すべてに共通して言えることである。さらに、このことはECR等についてもほぼ同じと言える。このタイプの場合、一見すると、TCPやICPプラズマと異なりプラズマ発生空間とプラズマ処理空間とが離れているため、両者が分離されているように見えるが、両空間の連通部における開口径が大きいので、プラズマ成分に関しては見かけほど分離されていないのである。
【0012】
このような逆流ガスには被処理物の処理によって発生等した早急に排出すべき成分も一部ではあっても含まれている。そして、かかる排出すべきガスは、プラズマ発生空間に入ると高密度プラズマによって激しく分解・電離させられるので、適正な処理を妨げたり装置内部を汚染させたりする不所望なものに変質してしまうことが多い。一応分割されていてもプラズマ成分に関しては明確に分離しきれていないのである。
このため、プラズマ分布の均一性が確保できたとしても、不所望なガスの逆流を阻止できないのでは、良質の処理を提供することが難しい。
【0013】
なお、両空間が連通する隣接面のところに連通面積を絞るバッフル板を設置して通過量を抑制することも考えられるが、この場合、流入量が減っても流出量も同様に減ることから、一旦プラズマ発生空間へ入ったガスはなかなか出ていかないので、高密度プラズマによって変質させられるガスの割合が高くなってしまう。このため、単純に特開平4−290428号公報記載のもの等とバッフル板等とを組み合わせても、ガス変質防止という最終的な効果は期待できない。
【0014】
そこで、プラズマ処理空間からプラズマ発生空間へのガス流入を有効に阻止することができるように両空間の構造等を工夫することが課題となる。
ただし、プラズマダメージやチャージアップ低減の観点からプラズマ空間をプラズマ発生空間とプラズマ処理空間とに分離するとともに、プラズマにおけるラジカル種の成分とイオン種の成分との比率を適正化するという観点から、プラズマ発生空間とプラズマ処理空間とを隣接させるという条件は維持したい。
【0015】
ところで、プラズマ処理の対象である基板サイズが広がって大きくなるに連れて1枚ごとに処理する枚様処理がプラズマ処理装置でも一般的となっており、その場合、一対の平行平板のうち基板支持体となる他方の平板についてその上面は概ね基板によって覆われることから、それ以前のように基板支持体の中央に吸引口を設けるということが難しいので、従来のプラズマ処理装置では、吸引口が真空チャンバ本体部において基板支持体植設部位を外したところに形成されている。そして、基板サイズが大きくなるに連れて平行平板も大きくなり、さらにはチャンバ内容積や吸引口も拡大してきている。
【0016】
一方、基板サイズが大きくなったからといって、処理の精密度や均一性に対する要求は緩むことなく厳しさを増すばかりである。そして、この要求に応えるには、基板上面全域に亘ってプラズマ状態の均一性を確保することが必要となる。ところが、吸引口が対称中心からずれていたり、例え吸引口を対称位置に分散させたとしても各吸引口から真空ポンプまでの配管に長短があったりすると、プラズマ等の流れが偏向して、プラズマの均一性を確保するのが難しい。これに対しては、真空チャンバにおいて吸引口の手前にバッフル板を設置してバッフル板より手前の流れを均一化することも考えられるが(図13における2b参照)、この場合、チャンバ内容積の増加に加えて、バッフル板が流れの抵抗になることから、圧力制御性が低下してしまう。すなわち、プラズマの圧力状態を素早く制御することができなくなって圧力変動が増加し、その結果、プラズマの圧力を所望の設定状態に維持することが困難となる。
【0017】
他方、プラズマの圧力制御性を高めるには逆に真空チャンバの容積を減らすことが有効といえる。具体的にはチャンバ内側壁が平行平板の辺縁部に接触しそうなところまで真空チャンバを縮めることが考えられる(図14参照)。もっとも、このような強引な手法で単純にチャンバ容積の削減を行ったのでは、吸引口をプラズマ処理空間の真横に設けざるを得ないことから、プラズマの流れが激しく偏ってプラズマの均一性が大きく損なわれることになりかねない。この場合、緩衝用にバッフル板を設ける空間的な余裕も無く、基板の搬入搬出機構等の付設や平行平板の裏側等の保守作業も困難になってしまう。
【0018】
しかしながら、プラズマ処理の均一性に加えて基板の大形化もプラズマ処理装置に対する重要な要請であり、何れか一方にしか応えないのでは、装置の製品価値を維持向上させることができない。
そこで、これらの相反する要求に応えるべく、プラズマの圧力状態等を一層均一に且つ一層素早く制御することが可能な構造を案出することも、さらなる課題となる。
【0019】
この発明は、このような課題を解決するためになされたものであり、プラズマ空間をプラズマ発生空間とプラズマ処理空間とに分離し且つこれらを隣接させるという前提条件の下でプラズマ成分比率の適正化・制御性を積極的に高めるとともに、プラズマ分布の均一性確保とプラズマ処理空間からプラズマ発生空間へのガス流入阻止の両立を図ることにより、良質のプラズマを供給するプラズマ処理装置を実現することを目的とする。
また、この発明は、均一で質の良いプラズマの供給に加えて圧力制御性にも優れたプラズマ処理装置を実現することも目的とする。
【0020】
【課題を解決するための手段】
このような課題を解決するために発明された第1乃至第14の解決手段について、その構成および作用効果を以下に説明する。なお、その骨子は、プラズマ空間をプラズマ発生空間とプラズマ処理空間とに分割するとともに、分割された両空間におけるプラズマ成分に関しての分離度を高めることにある。
【0021】
[第1の解決手段]
第1の解決手段のプラズマ処理装置は(、出願当初の請求項1に記載の如く)、プラズマ処理空間が形成された第1機構と、前記第1機構に取着して又はそれと一体的に設けられプラズマ発生空間が形成された第2機構とを具え、前記プラズマ発生空間が前記プラズマ処理空間に隣接し且つ連通しているプラズマ処理装置において、前記プラズマ発生空間が分散等して形成されたものであることを特徴とするものである。
【0022】
[第2の解決手段]
第2の解決手段のプラズマ処理装置は(、出願当初の請求項2に記載の如く)、(真空チャンバ内に)対向電極となる一対の平行平板(を具えこれら平行平板)間にプラズマ処理空間を形成したプラズマ処理装置において、前記一対の平行平板のうち一方の平板に又はその隣接機構部に、前記プラズマ処理空間に隣接し且つ連通したプラズマ発生空間が分散等して形成されていることを特徴とするものである。
【0023】
すなわち、これら第1,2の解決手段のプラズマ処理装置は、プラズマ空間がプラズマ発生空間とプラズマ処理空間とに分割されているとともに、プラズマ発生空間がプラズマ処理空間に隣接し且つ連通しているプラズマ発生装置において、プラズマ発生空間が分散等して形成されているものである。
【0024】
ここで、上記の「分散等」とは、点状に分かれて散在しているという文字通りの分散の他、密接とは言えない程度に離れるように分割されている場合や、線状,破線状,直・曲線状などで複数の又はそれらの混在するものがプラズマ処理空間との隣接部・連通部に分布している場合、さらには環状,円状,多角形状、スパイラル状のものが同心で若しくは非同心で多数が列設され又は単独で広く形成されている場合も該当する意味である。
【0025】
このような第1,2の解決手段のプラズマ処理装置にあっては、プラズマ空間の分離および隣接連通という条件を維持することにより、プラズマダメージやチャージアップの低減、及びプラズマにおけるラジカル種の成分とイオン種の成分との比率適正化という基本的要請に応えている。
【0026】
しかも、プラズマ発生空間が分散等して形成されているので、プラズマ分布の均一性確保の要請に応え得るばかりか、プラズマ処理空間との連通隣接面さらにはその面に沿ったプラズマ発生空間自身の断面積が必然的にプラズマ処理空間のそれよりも小さくなる。このことは、全断面についてだけでなく、中央部やその他の部分断面についてもいえる。このように双方空間の面積に差があると、連通隣接面の面積とこれに沿ったプラズマ処理空間の断面積との比を第1比とし連通隣接面の面積とこれに沿ったプラズマ発生空間の断面積との比を第2比として、第1比が1未満で且つ第2比よりも小さいことになる。
【0027】
そして、第1比が1未満の場合、プラズマ処理空間からプラズマ発生空間へ流入するガス量が減少する。一方、第2比が1の場合、プラズマ発生空間からプラズマ処理空間へ流出するガス量は減少しない。また、第2比が1未満で流出ガス量が減少する場合であっても、第2比が第1比より大きければ、減少の程度が小さくて済む。何れにしても、相対的には、プラズマ処理空間からプラズマ発生空間へ流入するガスの割合よりもプラズマ発生空間からプラズマ処理空間へ流出するガスの割合の方が高くなる。これにより、不所望なガスのプラズマ発生空間への流入が抑制されるばかりか、ガスがプラズマ発生空間へ入ってしまったときでもそのガスはプラズマ流とともに速やかにプラズマ処理空間へ出されてしまうので、高密度プラズマによるガス変質を防止・抑制することができる。
【0028】
したがって、この発明によれば、所定の前提条件の下でプラズマ成分比率の適正化・制御性を積極的に高めるとともに、プラズマ分布の均一性確保とプラズマ処理空間からプラズマ発生空間へのガス流入阻止という両要請に応えることで、良質のプラズマを供給することができる。その結果、良質のエッチング処理を提供することができる。
【0029】
[第3の解決手段]
第3の解決手段のプラズマ処理装置は(、出願当初の請求項3に記載の如く)、(真空チャンバ内に)対向電極となる一対の平行平板(を具えこれら平行平板)間にプラズマ処理空間を形成したプラズマ処理装置において、前記一対の平行平板のうち一方の平板に又はその隣接機構部に、前記プラズマ処理空間に隣接し且つ連通したプラズマ発生空間が形成されるとともに、前記プラズマ発生空間に対して磁気回路が付設され、この磁気回路用の磁性部材が(少なくとも一部は)前記プラズマ発生空間によって囲まれた又は挟まれたところに配置されていることを特徴とするものである。
【0030】
このような第3の解決手段のプラズマ処理装置にあっては、プラズマ空間の分離および隣接連通という条件を維持することにより、プラズマダメージやチャージアップの低減、及びプラズマにおけるラジカル種の成分とイオン種の成分との比率適正化という基本的要請に応えている。
しかも、プラズマ発生空間内にはプラズマの発生およびそのイオン化に寄与する電子が磁気回路によって封じられるが、この磁気回路用の磁性部材が少なくとも一部はプラズマ発生空間によって囲まれた又は挟まれたところに配置されているので、磁気回路が局所化される。そうすると、磁力線の分布状態が凝縮されたものとなり、漏れ磁束も少なくなる。
【0031】
これにより、電子がプラズマ発生空間内に高い確度で封じられる。そして、電子がプラズマ処理空間へ迷い出てそこの低温プラズマをランダムにイオン化したり、逆にその電子等と入れ替わりにプラズマ処理空間から不所望な処理ガスがプラズマ発生空間に混入してきたりすることが少なくなる。つまり、制御不能な混合が減少することとなる。
その結果、イオン種比率の高いプラズマを適度にプラズマ処理空間へ送給してそこのイオン種比率の低いプラズマと混合させるに際して、エッチング処理に供するプラズマにおけるイオン種成分比率が適正値になるように広い範囲に亘って制御することが可能となる。
【0032】
また、局所的な磁気回路は、並列化等によって容易に均一化の要請にも応える。しかも、局所化によって磁気回路全体としては磁力が弱くて済むので、個々の磁性部材に小形・簡易なものが使えて実装が容易になるという利点がある。さらに、プラズマ発生空間の分散等形成という上述の構成と組み合わせた場合には、プラズマ発生空間へ逆流して高密度プラズマによってイオン化された不所望なガスがさらなる変質をする前に高密度プラズマと一緒になってプラズマ処理空間へ速やかに押し戻されるという相乗効果も期待できる。
【0033】
したがって、この発明によれば、所定の前提条件の下でプラズマ成分比率の適正化・制御性を積極的に高めるとともに、プラズマ分布の均一性確保とプラズマ処理空間からプラズマ発生空間へのガス流入阻止という両要請に応えることで、良質のプラズマを供給することができる。その結果、良質のプラズマ処理を提供することができる。
【0034】
[第4の解決手段]
第4の解決手段のプラズマ処理装置は(、出願当初の請求項4に記載の如く)、(真空チャンバ内に)対向電極となる一対の平行平板(を具えこれら平行平板)間にプラズマ処理空間を形成したプラズマ処理装置において、前記一対の平行平板のうち一方の平板に又はその隣接機構部に、前記プラズマ処理空間に隣接し且つ連通したプラズマ発生空間が分散等して形成されており、且つ、前記プラズマ発生空間に電子を封じる磁気回路が付設されていることを特徴とする。
【0035】
このような第4の解決手段のプラズマ処理装置は、上述した第2,第3の解決手段の双方の作用効果を併せ持つものとなる。
【0036】
[第5の解決手段]
第5の解決手段のプラズマ処理装置は(、出願当初の請求項5に記載の如く)、上記の第4の解決手段のプラズマ処理装置であって、前記プラズマ発生空間が線状に形成されたものであり、前記磁気回路用の磁性部材が前記プラズマ発生空間を(両側から)挟んで(多数)列設されたものであることを特徴とする。
【0037】
このような第5の解決手段のプラズマ処理装置にあっては、プラズマ発生空間を点在・散在させた場合に比べて、プラズマ発生空間が分散等していながらも連続したところで或る程度の容量を確保したものとなる。特に、スパイラル状に形成した場合は、単一空間としてのまとまりも持つ。これにより、プラズマ発生空間が分散等していてもその中のプラズマはかなり均一化される。また、多重環状や多重矩形辺状に形成したり、さらにはこれらを一部で連結させることで、まとまりを保持しつつ処理対象基板の形状に適合した分散を図ることも容易である。しかも、プラズマ発生空間の形状が決まれば、磁気回路は小片に分けてこれに沿ってその両側等に並べることで容易に、プラズマ発生空間に電子が封じられることとなる。これにより、磁気回路の具体化も容易となる。
【0038】
[第6の解決手段]
第6の解決手段のプラズマ処理装置は(、出願当初の請求項6に記載の如く)、上記の第3〜第5の解決手段のプラズマ処理装置であって、前記磁気回路が永久磁石または直流励磁コイルによって形成されていることを特徴とする。
【0039】
このような第6の解決手段のプラズマ処理装置にあっては、強力な電磁石が不要なので、実装設計が容易となり、装置を小形にすることができる。また、強力電磁石に加えてその駆動用大電源も不要となるので、コストが削減される。特に永久磁石の場合は、同一・類似形状の小片を列設することで各種形状に容易に適合するので、原価削減ばかりか設計の自由度向上も大きい。
【0040】
[第7の解決手段]
第7の解決手段のプラズマ処理装置は(、出願当初の請求項7に記載の如く)、上記の第1〜第6の解決手段のプラズマ処理装置であって、プラズマの発生または強化に寄与する電界または磁界を前記プラズマ処理空間に印加する第1印加回路と、プラズマの発生および強化に寄与する電界または磁界を前記プラズマ発生空間に印加する第2印加回路とを備えたことを特徴とする。
【0041】
このような第7の解決手段のプラズマ処理装置にあっては、第1印加回路の出力パワーを変えることでプラズマにおけるイオン種成分の比率を可変制御しうるばかりか、第2印加回路の出力パワーも変えることでプラズマにおけるイオン種成分の比率を変えることなくプラズマ密度を可変制御することも可能となる。
これにより、プラズマ成分比率とプラズマ密度とを独立に設定しうるものとなる。
【0042】
[第8の解決手段]
第8の解決手段のプラズマ処理装置は(、出願当初の請求項8に記載の如く)、上記の第7の解決手段のプラズマ処理装置であって、前記第1印加回路および前記第2印加回路は、出力が互いに独立して制御可能なものであることを特徴とする。
ここで、「独立して制御可能」とは、両回路の出力を別個に可変したければそのようにできるという意味であり、制御の内容が関連しないということまで意味する訳では無い。例えば、予め或る係数または関数を設定しておいて、この係数または関数によって両者が関連付けられる場合でも、その係数または関数に基づくそれぞれの制御目標に対してそれぞれの回路がその出力を対応させるとき、独立して制御可能に含まれる。
【0043】
このような第8の解決手段のプラズマ処理装置にあっては、各印加回路の出力パワーが独立に制御される。これにより、プラズマ成分比率とプラズマ密度とが独立して設定される。換言すればイオン種濃度とラジカル種濃度とが独立に制御・設定される。そこで、広い設定範囲の中から自由に処理条件を選択することができるので、プラズマ処理の効率および質を一層向上させることができる。
【0044】
[第9の解決手段]
第9の解決手段のプラズマ処理装置は(、出願当初の請求項9に記載の如く)、上記の第1〜第8の解決手段のプラズマ処理装置であって、前記プラズマ発生空間は、前記プラズマ処理空間に連通する又は開口するところの面積が(前記一対の平行平板と平行な断面における)前記プラズマ発生空間の面積よりも小さいものであることを特徴とするものである。
【0045】
このような第9の解決手段のプラズマ処理装置にあっては、プラズマ発生空間とプラズマ処理空間との連通部分が絞られて、単にプラズマ発生空間をプラズマ処理空間に開口させた場合よりも第1,第2の解決手段について述べた第1比が小さくなるので、不所望なガスのプラズマ発生空間への流入が一層抑制される。さらに、これに加えて、プラズマ発生空間内で発生し膨張したプラズマが面積比に応じた適度な速度でプラズマ処理空間へ送り出されるので、そのプラズマ特にイオン種に対して鉛直方向の速度成分を加味することもできる。
【0046】
[第10の解決手段]
第10の解決手段のプラズマ処理装置は(、出願当初の請求項10に記載の如く)、上記の第1〜第9の解決手段のプラズマ処理装置であって、前記プラズマ発生空間にプラズマ用ガスを導入する第1のガス導入路と、前記プラズマ処理空間に処理ガスを導入する第2のガス導入路とが個別に設けられているものである。
【0047】
このような第10の解決手段のプラズマ処理装置にあっては、プラズマ用ガスが第1のガス導入路を介してプラズマ発生空間に導入される一方、処理ガスは、それとは別個に、第2のガス導入路を介してプラズマ処理空間に導入される。そして、高密度プラズマの発生に必要なプラズマ用ガスと高密度プラズマに入ると好ましくない処理ガスとが分離され、特に処理ガスはプラズマ発生空間を経ることなくプラズマ処理に供され、これらは最終段階に至って初めて混合される。これにより、処理ガスがプラズマ処理に供される前に高密度プラズマによって変質させられるのを確実に回避することができる。
【0048】
[第11の解決手段]
第11の解決手段のプラズマ処理装置は(、出願当初の請求項11に記載の如く)、上記の第10の解決手段のプラズマ処理装置であって、前記第2のガス導入路へは反応ガス成分を含むガスを供給するとともに前記第1のガス導入路へは非反応性ガスのみを供給するものである。
【0049】
このような第11の解決手段のプラズマ処理装置にあっては、処理ガスにはエッチング処理に必要な反応ガス成分が含まれることとなる一方、プラズマ用ガスには、高密度プラズマの発生に役立ち且つ高密度プラズマとなっても不所望に変質することの無い非反応性ガスのみが用いられる。
これにより、反応ガス供給をプラズマ発生空間経由で行った場合に比べて、より質の良いプラズマを提供することができ、延いては反応ガスの変質を気にすることなく高密度プラズマそしてイオン種を所望量任意に例えば大量に生成することができる。
【0050】
[第12の解決手段]
第12の解決手段のプラズマ処理装置は(、出願当初の請求項12に記載の如く)、上記の第2〜第11の解決手段のプラズマ処理装置であって、前記一対の平行平板を基準とした前記プラズマ処理空間の開口部分を覆う形状の可動壁体と、前記可動壁体が前記開口部分を覆う位置と前記可動壁体が前記開口部分を解放する位置との両位置に亘って前記可動壁体を進退させる壁体駆動機構とを備え、前記一対の平行平板のうち他方の平板は、前記真空チャンバの内底に直接又はサポート部材を介して間接的に植設され上面が基板乗載可能に形成されたものであることを特徴とするものである。
【0051】
ここで、上記の「一対の平行平板を基準としたプラズマ処理空間の開口部分」とは、両平行平板を両端面とする筒状空間の側面部分を意味する。また、「開口部分を覆う」とは、完全に密閉して覆うのではなく、少なくとも処理済みのプラズマやガス等を排気してプラズマを維持しうる程度の隙間は残すようにして覆うという意味である。
【0052】
このような第12の解決手段のプラズマ処理装置にあっては、真空チャンバの内部空間において他方の平板の上面に基板が乗載させられその上方にプラズマ処理空間が形成されそこでその基板に対するプラズマ処理が施されるが、プラズマ処理空間の開口部分が可動壁体によって覆われることから、プラズマ処理空間は真空チャンバ内で一対の平行平板と可動壁体とによって概ね囲まれることとなる。そこで、真空チャンバ内空間の一部がプラズマ処理空間に分割され、両者の圧力状態もほぼ分離されることとなる。その分離の程度は、可動壁体による覆いから残された隙間の大きさに依存する。
【0053】
そして、可動壁体を壁体駆動機構によって進退させると、その隙間が広狭変化して、プラズマ処理空間内圧力は素早く真空チャンバ内の真空圧に近づいたりこれから離れて高くなったりするので、可動壁体の進退駆動に基づいてプラズマの圧力状態を制御することが可能となる。また、可動壁体の形状を平行平板の形状に整合させてそれらとの間隙を出来るだけ一様に分散させることでプラズマ処理空間から流出する流れの形態における偏りも減ることとなる。
なお、基板を真空チャンバから出し入れする際には、プラズマ処理空間の開口部分が解放される位置まで可動壁体を壁体駆動機構によって進退移動させておけば、可動壁体を真空チャンバ内に設けたことの不都合は何も無い。
【0054】
これにより、直接の圧力制御対象がチャンバ内圧力からその一部のプラズマ処理空間に分離されて応答性の劣る大容積の物から応答性の優れた小容積の物に絞り込まれる一方で、流れの形態が、真空チャンバ壁の吸引口による支配を外れて、中心を基準に対称にする等の一様分散化が比較的容易な可動壁体によってほとんど決せられるので、プラズマ処理空間内の圧力制御性を向上させると同時にプラズマ処理空間内における流れの状態を一様にさせることも容易となる。
したがって、この発明によれば、均一で質の良いプラズマの供給に加えて圧力制御性にも優れたプラズマ処理装置を実現することができる。
【0055】
[第13の解決手段]
第13の解決手段のプラズマ処理装置は(、出願当初の請求項13に記載の如く)、上記の第12の解決手段のプラズマ処理装置であって、前記可動壁体は、前記開口部分を覆う位置に在るとき前記他方の平板との間に通過流体の絞りとなる間隙を生じさせる形状のものであることを特徴とするものである。
【0056】
このような第13の解決手段のプラズマ処理装置にあっては、プラズマ処理に際して、一般に基板の無い一方の平板側からプラズマ処理空間へ供給される処理ガス等が同じ一方の平板側からでなく別の他方の平板側の隙間を経由してプラズマ処理空間から流出する。
これにより、流れが上方から下方へ揃い易くなるので、逆流や滞留の発生が抑制される。
したがって、この発明によれば、プラズマ状態の均一性を一層高めることができる。
【0057】
[第14の解決手段]
第13の解決手段のプラズマ処理装置は(、出願当初の請求項14に記載の如く)、上記の第12,第13の解決手段のプラズマ処理装置であって、前記プラズマ処理空間の圧力に応じて前記壁体駆動機構による前記可動壁体の進退量を制御する圧力制御手段を備えたことを特徴とするものである。
【0058】
このような第14の解決手段のプラズマ処理装置にあっては、プラズマ処理空間の圧力が圧力制御手段によって所望の真空圧になるよう自動制御される。しかも、その際に、プラズマ処理空間に対する圧力制御性の良い可動壁体の進退量を制御することで自動制御がなされるので、プラズマ処理装置の処理レシピ等の設定目標に対してプラズマの圧力状態が速やかに且つ正確に追従する。
これにより、従来より木目細かな処理条件を設定しても確実に設定通りのプラズマ反応が行われる。
したがって、この発明によれば、より精密なプラズマ処理を基板に施すことができる。
【0059】
【発明の実施の形態】
このような解決手段で達成された本発明のプラズマ処理装置は、一般に適宜の真空チャンバに装着して使用される。そのために、プラズマ処理空間に隣接したプラズマ発生空間が形成される平行平板のうちの一方の平板やその隣接機構などの各機構部は、真空チャンバ内への組み込み等の容易性と真空度の必要性とのバランスを図る等の観点から、別個に形成してから取着されることが多いが、例えば密着して固設されることが多いが、一部又は全部が同一・単一の部材たとえばクラッド材を加工等することで一体的に形成されてもよい。
【0060】
【実施例】
本発明のプラズマ処理装置の一実施例としてのプラズマエッチング装置について、その具体的な構成を、図面を引用して説明する。図1は、その主要部の縦断面図であり、図2は、そのプラズマ発生チャンバ周りの縦断面斜視図であり、図3は、その中の一のプラズマ発生空間についての拡大図であり、図5は、真空チャンバへの装着状態を示す断面図である。
【0061】
このプラズマエッチング装置は、概ね、プラズマ処理空間を確保するための平行平板部(第1機構)と、プラズマ発生空間を確保するための隣接機構部(第2機構)およびその付加部と、各プラズマに電界又は磁界を印加するための印加回路部とで構成されている。
平行平板部は、一対の平行平板となる共に金属製のアノード部11及びカソード部12を有していて、アノード部11が上方に配置され、エッチング対象のウエハ等の基板1を乗載するために上面の絶縁処理された基板支持体となるカソード部12が下方に配置されて、これらによって挟まれたところに低温プラズマ10用のプラズマ処理空間13が形成されるものとなっている。また、アノード部11は、予め、多数の連通口14が貫通して穿孔されるとともに、プラズマ処理空間13へ向けて開口した第2のガス導入路としての処理ガス供給口15も形成されたものとなっている。この例では、連通口14の横断面積とプラズマ処理空間13の有効な横断面積との比すなわち第1比が0.05になっている。なお、処理ガス供給口15を介してプラズマ処理空間13へ供給される処理ガスBとしては、シランガス等の反応ガスに適量の希釈ガスを混合させたもの等が供給されるようにもなっている。
【0062】
隣接機構部すなわち一対の平行平板のうちの一方の平板11に隣接する機構は、絶縁物製のプラズマ発生チャンバ21が主体となっており、このプラズマ発生チャンバ21には、プラズマ発生空間22となる複数の(図では4個の)環状溝が同心に彫り込まれて形成されている。これにより、プラズマ発生空間22が分散等したものとなっている。そして、プラズマ発生チャンバ21は、プラズマ発生空間22の開口側(図では下面)をアノード部11の上面に密着した状態で固設される。その際、プラズマ発生空間22の開口がアノード部11の連通口14に重なるように位置合わせがなされる。これにより、プラズマ発生空間22とプラズマ処理空間13とが互いに隣接し且つ連通しているものとなる。この例では、連通口14の横断面積とプラズマ発生空間22の横断面積との比すなわち第2比が0.5になっている。これにより、プラズマ発生空間22がプラズマ処理空間13に連通するところの面積がプラズマ発生空間22の面積よりも小さくて少し絞られた状態となる。なお、これらの比の値は大小関係が逆転しない限り自由に変えてよいものである。
【0063】
また、プラズマ発生チャンバ21は、プラズマ発生空間22のさらに奥に第1のガス導入路としてのプラズマ用ガス送給路23がやはり環状に形成され、両者が多数の小穴またはノズルで連通されていて、プラズマ発生空間22は底部(図では上方)からアルゴン等の非反応性ガス成分だけのプラズマ発生用ガスAの供給を受けて高密度プラズマ20を発生させ連通口14を介してプラズマ処理空間13へそれを送り込むものとなっている。
さらに、プラズマ発生チャンバ21は、プラズマ発生空間22を囲む側壁と底部とを残すようにしてプラズマ発生空間22開口側の裏の面(図では上面)が削り取られる。そして、プラズマ発生空間22の両側壁を挟むようにして、コイル24及び永久磁石片25が環状に付加される。
【0064】
永久磁石片25は、縦の長さがプラズマ発生空間22のそれにほぼ等しくされ、且つ横のプラズマ発生空間22方向へ磁極が向くようにされ、さらに環状の不所望な誘起電流を断つために小片に分けて形成されている。そして、多数の永久磁石片25がプラズマ発生空間22側壁に沿って列設されることで、プラズマ発生空間22に対応した環状の磁気回路が構成される。これにより、磁気回路用の磁性部材25は最外周以外のものがプラズマ発生空間22によって挟まれたところに配置されたものとなっている。この磁気回路の磁力は、質量の小さい電子を捕捉可能な程度の強さで十分であり、質量の大きいイオンまで捕捉する程度の強さは不要である。
【0065】
この磁気回路の一断面について詳述すると(図3参照)、縦長の永久磁石片25のほぼ上半分から出た磁束線26は永久磁石片25の上端近くを中心とした略同心円を描いて戻ることから、永久磁石片25のほぼ上端を頂上とする磁気の山ができる。永久磁石片25の下端のところにもほぼ同様の磁気の山ができる。永久磁石片25はプラズマ発生空間22を挟んで両側に付設されているので、プラズマ発生空間22の周りには磁気の山が4つできる。そこで、プラズマ発生空間22には、磁気の山に囲まれた言わば磁気の盆地ができる。そして、ここに電子が補足されることとなる。なお、ポテンシャル場風に説明したが実際はベクトル場なので正確に述べると複雑になるが、要するに全体としては環状のプラズマ発生空間22の中でドーナツ状に電子が封じられるようになっているのである。なお、磁極が上下になった永久磁石片を上下に並べることによっても(図4参照)プラズマ発生空間22の断面を囲む4つの磁気の山を作ることが可能である。また、図示は割愛したが、5つ以上の磁気の山で囲むようにしてもよい。
【0066】
印加回路部は、RF電源31を中心とする第1印加回路と、RF電源32を中心とする第2印加回路とに分かれる。
RF電源31は、その出力パワーが可変のものであり、接地されたアノード部との間に交番電界を印加するとともにバイアス電圧も発生させるために、その出力はブロッキングキャパシタを介してカソード部12へ送給される。また、これには、周波数500KHz〜2MHzのものがよく用いられる。これにより、第1印加回路は、低温プラズマ10の強化に或る程度寄与する電界をプラズマ処理空間13に印加するものとなっている。
【0067】
RF電源32は、やはり出力パワーが可変のものであり、プラズマ発生空間22を挟む両コイル24を駆動してプラズマ発生空間22に交番磁界を印加するようになっている。その最大出力パワーは大きく、その周波数は13MHz〜100MHzとされることが多い。これにより、第2印加回路は、高密度プラズマ20の発生および強化に寄与する磁界をプラズマ発生空間22に印加するものとなっている。
【0068】
圧力制御手段については、従来装置(図13参照)における可変バルブ4が省かれ、その代わりに、可動壁体40、及びこれを上下動させる壁体駆動機構41〜44が設けられている(図5参照)。
【0069】
可動壁体40は、金属製の筒状体からなり、内径がカソード部12の外径より僅かに大きくて内腔にカソード部12が緩く上下動可能に嵌合されるようになっている。その上端はアノード部11に接近したときに全周縁のところにほぼ同一の隙間ができるようになっている。そして、そのときに、可動壁体40は上部がプラズマ処理空間13の側面周辺を塞ぐとともに下部がカソード部12との嵌合が外れないところまで届くような長さに形成されている。これにより、可動壁体40は、真空チャンバ2,3内に設けられ一対の平行平板11,12を基準としたプラズマ処理空間13の開口部分を覆う形状のものとなっている。なお、この可動壁体40は不所望にチャージアップしないように接地等されるようにもなっている。
【0070】
壁体駆動機構は、気密性及び伸縮性を持ったベローズ41が吸引口2aと重ならない位置で真空チャンバ本体部2の底面と可動壁体40とを連結し、このベローズ41内にボールネジ42が縦に遊挿され、その上端が可動壁体40に連結されていて、可動壁体40を上下動可能に支持するものである。さらに、ボールネジ42は、サポート43によって真空チャンバ本体部2に対して固定されたモータ44の回転軸に対して下端が連結されている。そして、モータ44が回転すると、これに応じてボールネジ42が進退駆動され、それに伴って可動壁体40が上下に駆動されて、上はアノード部11にほぼ当接するまで下はカソード部12の上面より低いところまで可動壁体40が移動する。これにより、壁体駆動機構41〜44は、可動壁体40がプラズマ処理空間13の開口部分を覆う上方位置と、可動壁体40がプラズマ処理空間13の開口部分を解放する下方位置との両位置に亘って、可動壁体40を上下に進退させるものとなっている。
【0071】
また、図示は割愛したが、真空圧計4bは可動壁体40に取着されてプラズマ処理空間13内の圧力を直接検出するようになっており、その検出信号はベローズ41内腔等を介して真空を破らずに取り出せるようになっている。そして、その検出信号を受けたPID制御回路4cによってモータ44の回転量や回転速度が制御される。これにより、このプラズマエッチング装置は、プラズマ処理空間13の圧力に応じて壁体駆動機構41〜44による可動壁体40の進退量を制御する圧力制御手段を備えたものとなっている。
【0072】
この実施例のプラズマエッチング装置について、その使用態様及び動作を、図面を引用して説明する。図5は、上述した主要部を真空チャンバに装着した状態を示す断面図であり、図6は、プラズマ内電子のエネルギー分布を示すグラフであり、図7は、プラズマにおけるイオン比率の制御可能範囲を示す図である。
【0073】
使用に先だって、プラズマエッチング装置のカソード部12は、上が解放した箱状の真空チャンバ本体部2の中央にローアーサポート12aを介して植設される。真空チャンバ本体部2は、プラズマ発生チャンバ21やアノード部11が取着され、水冷も可能であり、これを閉めると、真空チャンバ本体部2の内部さらにはプラズマ処理空間13及びプラズマ発生空間22も密閉される。それから、可動壁体40がカソード部12よりも下方へ下げられ、その状態で真空チャンバ2,3内へ横から水平状態の基板1が搬入され、この基板1がカソード部12の上面に載置される。そして、基板搬入口等が閉められると同時に真空ポンプ5による真空引きが行われる。このとき、ゲートバルブ4aは開状態にされたままであり、可変バルブ4も存在しないので、真空チャンバ2,3内は速やかに真空状態となる。
【0074】
それから、モータ44を回転させて可動壁体40をアノード部11に当接しない程度に上昇させ、さらにプラズマ用ガス送給路23を介するプラズマ用ガスAの供給,さらに処理ガス供給口15を介する処理ガスBの供給などを適宜に開始すると、可動壁体40の上端とアノード部11の下面との間に形成される絞り部49によってプラズマ処理空間13内の圧力が適度に保たれる。すなわち、可動壁体40に付設された真空圧計4bによってプラズマ処理空間13内の真空圧が検出され、この検出値と所定の設定目標値との差に基づいてPID制御回路4cによって制御信号が生成出力され、この制御信号に従ってモータ44が回転してボールネジ42を進退させることで可動壁体40が上下動する。
【0075】
具体的には、プラズマ処理空間13の真空度が低下して絶対圧力が上がり過ぎると、可動壁体40が下降するように駆動されて、絞り部49が開き気味になってプラズマ処理空間13の圧力が速やかに下がって所定圧になる。逆に、プラズマ処理空間13の真空度が高くなって絶対圧力が下がり過ぎると、可動壁体40が上昇するように駆動されて、絞り部49が閉まり気味になってプラズマ処理空間13の圧力が速やかに上がって所定圧になる。
こうして、可動壁体40及び壁体駆動機構41〜44を圧力制御機構とする圧力制御手段によって、真空チャンバ内の真空圧が速やかに設定圧力になるよう自動制御される。
【0076】
また、絞り部49はプラズマ処理空間13の上部周辺にほぼ一様に展開して形成され、プラズマ処理空間13内圧力とその外側の真空チャンバ内圧力との差に応じて、絞り部49の何処でもガス等の通過流体の流れが概ね同様の状態となるので、プラズマ処理空間13内のガス状態はほぼ対称形で均一性の高いものとなる。さらに、このような圧力制御状態はプラズマ処理空間13にプラズマが形成されたときにも継続するので、以下に述べるエッチング処理における低温プラズマ10の状態も、ほぼ対称形で均一性の高いものとなる。
これで、カソード部12上に乗載された基板1に対するプラズマエッチング処理の準備が調う。
【0077】
次に、RF電源32を作動させると、プラズマ発生空間22内にコイル24を介してRF電磁界が印加され、プラズマ用ガスAの電子が激しく運動させられる。このとき、電子は、永久磁石片25による磁気回路の働きによってプラズマ発生空間22に長く留まり、環状空間内を螺旋運動しながら飛び回ってプラズマ用ガスAを励起させる。こうして、高密度プラズマ20が発生するが、プラズマ発生空間22に封じられた電子にはイオン種生成に大きく寄与する10〜15eV以上の高いエネルギーのものが多く含まれているので(図6(a)の二点鎖線グラフを参照)、高密度プラズマ20はイオン種成分の比率が高い。そして、プラズマ発生空間22で膨張した高密度プラズマ20は、特にそのラジカル種およびイオン種成分は、膨張圧力によって速やかにプラズマ処理空間13へ運ばれる。
【0078】
また、RF電源31を作動させると、プラズマ処理空間13にもアノード部11及びカソード部12を介してRF電界が印加される。こちらには電子を封じ込める磁気回路等がないので、処理ガスB等が励起されても高密度プラズマができないで、低温プラズマ10となる。RF電源31からのパワーだけの場合、低温プラズマ10は、10〜15eV以上のエネルギーを持った電子が少ないので(図6(a)の一点鎖線グラフを参照)、ラジカル種成分の比率が高くなる。もっとも、この装置における低温プラズマ10の場合は、上述の高密度プラズマ20が混合されるので、実際のラジカル種成分とイオン種成分との比率は、両者の中間における何れかの比率となる。
【0079】
そして、RF電源32の出力をアップさせると、プラズマ発生空間22内における10〜15eV以上の電子が増える(図6(b)参照)。そして、高密度プラズマ20の生成量が増加する。その混合の結果、低温プラズマ10は、イオン種成分の割合が引き上げられる。一方、RF電源32の出力をダウンさせると、プラズマ発生空間22内における10〜15eV以上の電子が減ってくる(図6(c)参照)。そして、高密度プラズマ20の生成量が減少する。その混合の結果、低温プラズマ10は、イオン種成分の割合が引き下げられる。
【0080】
さらに、RF電源31の出力をアップさせる一方でRF電源32の出力を少しダウンさせると、次のようになる。先ずRF電源31の出力アップによってプラズマ処理空間13における電子密度が高密度および高エネルギー側に移行し(図6(d)一点鎖線参照)、プラズマ処理空間13内の低温プラズマが増える。これによってそこのラジカル濃度が上がるのだが、同時にイオン比率も少し上がる。次に、RF電源32の出力ダウンによってプラズマ発生空間22における電子密度が低密度および低エネルギー側に移行し(図6(d)二点鎖線参照)、プラズマ発生空間22内の高密度プラズマが少し減る。これによってそこのラジカル濃度およびイオン比率が下がるが、こちらは高エネルギー成分が元々大きいので少しの出力ダウンであってもイオン比率が大きく下がる。そして、このような高密度プラズマ20がプラズマ処理空間13内の低温プラズマ10に混合されると、イオン比率の増減が概ね相殺される一方ラジカル濃度は増加する。すなわち、低温プラズマ10は、ラジカル種成分とイオン種成分との比率があまり変わらずにプラズマ濃度が引き上げられる。同様にして、RF電源31,32の出力を逆方向にアップ・ダウンさせると、低温プラズマ10のプラズマ濃度が引き下げられる。
【0081】
こうして、低温プラズマ10は、容易にラジカル種成分とイオン種成分との比率が可変制御され、その可変範囲が従来のほとんど総ての機種をカバーしうるほど広範に亘っている(図7参照、なお、この図7や上述の図6は定性的・相対的な性質を説明するための模式図的なものである)。そして、そのときのエッチングにとって最適な条件の下で即ち従来では設定困難だった条件下で効率よくエッチング処理が進む(図7におけるa点を参照)。
さらに、処理ガスBの成分変更などによって最適条件が変化した場合は、RF電源31,32の出力を適宜調節する。しかも、この調節はいわゆるレシピとして予め設定しておけば自動的に行われる。その結果、再び最適条件下で効率よくエッチング処理が進む(図7におけるb点を参照)。これで、エッチング処理を常に効率よく行うことができる。
【0082】
また、この装置では、プラズマ発生空間22の断面積がプラズマ処理空間13の断面積よりも遥かに小さくなっていて、第1比が第2比より桁違いに小さいことから、高密度プラズマ20がプラズマ発生空間22からプラズマ処理空間13へ速やかに送り出されるうえに、そもそもプラズマ処理空間13からプラズマ発生空間22へ逆流して入り込むガス量が少ないので、処理ガスBが高密度プラズマ20で直接に励起されて不所望なまで分解・電離するということはほとんど無くなる。
【0083】
このようにして良質なプラズマによるエッチング処理が効率よく進むと、低温プラズマ10が基板1と反応してできる反応生成物の発生速度すなわち単位時間当たりに発生する反応生成物の量も増加する。そして、これが真空チャンバ内に滞留するとこの反応生成物と処理ガスとの反応等によってプラズマ処理の質が低下してしまいかねないが、上述したようにプラズマエッチング処理に必要なガス圧とされる範囲がアノード部11とカソード部12と可動壁体40とによる最小限の空間に絞り込まれていて、その周りを取り囲む真空チャンバ2,3内は十分に吸引されて真空状態となっていることから、反応生成物は発生量が増加してもプラズマ処理空間13に長く留まることなく速やかに排気される。
こうして、プラズマ発生空間等からの良質なプラズマの供給と、可動壁体等による反応生成物の速やかな排出とが相まって、質も処理速度も優れたプラズマエッチング処理が継続されるのである。
【0084】
次に、プラズマ成膜装置(CVD)について説明するが、基本的には上述したものとほぼ同様の構成のものでよく、相違点は、対向電極におけるカソードとアノードとが入れ替わることと、成膜処理ガスBとしてモノシランその他の活性ガスが用いられることと、プラズマ処理空間の圧力が少し高い(真空度は低い)ところに設定されること等である。
この場合も、低温プラズマ10は、容易にラジカル種成分とイオン種成分との比率が可変制御され、その可変範囲が従来のほとんど総ての機種をカバーしうるほど広範に亘っている(図8参照)。
【0085】
そして、そのときの成膜にとって最適な条件の下で即ち従来では設定困難だった条件下で効率よく成膜処理が進む(図8におけるa点を参照)。 さらに、処理ガスBの成分変更などによって最適条件が変化したときにRF電源31,32の出力を適宜調節することで何時でも最適条件下で効率よく成膜処理を進めることができる(図8におけるb点を参照)ことも同様である。こうして、ポリシリコンや,アルミやタングステン等のメタル,酸化膜,窒化膜など種々のものが成膜の対象とされたときであっても、本発明のプラズマ成膜装置ではプラズマ成膜時のイオンとラジカルとの比を広範に変えることが可能なので、成膜対象の膜質やその他の用途に応じて最適な成膜条件を自由に選択・設定することができて、良質な成膜が高い生産性で行なわれる。
【0086】
このようにこれらのプラズマ処理装置は、プラズマにおけるイオン比率の制御可能範囲が広くて、従来のプラズマエッチング装置やプラズマCVDのほぼ総てに亘るとともにそれらの間隙をもカバーすることから(図9参照)、最適なプラズマ処理条件を自由に選択・設定することができる。その結果、良質なプラズマ処理を効率良く行うことが可能となる。
【0087】
最後に、本発明のプラズマ処理装置についての他の構成例を説明する。図10〜図12に示したプラズマ処理装置は、上述の装置における可動壁体40についての各種変形例であり、何れも、可動壁体40が、上昇させられてプラズマ処理空間13の開口部分を覆う位置に在るときにカソード部(基板支持体)12との間に通過流体の絞りとなる間隙を生じさせるような形状に加工されたものである。なお、アノード部11もカソード部12と外径が同一にされて可動壁体40の内腔に嵌挿されるものとなっている。
【0088】
図10に示した第1変形例の可動壁体40aは、内腔の下方が広げられて段付き状態に形成されており、ボールネジ42等がカソード部12と干渉し合わないように最下端のところがボールネジ42によって支持されるとともに、内腔の小径部下端部分とカソード部12の上辺縁部分とによって流体に対する絞り部49aが形成されるようになっている。
【0089】
図11に示した第2変形例の可動壁体40bは、カソード部12の上辺縁に対応した高さのところに開口49bが多数穿孔形成されていて、それらの開口49bのところに分散して絞りが形成されるものである。
【0090】
図12に示した第3変形例の可動壁体40cは、さらに可動壁体内側面49cに丸みを持たせることで、プラズマ処理空間13の角張ったところが少なくなるようにしたものであり、これによって開口49b近傍における流れの急激な変化によってプラズマ処理空間13内部にまで及びうる不均一性の影響が緩和されることを期したものである。
【0091】
【発明の効果】
以上の説明から明らかなように、本発明の第1,第2の解決手段のプラズマ処理装置にあっては、プラズマ発生空間とプラズマ処理空間との断面積比を変えてプラズマ発生空間にイオン種が長時間止まらないで済むようにしたことにより、プラズマ成分比率の適正化・制御性を積極的に高めるとともにプラズマ分布の均一性確保とプラズマ処理空間からプラズマ発生空間へのガス流入阻止という両要請に応えられて、その結果、良質のプラズマを供給するプラズマ処理装置を実現することができたという有利な効果が有る。
【0092】
また、本発明の第3の解決手段のプラズマ処理装置にあっては、プラズマ発生空間に電子を確実に封じるようにしたことにより、プラズマ成分比率の適正化・制御性を積極的に高めるとともにプラズマ分布の均一性確保とプラズマ処理空間からプラズマ発生空間へのガス流入阻止という両要請に応えられて、その結果、良質のプラズマを供給するプラズマ処理装置を実現することができたという有利な効果を奏する。
【0093】
さらに、本発明の第4の解決手段のプラズマ処理装置にあっては、プラズマ発生空間とプラズマ処理空間との断面積比を変えてプラズマ発生空間にイオン種が長時間止まらないで済むようにしたことに加えてプラズマ発生空間に電子を確実に封じるようにもしたことにより、プラズマ成分比率の適正化・制御性を積極的に高めるとともにプラズマ分布の均一性確保とプラズマ処理空間からプラズマ発生空間へのガス流入阻止という両要請に応えられて、その結果、良質のプラズマを供給するプラズマ処理装置を実現することができたという有利な効果が有る。
【0094】
また、本発明の第5の解決手段のプラズマ処理装置にあっては、まとまりを保ちつつ分散させるようにしたことにより、発生プラズマの均一化および磁気回路の設計・製造の容易化を達成することまでもできたという有利な効果を奏する。
【0095】
さらに、本発明の第6の解決手段のプラズマ処理装置にあっては、強力電磁石が不要となるようにしたことにより、設計容易化・装置小形化・コスト削減を達成することができたという有利な効果が有る。
【0096】
また、本発明の第7及び第8の解決手段のプラズマ処理装置にあっては、プラズマ成分比率とプラズマ濃度とを独立して設定しうるようにしたことにより、プラズマ処理の効率を一層向上させることができたという有利な効果を奏する。
【0097】
また、本発明の第9の解決手段のプラズマ処理装置にあっては、単にプラズマ発生空間をプラズマ処理空間に開口させた場合よりもプラズマ処理空間からプラズマ発生空間の方を見た面積比が小さくなるようにしたことにより、不所望なガスのプラズマ発生空間への流入が一層抑制されるとともに、イオン種に対して鉛直方向の速度成分を加味することもできるようになったという有利な効果が有る。
【0098】
また、本発明の第10の解決手段のプラズマ処理装置にあっては、処理ガスがプラズマ発生空間を経ることなくプラズマ処理に供されるようにしたことにより、処理ガスがプラズマ処理に供される前に高密度プラズマによって変質させられるのを確実に回避することができたという有利な効果が有る。
【0099】
また、本発明の第11の解決手段のプラズマ処理装置にあっては、反応ガスが直接に高密度プラズマに曝されることの無いようにしたことにより、より質の良いプラズマを提供することができ、さらにはイオン種を任意に生成することもできるようになったという有利な効果が有る。
【0100】
また、本発明の第12の解決手段のプラズマ処理装置にあっては、圧力制御対象が大容積のチャンバ内圧力から小容積のプラズマ処理空間に絞り込まれる一方で流れの形態が真空チャンバ壁の吸引口による支配を外れて一様分散化容易な可動壁体に依存するようにしたことにより、均一で質の良いプラズマの供給に加えて圧力制御性にも優れたプラズマ処理装置を実現することができたという有利な効果が有る。
【0101】
また、本発明の第13の解決手段のプラズマ処理装置にあっては、流れが一方向へ揃うようにしたことにより、プラズマの均一性を一層高めることができたという有利な効果を奏する。
【0102】
また、本発明の第14の解決手段のプラズマ処理装置にあっては、プラズマ処理空間に対する圧力制御性の良い可動壁体の進退量を制御することで自動制御がなされるようにしたことにより、従来より精密なプラズマ処理を基板に施すことが可能になったという有利な効果が有る。
【図面の簡単な説明】
【図1】 本発明のプラズマ処理装置の一実施例としてのプラズマエッチング装置について、その主要部の縦断面図である。
【図2】 そのプラズマ発生空間周りの縦断面斜視図である。
【図3】 そのうち一のプラズマ発生空間についての拡大図である。
【図4】 磁気回路の変形例である。
【図5】 真空チャンバへの装着状態を示す断面図である。
【図6】 プラズマ内電子のエネルギー分布である。
【図7】 プラズマエッチャでのイオン比率の制御可能範囲を示す。
【図8】 プラズマCVDでのイオン比率の制御可能範囲を示す。
【図9】 プラズマにおけるイオン比率の制御可能範囲を示す。
【図10】 可動壁体の第1変形例である。
【図11】 可動壁体の第2変形例である。
【図12】 可動壁体の第3変形例である。
【図13】 従来のプラズマ処理装置である。
【図14】 チャンバ縮小時の予想構造図である。
【符号の説明】
1 基板(被処理物、ウエハ)
2 真空チャンバ本体部(真空チャンバ)
2a 吸引口
2b バッフル板
3 真空チャンバ蓋部(真空チャンバ)
4 可変バルブ(可変絞り、圧力制御機構、圧力制御手段)
4a ゲートバルブ(仕切弁)
4b 真空圧計(圧力検出器、圧力制御手段)
4c PID制御回路(圧力制御回路、圧力制御手段)
5 真空ポンプ
10 低温プラズマ
11 アノード部(平行平板の一方、第1印加回路、第1機構)
11a アッパーサポート
12 カソード部(平行平板の他方、第1印加回路、第1機構、基板支持体)
12a ローアーサポート
13 プラズマ処理空間
14 連通口
15 処理ガス供給口(第2のガス導入路)
20 高密度プラズマ
21 プラズマ発生チャンバ(隣接機構部、第2機構)
22 プラズマ発生空間
23 プラズマ用ガス送給路(第1のガス導入路)
24 コイル(第2印加回路)
25 永久磁石片(磁気回路用の磁性部材)
26 磁束線(磁気回路)
31 RF電源(第1印加回路)
32 RF電源(第2印加回路)
40,40a,40b,40c 可動壁体(可変絞り)
41 ベローズ(蛇腹、壁体駆動機構)
42 ボールネジ(進退駆動軸、壁体駆動機構)
43 サポート(支柱、壁体駆動機構)
44 モータ(電動機、壁体駆動機構)
49,49a 絞り部
49b 開口
49c 可動壁体内側面
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a plasma processing apparatus suitable for performing plasma processing efficiently in high-precision manufacturing processes such as ICs and LCDs, and more particularly to a plasma processing apparatus that generates plasma using an electric field and a magnetic field.
[0002]
[Prior art]
Conventionally, as an example of a plasma processing apparatus used for plasma processing such as CVD, etching, and ashing, a pair of parallel flat plates serving as counter electrodes are provided, and a plasma processing space is formed between the parallel flat plates to form a silicon wafer or the like. A so-called parallel plate type etcher (RIE) that performs an etching process on a substrate of this type and a parallel plate type PCVD that performs a film forming process are known.
FIG. 13 shows a longitudinal cross-sectional structure diagram. In a parallel plate type plasma processing apparatus, a pair of parallel plates are provided in a vacuum chamber, and plasma is generated in a plasma processing space formed between the two plates. Alternatively, a predetermined processing gas or the like is also introduced into the plasma processing space while being introduced. Then, a plasma reaction is performed in the plasma processing space, whereby an etching process or the like is performed on the substrate surface in the plasma processing space.
[0003]
An etcher will be described in detail as an example. This apparatus includes a vacuum chamber in which a vacuum chamber lid 3 is attached on a vacuum chamber main body 2 so as to be openable and closable. Therefore, the horizontally placed cathode portion 12 is provided in the center of the vacuum chamber main body 2, and the upper surface of the cathode portion 12 is formed flat and an insulating film is stretched to form the substrate 1. Can be on board. A cylindrical lower support 12a is vertically provided through the center of the inner bottom of the vacuum chamber main body 2. The cathode portion 12 is fixedly supported at the upper end of the lower support 12a and is constituted by these. The substrate support is implanted in a vacuum chamber and has an upper surface formed so that the substrate can be mounted.
[0004]
An anode portion 11 is suspended from the vacuum chamber lid portion 3 by a cylindrical upper support 11a at a substantially central position in the vacuum chamber lid portion 3 and above the cathode portion 12, and the anode portion 11, the cathode portion 12, When a high frequency is applied by the RF power source 31 with the electrodes facing each other, plasma is generated between the anode portion 11 and the cathode portion 12 under a predetermined vacuum pressure. When a predetermined processing gas is supplied thereto, plasma processing corresponding to the gas state or the like is performed on the substrate 1 placed on the upper surface of the cathode portion 12. Thereby, the anode part 11 forms the plasma processing space 13 between the upper surface of the cathode part 12.
[0005]
The vacuum chamber main body 2 is formed with a suction port 2a penetrating the inside and outside in order to suck out the gas in the vacuum chamber and maintain an appropriate degree of vacuum. A gate valve 4a, a variable valve 4 and a vacuum pump are sequentially formed with respect to the suction port 2a. 5 are connected. The gate valve 4a is a manual valve for partitioning during maintenance or the like, and is opened during normal operation. The variable valve 4 inserted between this and a vacuum pump 5 such as a turbo pump is provided with a motor or the like that variably drives the valve opening, and is controlled by an electric signal to control the flow of fluid that can be remotely controlled. Functions as a variable aperture. When the vacuum pressure in the vacuum chamber is detected by the vacuum pressure gauge 4b attached to the vacuum chamber, and the control signal is generated and output by the PID control circuit 4c based on the difference between the detected value and a predetermined set target value. The throttle amount by the variable valve 4 is variably driven in accordance with this control signal. Such a vacuum pressure gauge 4b is used as a pressure detector, a PID control circuit 4c is used as a pressure control circuit, and a variable valve 4 is used as a pressure control mechanism to automatically control the vacuum pressure in the vacuum chamber to a set pressure. The
[0006]
By the way, as described above, the plasma density is insufficient in the case of generating the plasma only by applying an electric field to the parallel plates sandwiching the plasma space. Therefore, there is an object that generates a high density plasma (HDP) by sealing the plasma by applying a magnetic field. Are known. This is applied to MRIE (magnetron reactive ion etcher) or the like, and increases the proportion of ion species in the plasma component as the plasma density increases. In this type, plasma tends to be unevenly distributed, and when the proportion of ion species increases, damage to the object to be processed tends to increase. Therefore, as described in Japanese Patent Application Laid-Open No. 3-79025, there is an apparatus that tries to prevent damage by making the magnetic field uniform using a planar coil. The treatment is directly exposed. However, there is no mention of other problems such as charge-up of an object to be processed due to the plasma current due to that.
[0007]
On the other hand, in order to reduce damage to the object to be processed by ions and prevent the object to be processed from being directly exposed to the high-density plasma being generated, a plasma processing space and a plasma generation space that communicate with each other are connected to each other. The plasma is designed to increase the proportion of radical species by suppressing the ion species of the plasma component when generating high-density plasma in the plasma generation space and supplying the plasma from there to the plasma processing space. Etching apparatuses are also known. This can be achieved by using ECR (Electron Cyclotron Resonance) using radical flow or a method in which both spaces are separated from each other, such as those described in JP-A-4-81324, ICP (Inductive Coupled Plasma), or the like. This is the same in that a high-density plasma is confined to a plasma generation space adjacent to the plasma processing space by a very strong magnetic field, and further in that the plasma generation space is adjacent to the plasma processing space. It is classified into the thing etc. which confine high-density plasma using the circularly polarized electromagnetic wave from a ring antenna like things.
[0008]
[Problems to be solved by the invention]
However, among these conventional plasma processing apparatuses, those of the above-mentioned ECR type, that is, the method of separating them in terms of distance, implement these mechanisms so that both the plasma processing space and the plasma generation space are kept at an appropriate distance. However, since the ratio of ion species is suppressed more than necessary and radical species increase, the plasma processing efficiency cannot be improved. Moreover, even if the mechanism implementation is devised to bring the ratio of the radical species and ionic species components in the plasma closer to high plasma treatment efficiency, the type and pressure of the active gas, and the material of the workpiece As the ratio changes, the desired ratio itself changes and fluctuates, and it is difficult to realize a mechanism that can variably control the distance between the two spaces. Under this method, the processing efficiency can be improved with an appropriate plasma component ratio. The plasma processing equipment that is good is not fully realized.
[0009]
On the other hand, in the ICP type, when the change in the magnetic field accompanying the time change of the current flowing through the coil accelerates the electrons and the electrons exceed the energy that ionizes the surrounding processing gas, ionization occurs and plasma is generated and formed. Since this ionization mechanism is formed in a converged state depending on the combined magnetic field of the coil, the generation shape of high energy electrons useful for ionization becomes a donut shape. Since this electron energy distribution is almost a Boltzmann distribution, electrons having energy higher than ionization ionize the gas in the plasma space, and electrons lower than that generate radicals. Thus, in ICP plasma, ion formation and radical formation depend on the same plasma forming means, and therefore the density ratio between ions and radicals cannot be arbitrarily set and controlled. Further, TCP plasma (transformed coupled plasma) has almost the same mechanism although the coil shape is different.
[0010]
On the other hand, in the method using circularly polarized electromagnetic waves, even if the use of a strong magnetic field is avoided, a large-diameter single ring antenna is used, so that the uniformity of plasma distribution in the plasma processing space is ensured. In addition, the plasma generation space is broader than that of the plasma processing space, is at least as wide as the workpiece, and communicates at the adjacent surfaces of both spaces in its wide state. .
[0011]
However, if the area of both space communication portions is large, the amount of gas flowing back from the plasma processing space to the plasma generation space increases. This is the same in all cases of the conventional plasma processing apparatus adopting a method in which the plasma processing space and the plasma generation space are separated from each other. Furthermore, this can be said to be almost the same for ECR. In the case of this type, at first glance, unlike the TCP and ICP plasma, the plasma generation space and the plasma processing space are separated from each other, so that both appear to be separated, but the opening diameter at the communicating portion of both spaces is large. The plasma components are not separated as apparent.
[0012]
Such a backflow gas contains a part of components that should be discharged immediately, such as those generated by processing of the object to be processed. The gas to be discharged is violently decomposed and ionized by the high-density plasma when it enters the plasma generation space, so that it can be transformed into an undesired thing that prevents proper processing or contaminates the inside of the device. There are many. Even if it is divided, the plasma components are not clearly separated.
For this reason, even if the uniformity of the plasma distribution can be ensured, it is difficult to provide a high-quality treatment if the undesired gas backflow cannot be prevented.
[0013]
In addition, it is conceivable to install a baffle plate that narrows the communication area at the adjacent surface where both spaces communicate, but in this case, even if the inflow amount decreases, the outflow amount also decreases. Once the gas has entered the plasma generation space, it is difficult to get out, so the ratio of gas that can be altered by high-density plasma increases. For this reason, even if a baffle plate or the like described in JP-A-4-290428 is simply combined, the final effect of preventing gas alteration cannot be expected.
[0014]
Therefore, it is a problem to devise the structure of both spaces so that gas inflow from the plasma processing space to the plasma generation space can be effectively prevented.
However, from the viewpoint of reducing plasma damage and charge-up, the plasma space is separated into the plasma generation space and the plasma processing space, and from the viewpoint of optimizing the ratio of the radical species component to the ion species component in the plasma. We want to maintain the condition that the generation space and the plasma processing space are adjacent to each other.
[0015]
By the way, as the size of a substrate to be subjected to plasma processing increases and becomes larger, a sheet-like process for processing one by one is also common in a plasma processing apparatus. Since the upper surface of the other flat plate is generally covered with the substrate, it is difficult to provide a suction port at the center of the substrate support as before, so in the conventional plasma processing apparatus, the suction port is a vacuum. It is formed in the chamber body part where the substrate support planting site is removed. As the substrate size increases, the parallel plate also increases, and the chamber volume and the suction port are also increased.
[0016]
On the other hand, just because the substrate size has increased, the requirements for processing precision and uniformity have not only relaxed, but the severity has increased. In order to meet this requirement, it is necessary to ensure the uniformity of the plasma state over the entire upper surface of the substrate. However, if the suction port deviates from the center of symmetry, or even if the suction port is dispersed at the symmetrical position, if the piping from each suction port to the vacuum pump is long or short, the flow of plasma or the like is deflected, and the plasma It is difficult to ensure uniformity. For this, a baffle plate may be installed in front of the suction port in the vacuum chamber to equalize the flow before the baffle plate (see 2b in FIG. 13). In addition to the increase, the baffle plate becomes a flow resistance, so that the pressure controllability is lowered. That is, it becomes impossible to quickly control the pressure state of the plasma, and the pressure fluctuation increases. As a result, it becomes difficult to maintain the plasma pressure at a desired setting state.
[0017]
On the other hand, it can be said that reducing the volume of the vacuum chamber is effective for improving the pressure controllability of the plasma. Specifically, it is conceivable that the vacuum chamber is contracted to such a point that the inner wall of the chamber is likely to contact the edge of the parallel plate (see FIG. 14). However, if the chamber volume is simply reduced by such a forcible method, the suction port must be provided directly beside the plasma processing space, so that the plasma flow is severely biased and the plasma uniformity is increased. It can be seriously damaged. In this case, there is no space to provide a baffle plate for buffering, and it becomes difficult to perform the work of attaching a substrate loading / unloading mechanism and the like and the back side of the parallel plate.
[0018]
However, increasing the size of the substrate in addition to the uniformity of the plasma processing is also an important requirement for the plasma processing apparatus. If only one of them is met, the product value of the apparatus cannot be maintained and improved.
Therefore, in order to meet these conflicting demands, it is a further problem to devise a structure capable of controlling the plasma pressure state more uniformly and more quickly.
[0019]
The present invention has been made to solve such a problem, and optimizes the plasma component ratio under the precondition that the plasma space is divided into a plasma generation space and a plasma processing space and these are adjacent to each other.・ Achieving a plasma processing system that supplies high-quality plasma by actively improving controllability and ensuring both plasma distribution uniformity and preventing gas flow from the plasma processing space to the plasma generation space. Objective.
Another object of the present invention is to realize a plasma processing apparatus that is excellent in pressure controllability in addition to supplying uniform and high-quality plasma.
[0020]
[Means for Solving the Problems]
About the 1st thru | or 14th solution means invented in order to solve such a subject, the structure and effect are demonstrated below. The essence of this is to divide the plasma space into a plasma generation space and a plasma processing space and to increase the degree of separation with respect to plasma components in both the divided spaces.
[0021]
[First Solution]
The plasma processing apparatus of the first solving means (as described in claim 1 at the beginning of the application) includes a first mechanism in which a plasma processing space is formed, and is attached to or integrally with the first mechanism. And a second mechanism in which a plasma generation space is formed, wherein the plasma generation space is formed in a distributed manner in the plasma processing apparatus adjacent to and in communication with the plasma processing space. It is characterized by being.
[0022]
[Second Solution]
The plasma processing apparatus of the second solving means (as described in claim 2 at the beginning of the application) is a plasma processing space between a pair of parallel flat plates (including these parallel flat plates) as counter electrodes (within the vacuum chamber). In the plasma processing apparatus in which a plasma generating space adjacent to and communicating with the plasma processing space is formed on one of the pair of parallel flat plates or on an adjacent mechanism portion thereof in a dispersed manner or the like. It is a feature.
[0023]
That is, in the plasma processing apparatus of the first and second solving means, the plasma space is divided into a plasma generation space and a plasma processing space, and the plasma generation space is adjacent to and communicates with the plasma processing space. In the generator, the plasma generation space is formed in a dispersed manner.
[0024]
Here, the above-mentioned “dispersion etc.” means not only the literal dispersion of being scattered in the form of dots, but also the case of being divided so as to be not so close, or in the form of lines or broken lines , Straight / curve shapes, etc., or a mixture of them is distributed in the adjacent part / communication part with the plasma processing space, and annular, circular, polygonal and spiral ones are concentric. Or it is the meaning also applicable when many are arranged non-concentrically or are formed widely alone.
[0025]
In such a plasma processing apparatus of the first and second solutions, by maintaining the conditions of separation of the plasma space and adjacent communication, plasma damage and charge-up can be reduced, and the radical species components in the plasma can be reduced. It meets the basic requirement of optimizing the ratio of ionic species.
[0026]
Moreover, since the plasma generation spaces are formed in a dispersed manner, not only can the demand for ensuring the uniformity of the plasma distribution be met, but also the adjacent surfaces communicating with the plasma processing space and the plasma generation spaces themselves along the surfaces. The cross-sectional area is necessarily smaller than that of the plasma processing space. This is true not only for the entire cross section, but also for the central portion and other partial cross sections. If there is a difference between the areas of the two spaces in this way, the ratio of the area of the communication adjacent surface and the cross-sectional area of the plasma processing space along this is the first ratio, and the area of the communication adjacent surface and the plasma generation space along this area. The first ratio is less than 1 and smaller than the second ratio, where the ratio of the cross-sectional area is the second ratio.
[0027]
When the first ratio is less than 1, the amount of gas flowing from the plasma processing space into the plasma generation space decreases. On the other hand, when the second ratio is 1, the amount of gas flowing out from the plasma generation space to the plasma processing space does not decrease. Further, even when the second ratio is less than 1 and the amount of outflow gas decreases, if the second ratio is greater than the first ratio, the degree of decrease may be small. In any case, the ratio of the gas flowing out from the plasma generation space into the plasma processing space is relatively higher than the ratio of the gas flowing into the plasma generation space from the plasma processing space. This not only suppresses the flow of undesired gas into the plasma generation space, but even when the gas enters the plasma generation space, the gas is quickly discharged into the plasma processing space together with the plasma flow. , Gas alteration due to high-density plasma can be prevented and suppressed.
[0028]
Therefore, according to the present invention, the optimization and controllability of the plasma component ratio is positively enhanced under predetermined preconditions, and the uniformity of the plasma distribution is ensured and the gas flow from the plasma processing space to the plasma generation space is prevented. By responding to both requests, high-quality plasma can be supplied. As a result, a high-quality etching process can be provided.
[0029]
[Third Solution]
The plasma processing apparatus of the third solving means (as described in claim 3 at the beginning of the application) is a plasma processing space between a pair of parallel flat plates (including these parallel flat plates) serving as counter electrodes (in the vacuum chamber). In the plasma processing apparatus, a plasma generation space adjacent to and communicating with the plasma processing space is formed on one of the pair of parallel flat plates or on an adjacent mechanism portion thereof, and the plasma generation space is formed in the plasma generation space. On the other hand, a magnetic circuit is provided, and a magnetic member for the magnetic circuit is arranged (at least partially) at a position surrounded or sandwiched by the plasma generation space.
[0030]
In such a plasma processing apparatus of the third solution, by maintaining the conditions of separation of the plasma space and adjacent communication, plasma damage and charge-up can be reduced, and the radical species components and ion species in the plasma can be reduced. We are responding to the basic request of optimizing the ratio with other ingredients.
Moreover, electrons that contribute to the generation and ionization of plasma are sealed in the plasma generation space by a magnetic circuit, but at least a part of the magnetic member for the magnetic circuit is surrounded or sandwiched by the plasma generation space. The magnetic circuit is localized. If it does so, it will become what the distribution state of the magnetic force line condensed, and a leakage magnetic flux will also decrease.
[0031]
Thereby, electrons are sealed with high accuracy in the plasma generation space. Then, electrons may stray into the plasma processing space, and the low-temperature plasma may be randomly ionized, or on the contrary, undesired processing gas may be mixed into the plasma generation space from the plasma processing space instead of the electrons. Less. That is, uncontrollable mixing is reduced.
As a result, when the plasma having a high ion species ratio is appropriately fed to the plasma processing space and mixed with the plasma having a low ion species ratio, the ion species component ratio in the plasma used for the etching process is set to an appropriate value. Control over a wide range is possible.
[0032]
In addition, the local magnetic circuit easily meets the demand for equalization by parallelization or the like. Moreover, since the magnetic force of the entire magnetic circuit can be weakened by the localization, there is an advantage that a small and simple one can be used for each magnetic member and mounting becomes easy. Furthermore, when combined with the above-described configuration of forming the plasma generation space in a distributed manner, the unwanted gas ionized by the high density plasma backflowing into the plasma generation space is combined with the high density plasma before further transformation. Therefore, a synergistic effect of being pushed back to the plasma processing space quickly can be expected.
[0033]
Therefore, according to the present invention, the optimization and controllability of the plasma component ratio is positively enhanced under predetermined preconditions, and the uniformity of the plasma distribution is ensured and the gas flow from the plasma processing space to the plasma generation space is prevented. By responding to both requests, high-quality plasma can be supplied. As a result, a high-quality plasma treatment can be provided.
[0034]
[Fourth Solution]
The plasma processing apparatus of the fourth solution (as described in claim 4 at the beginning of the application) is a plasma processing space between a pair of parallel flat plates (including these parallel flat plates) serving as counter electrodes (within the vacuum chamber). A plasma generating space adjacent to and communicating with the plasma processing space is formed on one plate of the pair of parallel flat plates or on an adjacent mechanism portion thereof in a dispersed manner, and the like. A magnetic circuit for sealing electrons is attached to the plasma generation space.
[0035]
Such a plasma processing apparatus of the fourth solution means has both functions and effects of the second and third solution means described above.
[0036]
[Fifth Solution]
A plasma processing apparatus of a fifth solution means (as described in claim 5 at the beginning of the application) is the plasma processing apparatus of the fourth solution means, wherein the plasma generation space is formed in a linear shape. It is characterized in that the magnetic members for the magnetic circuit are arranged in a row (a large number) across the plasma generation space (from both sides).
[0037]
In such a plasma processing apparatus of the fifth solving means, compared to the case where the plasma generation spaces are scattered and scattered, a certain amount of capacity is obtained when the plasma generation spaces are dispersed but continuous. Is secured. In particular, when formed in a spiral shape, it also has a unity as a single space. Thereby, even if the plasma generation space is dispersed, the plasma in the space is considerably uniformized. In addition, by forming a multi-circular shape or a multi-rectangular side, or by connecting them partially, it is easy to achieve dispersion that matches the shape of the substrate to be processed while maintaining a unity. In addition, once the shape of the plasma generation space is determined, the magnetic circuit is divided into small pieces and arranged along both sides of the magnetic circuit so that electrons are easily sealed in the plasma generation space. This facilitates the realization of the magnetic circuit.
[0038]
[Sixth Solution]
A plasma processing apparatus of a sixth solution means (as described in claim 6 at the beginning of the application) is the plasma processing apparatus of the third to fifth solution means, wherein the magnetic circuit is a permanent magnet or a direct current. It is formed by an exciting coil.
[0039]
In such a plasma processing apparatus of the sixth solution, a powerful electromagnet is not required, so that mounting design is facilitated, and the apparatus can be miniaturized. In addition to the powerful electromagnet, a large power source for driving is not required, so that the cost is reduced. In particular, permanent magnets can be easily adapted to various shapes by arranging small pieces of the same / similar shape, so that not only cost reduction but also design flexibility is greatly improved.
[0040]
[Seventh Solution]
A plasma processing apparatus of the seventh solution means (as described in claim 7 at the beginning of the application) is the plasma processing apparatus of the first to sixth solution means, and contributes to generation or strengthening of plasma. A first application circuit that applies an electric field or a magnetic field to the plasma processing space and a second application circuit that applies an electric field or a magnetic field that contributes to generation and strengthening of the plasma to the plasma generation space are provided.
[0041]
In the plasma processing apparatus of the seventh solving means, the ratio of the ion species component in the plasma can be variably controlled by changing the output power of the first application circuit, and the output power of the second application circuit. Also, it is possible to variably control the plasma density without changing the ratio of the ion species component in the plasma.
Thereby, the plasma component ratio and the plasma density can be set independently.
[0042]
[Eighth Solution]
The plasma processing apparatus of the eighth solution means (as described in claim 8 at the beginning of the application) is the plasma processing apparatus of the seventh solution means, wherein the first application circuit and the second application circuit Is characterized in that the outputs can be controlled independently of each other.
Here, “independently controllable” means that if the outputs of both circuits can be varied separately, it can be done as such, and does not mean that the contents of control are not related. For example, when a certain coefficient or function is set in advance and both are related by this coefficient or function, each circuit corresponds its output to each control target based on that coefficient or function. Included, independently controllable.
[0043]
In such a plasma processing apparatus of the eighth solving means, the output power of each application circuit is controlled independently. Thereby, the plasma component ratio and the plasma density are set independently. In other words, the ion species concentration and the radical species concentration are controlled and set independently. Therefore, since the processing conditions can be freely selected from a wide setting range, the efficiency and quality of the plasma processing can be further improved.
[0044]
[Ninth Solution]
A ninth plasma processing apparatus (as described in claim 9 at the beginning of the application) is the plasma processing apparatus according to the first to eighth solution, wherein the plasma generation space is the plasma. The area communicating with or opening to the processing space is smaller than the area of the plasma generation space (in a cross section parallel to the pair of parallel plates).
[0045]
In such a plasma processing apparatus of the ninth solving means, the communication portion between the plasma generation space and the plasma processing space is narrowed down, and the first is more than the case where the plasma generation space is simply opened to the plasma processing space. The first ratio described for the second solution is reduced, so that the flow of undesired gas into the plasma generation space is further suppressed. In addition to this, since the plasma generated and expanded in the plasma generation space is sent to the plasma processing space at an appropriate speed according to the area ratio, the velocity component in the vertical direction is added to the plasma, particularly the ion species. You can also
[0046]
[Tenth Solution]
A plasma processing apparatus of a tenth solution means (as described in claim 10 at the beginning of the application) is the plasma processing apparatus of the first to ninth solution means, wherein a plasma gas is introduced into the plasma generation space. The first gas introduction path for introducing the gas and the second gas introduction path for introducing the processing gas into the plasma processing space are individually provided.
[0047]
In the plasma processing apparatus of the tenth solution, the plasma gas is introduced into the plasma generation space via the first gas introduction path, while the processing gas is separately supplied from the second gas generating path. The gas is introduced into the plasma processing space through the gas introduction path. Then, the plasma gas necessary for generating the high-density plasma is separated from the undesired processing gas when entering the high-density plasma, and the processing gas is supplied to the plasma processing without passing through the plasma generation space. It is mixed for the first time. Thus, it is possible to reliably avoid the processing gas being altered by the high-density plasma before being subjected to the plasma processing.
[0048]
[Eleventh Solution]
The plasma processing apparatus of the eleventh solution means (as described in claim 11 at the beginning of the application) is the plasma processing apparatus of the tenth solution means, wherein the reaction gas is introduced into the second gas introduction path. While supplying the gas containing a component, only a non-reactive gas is supplied to the said 1st gas introduction path.
[0049]
In the plasma processing apparatus of the eleventh solution, the processing gas contains a reactive gas component necessary for the etching process, whereas the plasma gas is useful for generating high-density plasma. In addition, only a non-reactive gas that does not undesirably deteriorate even if it becomes a high-density plasma is used.
As a result, it is possible to provide a higher quality plasma than when the reaction gas is supplied via the plasma generation space, and thus the high density plasma and ion species without worrying about the alteration of the reaction gas. Can be produced in any desired amount, eg, in large amounts.
[0050]
[Twelfth Solution]
The plasma processing apparatus of the twelfth solving means (as described in claim 12 at the beginning of the application) is the plasma processing apparatus of the second to eleventh solving means, wherein the pair of parallel plates are used as a reference. The movable wall body having a shape covering the opening portion of the plasma processing space, the movable wall body covering both the position where the movable wall body covers the opening portion and the position where the movable wall body releases the opening portion. A wall drive mechanism for moving the wall forward and backward, and the other flat plate of the pair of parallel flat plates is implanted directly on the inner bottom of the vacuum chamber or indirectly via a support member, and the upper surface is mounted on the substrate. It is characterized by being formed.
[0051]
Here, the “opening portion of the plasma processing space with reference to a pair of parallel plates” means a side portion of a cylindrical space having both parallel plates as both end faces. In addition, “covering the opening” means not covering completely in a sealed manner, but covering at least a gap enough to exhaust plasma or gas that has been processed and maintain the plasma. is there.
[0052]
In such a plasma processing apparatus of the twelfth solution means, a substrate is mounted on the upper surface of the other flat plate in the internal space of the vacuum chamber, and a plasma processing space is formed thereabove. However, since the opening portion of the plasma processing space is covered with the movable wall body, the plasma processing space is generally surrounded by the pair of parallel plates and the movable wall body in the vacuum chamber. Therefore, a part of the space in the vacuum chamber is divided into the plasma processing space, and the pressure states of both are substantially separated. The degree of the separation depends on the size of the gap left from the covering by the movable wall body.
[0053]
Then, when the movable wall body is advanced and retracted by the wall body driving mechanism, the gap changes widely, and the pressure in the plasma processing space quickly approaches the vacuum pressure in the vacuum chamber and increases away from it. It is possible to control the pressure state of the plasma based on the body's advance / retreat drive. In addition, by aligning the shape of the movable wall with the shape of the parallel plate and dispersing the gaps therebetween as uniformly as possible, the deviation in the form of the flow flowing out from the plasma processing space is reduced.
When the substrate is taken in and out of the vacuum chamber, the movable wall body is provided in the vacuum chamber if the movable wall body is moved forward and backward by the wall body driving mechanism to a position where the opening of the plasma processing space is released. There is no inconvenience.
[0054]
As a result, the direct pressure control object is separated from the pressure inside the chamber into a part of the plasma processing space, so that a large volume object with poor responsiveness is narrowed down to a small volume object with excellent responsiveness. Since the shape is almost determined by the movable wall body that is relatively easy to uniformly disperse, such as making it symmetric with respect to the center, with the vacuum chamber wall being out of control by the suction port, pressure control in the plasma processing space It is easy to make the flow state in the plasma processing space uniform at the same time.
Therefore, according to the present invention, it is possible to realize a plasma processing apparatus excellent in pressure controllability in addition to supplying uniform and high-quality plasma.
[0055]
[Thirteenth Solution]
The plasma processing apparatus of the thirteenth solving means is the plasma processing apparatus of the above twelfth solving means (as described in claim 13 at the beginning of the application), wherein the movable wall covers the opening portion. When in the position, it has a shape that creates a gap to be a throttling of the passing fluid with the other flat plate.
[0056]
In the plasma processing apparatus of the thirteenth solving means, in the plasma processing, the processing gas or the like supplied to the plasma processing space from one flat plate side without the substrate is generally not from the same one flat plate side. Flows out from the plasma processing space via a gap on the other flat plate side of the plate.
Thereby, since it becomes easy to align a flow from upper direction to the downward direction, generation | occurrence | production of a backflow and a stay is suppressed.
Therefore, according to the present invention, the uniformity of the plasma state can be further improved.
[0057]
[Fourteenth Solution]
A thirteenth solution plasma processing apparatus (as described in claim 14 at the beginning of the application) is the twelfth and thirteenth solution plasma processing apparatus according to the pressure in the plasma processing space. And pressure control means for controlling the amount of advance and retreat of the movable wall by the wall drive mechanism.
[0058]
In the plasma processing apparatus of the fourteenth solution means, the pressure in the plasma processing space is automatically controlled by the pressure control means so as to be a desired vacuum pressure. In addition, at that time, since the automatic control is performed by controlling the advance / retreat amount of the movable wall body having good pressure controllability with respect to the plasma processing space, the pressure state of the plasma with respect to the set target such as the processing recipe of the plasma processing apparatus Follow quickly and accurately.
As a result, even if finer processing conditions are set than in the prior art, a plasma reaction exactly as set is performed.
Therefore, according to the present invention, more precise plasma processing can be performed on the substrate.
[0059]
DETAILED DESCRIPTION OF THE INVENTION
The plasma processing apparatus of the present invention achieved by such a solution is generally used by being mounted in an appropriate vacuum chamber. For this purpose, each of the mechanical parts such as one of the parallel plates in which the plasma generation space adjacent to the plasma processing space is formed and the adjacent mechanism are required to be easily incorporated into the vacuum chamber and have a degree of vacuum. In many cases, it is attached separately after being formed from the standpoint of balancing with the property, etc., but it is often fixed in close contact, for example, but part or all of them are the same / single member For example, the clad material may be integrally formed by processing or the like.
[0060]
【Example】
A specific configuration of a plasma etching apparatus as an embodiment of the plasma processing apparatus of the present invention will be described with reference to the drawings. FIG. 1 is a longitudinal sectional view of the main part thereof, FIG. 2 is a longitudinal sectional perspective view around the plasma generation chamber, and FIG. 3 is an enlarged view of one plasma generation space therein. FIG. 5 is a cross-sectional view showing a state where the vacuum chamber is mounted.
[0061]
This plasma etching apparatus generally includes a parallel plate portion (first mechanism) for securing a plasma processing space, an adjacent mechanism portion (second mechanism) for securing a plasma generation space and its additional portion, and each plasma. And an application circuit unit for applying an electric field or a magnetic field to the circuit.
The parallel flat plate portion is a pair of parallel flat plates and has a metal anode portion 11 and a cathode portion 12, and the anode portion 11 is disposed on the upper side so that the substrate 1 such as a wafer to be etched can be mounted thereon. A cathode portion 12 serving as a substrate support having an insulating surface on the upper surface is disposed below, and a plasma processing space 13 for the low-temperature plasma 10 is formed between the cathode portions 12. In addition, the anode portion 11 is preliminarily formed with a plurality of communication ports 14 penetrating therethrough and a processing gas supply port 15 as a second gas introduction path opened toward the plasma processing space 13. It has become. In this example, the ratio of the cross-sectional area of the communication port 14 to the effective cross-sectional area of the plasma processing space 13, that is, the first ratio is 0.05. The processing gas B supplied to the plasma processing space 13 through the processing gas supply port 15 is supplied with a reaction gas such as silane gas mixed with an appropriate amount of dilution gas. .
[0062]
The adjacent mechanism portion, that is, the mechanism adjacent to one flat plate 11 of the pair of parallel flat plates mainly includes an insulating plasma generation chamber 21, and the plasma generation chamber 21 serves as a plasma generation space 22. A plurality of (four in the figure) annular grooves are formed by being concentrically engraved. As a result, the plasma generation space 22 is dispersed. The plasma generation chamber 21 is fixed in a state where the opening side (lower surface in the drawing) of the plasma generation space 22 is in close contact with the upper surface of the anode portion 11. At that time, alignment is performed so that the opening of the plasma generation space 22 overlaps the communication port 14 of the anode portion 11. Thereby, the plasma generation space 22 and the plasma processing space 13 are adjacent to each other and communicated with each other. In this example, the ratio between the cross-sectional area of the communication port 14 and the cross-sectional area of the plasma generation space 22, that is, the second ratio is 0.5. As a result, the area where the plasma generation space 22 communicates with the plasma processing space 13 is smaller than the area of the plasma generation space 22 and slightly narrowed. Note that these ratio values can be freely changed as long as the magnitude relationship is not reversed.
[0063]
In the plasma generation chamber 21, a plasma gas supply path 23 as a first gas introduction path is formed in an annular shape further behind the plasma generation space 22, and both are communicated by a large number of small holes or nozzles. The plasma generation space 22 is supplied with a plasma generation gas A containing only a non-reactive gas component such as argon from the bottom (upper in the drawing) to generate a high-density plasma 20, and the plasma processing space 13 through the communication port 14. It is what sends it to.
Furthermore, the back surface (upper surface in the drawing) of the plasma generation space 22 is cut away so that the plasma generation chamber 21 leaves the side wall and the bottom surrounding the plasma generation space 22. And the coil 24 and the permanent magnet piece 25 are cyclically added so as to sandwich both side walls of the plasma generation space 22.
[0064]
The permanent magnet piece 25 has a vertical length substantially equal to that of the plasma generation space 22 and a magnetic pole directed in the direction of the horizontal plasma generation space 22, and a small piece for cutting off the annular undesired induced current. It is formed separately. A large number of permanent magnet pieces 25 are arranged along the side wall of the plasma generation space 22, thereby forming an annular magnetic circuit corresponding to the plasma generation space 22. As a result, the magnetic member 25 for the magnetic circuit is arranged at a place where the thing other than the outermost periphery is sandwiched between the plasma generation spaces 22. For the magnetic force of the magnetic circuit, a strength that can capture electrons with a small mass is sufficient, and a strength that captures even ions with a large mass is not necessary.
[0065]
The cross section of this magnetic circuit will be described in detail (refer to FIG. 3). The magnetic flux lines 26 coming out from the substantially upper half of the vertically long permanent magnet piece 25 draw a substantially concentric circle centered around the upper end of the permanent magnet piece 25. Thus, a magnetic peak is formed with the upper end of the permanent magnet piece 25 as the top. A substantially similar magnetic peak is formed at the lower end of the permanent magnet piece 25. Since the permanent magnet pieces 25 are attached to both sides of the plasma generation space 22, there are four magnetic peaks around the plasma generation space 22. Therefore, a so-called magnetic basin surrounded by magnetic mountains is formed in the plasma generation space 22. Then, electrons are supplemented here. Although described as a potential field wind, since it is actually a vector field, it is complicated to describe accurately. In short, electrons are sealed in a donut shape in the annular plasma generation space 22 as a whole. Note that it is also possible to create four magnetic peaks surrounding the cross section of the plasma generation space 22 by arranging the permanent magnet pieces with the magnetic poles up and down (see FIG. 4). Although not shown, it may be surrounded by five or more magnetic peaks.
[0066]
The application circuit unit is divided into a first application circuit centered on the RF power source 31 and a second application circuit centered on the RF power source 32.
The RF power supply 31 has a variable output power, and applies an alternating electric field to the grounded anode part and generates a bias voltage, so that its output is sent to the cathode part 12 via a blocking capacitor. Be sent. For this, a frequency of 500 KHz to 2 MHz is often used. As a result, the first application circuit applies an electric field that contributes to the enhancement of the low temperature plasma 10 to the plasma processing space 13 to some extent.
[0067]
The RF power source 32 also has a variable output power, and drives both coils 24 sandwiching the plasma generation space 22 to apply an alternating magnetic field to the plasma generation space 22. The maximum output power is large, and the frequency is often set to 13 MHz to 100 MHz. Thus, the second application circuit applies a magnetic field that contributes to generation and strengthening of the high-density plasma 20 to the plasma generation space 22.
[0068]
As for the pressure control means, the variable valve 4 in the conventional apparatus (see FIG. 13) is omitted, and instead, a movable wall body 40 and wall body drive mechanisms 41 to 44 for moving the same up and down are provided (FIG. 13). 5).
[0069]
The movable wall body 40 is made of a metal cylindrical body, and has an inner diameter slightly larger than the outer diameter of the cathode part 12 and is fitted into the inner cavity so that the cathode part 12 can move up and down loosely. When the upper end approaches the anode portion 11, a substantially identical gap is formed at the entire periphery. At that time, the movable wall body 40 is formed to have such a length that the upper portion closes the periphery of the side surface of the plasma processing space 13 and the lower portion reaches a position where the fitting with the cathode portion 12 cannot be removed. Thereby, the movable wall 40 has a shape that covers the opening portion of the plasma processing space 13 that is provided in the vacuum chambers 2 and 3 and is based on the pair of parallel plates 11 and 12. The movable wall 40 is also grounded so as not to be charged up undesirably.
[0070]
The wall body driving mechanism connects the bottom surface of the vacuum chamber main body 2 and the movable wall body 40 at a position where the bellows 41 having airtightness and stretchability do not overlap the suction port 2a, and a ball screw 42 is provided in the bellows 41. It is loosely inserted vertically, and its upper end is connected to the movable wall body 40 to support the movable wall body 40 so as to be movable up and down. Further, the lower end of the ball screw 42 is connected to the rotating shaft of the motor 44 fixed to the vacuum chamber main body 2 by the support 43. When the motor 44 rotates, the ball screw 42 is driven back and forth accordingly, and the movable wall body 40 is driven up and down accordingly, so that the upper side is substantially in contact with the anode unit 11 and the lower side is the upper surface of the cathode unit 12. The movable wall body 40 moves to a lower place. As a result, the wall drive mechanisms 41 to 44 have both an upper position where the movable wall 40 covers the opening of the plasma processing space 13 and a lower position where the movable wall 40 releases the opening of the plasma processing space 13. The movable wall body 40 is moved up and down over the position.
[0071]
Although not shown in the figure, the vacuum pressure gauge 4b is attached to the movable wall 40 so as to directly detect the pressure in the plasma processing space 13, and the detection signal is transmitted through the lumen of the bellows 41 or the like. It can be taken out without breaking the vacuum. Then, the rotation amount and the rotation speed of the motor 44 are controlled by the PID control circuit 4c receiving the detection signal. As a result, the plasma etching apparatus includes pressure control means for controlling the amount of movement of the movable wall body 40 by the wall body driving mechanisms 41 to 44 in accordance with the pressure in the plasma processing space 13.
[0072]
The use mode and operation of the plasma etching apparatus of this embodiment will be described with reference to the drawings. FIG. 5 is a cross-sectional view showing a state in which the main part described above is mounted in a vacuum chamber, FIG. 6 is a graph showing energy distribution of electrons in plasma, and FIG. 7 is a controllable range of ion ratio in plasma. FIG.
[0073]
Prior to use, the cathode portion 12 of the plasma etching apparatus is implanted through the lower support 12a in the center of the box-shaped vacuum chamber main body portion 2 whose top is released. The vacuum chamber main body 2 is attached with the plasma generation chamber 21 and the anode 11 and can be cooled with water. When the vacuum chamber main body 2 is closed, the inside of the vacuum chamber main body 2 as well as the plasma processing space 13 and the plasma generation space 22 are also formed. Sealed. Then, the movable wall body 40 is lowered below the cathode portion 12, and in this state, the horizontal substrate 1 is carried into the vacuum chambers 2 and 3 from the side, and this substrate 1 is placed on the upper surface of the cathode portion 12. Is done. Then, vacuuming by the vacuum pump 5 is performed at the same time as the substrate carry-in port or the like is closed. At this time, since the gate valve 4a remains open and the variable valve 4 does not exist, the vacuum chambers 2 and 3 are quickly evacuated.
[0074]
Then, the motor 44 is rotated to raise the movable wall body 40 to the extent that it does not contact the anode portion 11, and further, the plasma gas A is supplied via the plasma gas supply path 23, and further through the processing gas supply port 15. When the supply of the processing gas B is appropriately started, the pressure in the plasma processing space 13 is appropriately maintained by the throttle portion 49 formed between the upper end of the movable wall body 40 and the lower surface of the anode portion 11. That is, the vacuum pressure in the plasma processing space 13 is detected by the vacuum pressure gauge 4b attached to the movable wall body 40, and a control signal is generated by the PID control circuit 4c based on the difference between this detected value and a predetermined set target value. The motor 44 is rotated in accordance with this control signal and the ball screw 42 is advanced and retracted to move the movable wall 40 up and down.
[0075]
Specifically, when the degree of vacuum in the plasma processing space 13 decreases and the absolute pressure increases too much, the movable wall body 40 is driven so as to descend, and the throttle portion 49 opens and the plasma processing space 13 is opened. The pressure quickly decreases to a predetermined pressure. Conversely, when the degree of vacuum in the plasma processing space 13 increases and the absolute pressure decreases too much, the movable wall body 40 is driven to rise, the throttle 49 is closed and the pressure in the plasma processing space 13 is reduced. It quickly rises to a predetermined pressure.
Thus, the pressure control means using the movable wall body 40 and the wall body drive mechanisms 41 to 44 as pressure control mechanisms are automatically controlled so that the vacuum pressure in the vacuum chamber quickly becomes the set pressure.
[0076]
Further, the throttle 49 is formed so as to expand substantially uniformly around the upper portion of the plasma processing space 13, and depending on the difference between the pressure in the plasma processing space 13 and the pressure in the vacuum chamber outside the plasma processing space 13, where the throttle 49 is located. However, since the flow of the passing fluid such as gas is substantially the same, the gas state in the plasma processing space 13 is almost symmetrical and highly uniform. Further, since such a pressure control state continues even when plasma is formed in the plasma processing space 13, the state of the low temperature plasma 10 in the etching process described below is also almost symmetrical and highly uniform. .
Thus, the preparation for the plasma etching process for the substrate 1 mounted on the cathode portion 12 is completed.
[0077]
Next, when the RF power source 32 is operated, an RF electromagnetic field is applied to the plasma generation space 22 via the coil 24, and the electrons of the plasma gas A are vigorously moved. At this time, the electrons stay in the plasma generation space 22 for a long time by the action of the magnetic circuit by the permanent magnet piece 25, and fly around in the annular space while spirally exciting the plasma gas A. In this way, the high-density plasma 20 is generated, but the electrons sealed in the plasma generation space 22 contain a large amount of electrons having a high energy of 10 to 15 eV or more that greatly contribute to the generation of ion species (FIG. 6A )), The high-density plasma 20 has a high ratio of ionic species components. The high-density plasma 20 expanded in the plasma generation space 22, in particular, the radical species and ionic species components are quickly transferred to the plasma processing space 13 by the expansion pressure.
[0078]
When the RF power source 31 is operated, an RF electric field is also applied to the plasma processing space 13 via the anode part 11 and the cathode part 12. Since there is no magnetic circuit or the like for containing electrons, high-density plasma cannot be produced even when the processing gas B or the like is excited. When only the power from the RF power source 31 is used, the low temperature plasma 10 has few electrons having energy of 10 to 15 eV or more (see the one-dot chain line graph in FIG. 6A), and thus the ratio of the radical species component is high. . However, in the case of the low-temperature plasma 10 in this apparatus, since the above-described high-density plasma 20 is mixed, the ratio of the actual radical species component to the ionic species component is any ratio between the two.
[0079]
When the output of the RF power source 32 is increased, electrons of 10 to 15 eV or more in the plasma generation space 22 increase (see FIG. 6B). And the production amount of the high-density plasma 20 increases. As a result of the mixing, the ratio of the ion species component is raised in the low temperature plasma 10. On the other hand, when the output of the RF power source 32 is lowered, electrons of 10 to 15 eV or more in the plasma generation space 22 are reduced (see FIG. 6C). And the production amount of the high-density plasma 20 decreases. As a result of the mixing, the ratio of the ion species component in the low temperature plasma 10 is lowered.
[0080]
Furthermore, when the output of the RF power supply 32 is slightly lowered while the output of the RF power supply 31 is increased, the following is obtained. First, as the output of the RF power source 31 is increased, the electron density in the plasma processing space 13 shifts to a higher density and higher energy side (see the one-dot chain line in FIG. 6D), and low-temperature plasma in the plasma processing space 13 increases. This increases the radical concentration there, but at the same time increases the ion ratio slightly. Next, as the output of the RF power source 32 is reduced, the electron density in the plasma generation space 22 is shifted to a low density and low energy side (see FIG. 6 (d) two-dot chain line), and the high density plasma in the plasma generation space 22 is slightly increased. decrease. As a result, the radical concentration and the ion ratio are lowered. However, since the high energy component is originally large, the ion ratio is greatly lowered even if the output is slightly reduced. When such a high-density plasma 20 is mixed with the low-temperature plasma 10 in the plasma processing space 13, the increase or decrease in the ion ratio is almost offset, while the radical concentration increases. That is, the plasma concentration of the low temperature plasma 10 is increased without much changing the ratio of the radical species component and the ion species component. Similarly, when the outputs of the RF power sources 31 and 32 are increased or decreased in the opposite direction, the plasma concentration of the low temperature plasma 10 is lowered.
[0081]
In this way, the low temperature plasma 10 is easily variably controlled in the ratio between the radical species component and the ion species component, and the variable range is wide enough to cover almost all conventional models (see FIG. 7). Note that FIG. 7 and FIG. 6 described above are schematic diagrams for explaining qualitative and relative properties). Then, the etching process proceeds efficiently under the optimum conditions for the etching at that time, that is, under conditions that were difficult to set in the prior art (see point a in FIG. 7).
Further, when the optimum condition changes due to a change in the component of the processing gas B, the outputs of the RF power sources 31 and 32 are adjusted as appropriate. Moreover, this adjustment is automatically performed if it is set in advance as a so-called recipe. As a result, the etching process efficiently proceeds again under the optimum conditions (see point b in FIG. 7). Thus, the etching process can always be performed efficiently.
[0082]
In this apparatus, since the cross-sectional area of the plasma generation space 22 is much smaller than the cross-sectional area of the plasma processing space 13 and the first ratio is much smaller than the second ratio, the high-density plasma 20 In addition to being quickly sent out from the plasma generation space 22 to the plasma processing space 13, the amount of gas that flows back into the plasma generation space 22 from the plasma processing space 13 is small so that the processing gas B is directly excited by the high-density plasma 20. It is almost never decomposed or ionized until it is undesired.
[0083]
When the etching process using the high-quality plasma proceeds efficiently in this way, the generation rate of the reaction product generated by the reaction of the low temperature plasma 10 with the substrate 1, that is, the amount of the reaction product generated per unit time increases. If this stays in the vacuum chamber, the quality of the plasma processing may deteriorate due to the reaction between the reaction product and the processing gas, etc., but the range of the gas pressure necessary for the plasma etching processing as described above. Is narrowed down to a minimum space by the anode part 11, the cathode part 12 and the movable wall body 40, and the vacuum chambers 2 and 3 surrounding it are sufficiently sucked and are in a vacuum state. Even if the generation amount of the reaction product increases, the reaction product is quickly exhausted without staying in the plasma processing space 13 for a long time.
Thus, the supply of high-quality plasma from the plasma generation space and the like, and the rapid discharge of reaction products by the movable wall body, etc., are combined, and the plasma etching process with excellent quality and processing speed is continued.
[0084]
Next, a plasma film forming apparatus (CVD) will be described. Basically, the structure may be substantially the same as that described above. The difference is that the cathode and the anode of the counter electrode are switched, and the film is formed. For example, monosilane or other active gas is used as the processing gas B, and the pressure in the plasma processing space is set to be slightly high (the degree of vacuum is low).
Also in this case, the ratio of the radical species component to the ion species component is easily variably controlled in the low temperature plasma 10, and the variable range is wide enough to cover almost all conventional models (FIG. 8). reference).
[0085]
Then, the film formation process proceeds efficiently under the optimum conditions for film formation at that time, that is, under conditions that were difficult to set in the past (see point a in FIG. 8). Further, when the optimum conditions change due to a change in the component of the processing gas B, etc., the film forming process can be carried out efficiently under the optimum conditions at any time by appropriately adjusting the outputs of the RF power sources 31 and 32 (in FIG. 8). The same applies to the point b). Thus, even when various materials such as polysilicon, metals such as aluminum and tungsten, oxide films, and nitride films are to be deposited, the plasma deposition apparatus of the present invention uses ions during plasma deposition. Since the ratio of radicals to radicals can be changed over a wide range, it is possible to freely select and set the optimum deposition conditions according to the film quality to be deposited and other applications, resulting in high production of high-quality films. Done by sex.
[0086]
As described above, these plasma processing apparatuses have a wide controllable range of the ion ratio in the plasma, and cover almost all of the conventional plasma etching apparatus and plasma CVD as well as the gap between them (see FIG. 9). ), And optimal plasma processing conditions can be freely selected and set. As a result, it is possible to efficiently perform a high-quality plasma treatment.
[0087]
Finally, another configuration example of the plasma processing apparatus of the present invention will be described. The plasma processing apparatus shown in FIGS. 10 to 12 is various modifications of the movable wall body 40 in the above-described apparatus. In any case, the movable wall body 40 is raised to open the opening portion of the plasma processing space 13. It is processed into a shape that creates a gap that becomes a constriction of the passing fluid between the cathode portion (substrate support) 12 and the cathode portion (substrate support) 12 when in the covering position. The anode portion 11 has the same outer diameter as the cathode portion 12 and is fitted into the inner cavity of the movable wall body 40.
[0088]
The movable wall body 40a of the first modified example shown in FIG. 10 is formed in a stepped state with the lower part of the lumen widened, and the ball screw 42 and the like are at the lowest end so that they do not interfere with the cathode portion 12. However, while being supported by the ball screw 42, a throttle portion 49a for the fluid is formed by the lower end portion of the small-diameter portion of the lumen and the upper edge portion of the cathode portion 12.
[0089]
The movable wall body 40b of the second modification shown in FIG. 11 has a large number of perforations 49b formed at a height corresponding to the upper edge of the cathode portion 12, and is dispersed at the openings 49b. A diaphragm is formed.
[0090]
The movable wall body 40c of the third modified example shown in FIG. 12 is such that the angled portion of the plasma processing space 13 is reduced by further rounding the side surface 49c of the movable wall body, thereby opening the opening. This is intended to mitigate the influence of non-uniformity that may reach the inside of the plasma processing space 13 due to a rapid change in the flow near 49b.
[0091]
【The invention's effect】
As is clear from the above description, in the plasma processing apparatus of the first and second solving means of the present invention, the ion species is contained in the plasma generation space by changing the cross-sectional area ratio between the plasma generation space and the plasma processing space. By making it possible to avoid stopping for a long time, both the request to optimize and control the plasma component ratio positively, ensure the uniformity of plasma distribution, and prevent gas flow from the plasma processing space to the plasma generation space As a result, there is an advantageous effect that a plasma processing apparatus that supplies high-quality plasma can be realized.
[0092]
In the plasma processing apparatus of the third solving means of the present invention, since the electrons are surely sealed in the plasma generation space, the optimization and controllability of the plasma component ratio is positively improved and the plasma is improved. Responding to both demands of ensuring uniformity of distribution and preventing gas flow from the plasma processing space to the plasma generation space, the result was that it was possible to realize a plasma processing apparatus that supplies high-quality plasma. Play.
[0093]
Furthermore, in the plasma processing apparatus of the fourth solution of the present invention, the cross-sectional area ratio between the plasma generation space and the plasma processing space is changed so that the ion species do not stop in the plasma generation space for a long time. In addition, by ensuring that electrons are sealed in the plasma generation space, the optimization and controllability of the plasma component ratio is positively improved, and the uniformity of the plasma distribution is ensured and the plasma processing space is transferred to the plasma generation space. As a result, there is an advantageous effect that a plasma processing apparatus that supplies high-quality plasma can be realized.
[0094]
Further, in the plasma processing apparatus of the fifth solution of the present invention, the generated plasma is made uniform and the design and manufacture of the magnetic circuit can be facilitated by dispersing while keeping the unit. There is an advantageous effect that it was possible.
[0095]
Furthermore, in the plasma processing apparatus according to the sixth solution of the present invention, since a strong electromagnet is not required, it is possible to achieve design simplification, apparatus miniaturization, and cost reduction. There is a great effect.
[0096]
In the plasma processing apparatuses of the seventh and eighth solving means of the present invention, the plasma component ratio and the plasma concentration can be set independently, thereby further improving the efficiency of the plasma processing. There is an advantageous effect that it was possible.
[0097]
In the plasma processing apparatus of the ninth solving means of the present invention, the area ratio when the plasma generation space is viewed from the plasma processing space is smaller than when the plasma generation space is simply opened to the plasma processing space. As a result, it is possible to further suppress the inflow of undesired gas into the plasma generation space, and to add the velocity component in the vertical direction to the ion species. Yes.
[0098]
In the plasma processing apparatus of the tenth solving means of the present invention, the processing gas is supplied to the plasma processing without being passed through the plasma generation space, so that the processing gas is supplied to the plasma processing. There is an advantageous effect that it has been possible to reliably avoid the alteration by the high density plasma before.
[0099]
Further, in the plasma processing apparatus of the eleventh solution of the present invention, it is possible to provide a higher quality plasma by preventing the reactive gas from being directly exposed to the high density plasma. Further, there is an advantageous effect that ionic species can be generated arbitrarily.
[0100]
In the plasma processing apparatus of the twelfth solving means of the present invention, the pressure control object is narrowed from the large volume chamber internal pressure to the small volume plasma processing space, while the flow form is the suction of the vacuum chamber wall. By relying on a movable wall body that is easy to disperse uniformly without being controlled by the mouth, it is possible to realize a plasma processing apparatus with excellent pressure controllability in addition to supplying uniform and high-quality plasma. There is an advantageous effect that it was made.
[0101]
Moreover, in the plasma processing apparatus of the thirteenth solving means of the present invention, since the flows are aligned in one direction, there is an advantageous effect that the plasma uniformity can be further improved.
[0102]
In the plasma processing apparatus of the fourteenth solving means of the present invention, automatic control is performed by controlling the amount of advance and retreat of the movable wall body with good pressure controllability with respect to the plasma processing space. There is an advantageous effect that it has become possible to apply a more precise plasma treatment to the substrate.
[Brief description of the drawings]
FIG. 1 is a longitudinal sectional view of a main part of a plasma etching apparatus as an embodiment of a plasma processing apparatus of the present invention.
FIG. 2 is a longitudinal sectional perspective view around the plasma generation space.
FIG. 3 is an enlarged view of one of the plasma generation spaces.
FIG. 4 shows a modification of the magnetic circuit.
FIG. 5 is a cross-sectional view showing a mounting state in a vacuum chamber.
FIG. 6 is an energy distribution of electrons in plasma.
FIG. 7 shows a controllable range of the ion ratio in the plasma etcher.
FIG. 8 shows a controllable range of the ion ratio in plasma CVD.
FIG. 9 shows a controllable range of the ion ratio in plasma.
FIG. 10 is a first modification of the movable wall body.
FIG. 11 is a second modification of the movable wall body.
FIG. 12 is a third modification of the movable wall body.
FIG. 13 shows a conventional plasma processing apparatus.
FIG. 14 is a predicted structural view when the chamber is reduced.
[Explanation of symbols]
1 Substrate (processed object, wafer)
2 Vacuum chamber body (vacuum chamber)
2a Suction port
2b baffle plate
3 Vacuum chamber lid (vacuum chamber)
4 Variable valves (variable throttle, pressure control mechanism, pressure control means)
4a Gate valve (gate valve)
4b Vacuum pressure gauge (pressure detector, pressure control means)
4c PID control circuit (pressure control circuit, pressure control means)
5 Vacuum pump
10 Low temperature plasma
11 Anode section (one of parallel plates, first application circuit, first mechanism)
11a Upper support
12 Cathode (the other of the parallel plates, the first application circuit, the first mechanism, the substrate support)
12a Lower support
13 Plasma processing space
14 Communication port
15 Processing gas supply port (second gas introduction path)
20 High density plasma
21 Plasma generation chamber (adjacent mechanism, second mechanism)
22 Plasma generation space
23 Gas supply path for plasma (first gas introduction path)
24 Coil (second application circuit)
25 Permanent magnet piece (magnetic member for magnetic circuit)
26 Magnetic flux lines (magnetic circuit)
31 RF power supply (first application circuit)
32 RF power supply (second application circuit)
40, 40a, 40b, 40c Movable wall (variable aperture)
41 Bellows (bellows, wall drive mechanism)
42 Ball screw (advance / retreat drive shaft, wall drive mechanism)
43 Support (support, wall drive mechanism)
44 Motor (electric motor, wall drive mechanism)
49, 49a Aperture part
49b opening
49c Inside the movable wall

Claims (6)

プラズマ処理空間が形成された第1機構と、前記第1機構に取着して設けられプラズマ発生空間が形成された第2機構とを具え、前記プラズマ発生空間が前記プラズマ処理空間に隣接し且つ連通しているプラズマ処理装置において、
前記第1機構は、対向電極となる一対の平行平板間に前記プラズマ処理空間が形成されており、
前記第2機構は、前記一対の平行平板のうち一方の平板の隣接機構部に前記プラズマ発生空間が環状に形成され、分散された空間となっているものであり、かつ、前記一方の平板における前記プラズマ処理空間に連通する又は開口するところの横断面積が前記プラズマ発生空間の横断面積よりも小さく、
更に、前記一対の平行平板間のプラズマ処理空間にプラズマの強化に寄与する交番電界を印加するために、前記一対の平行平板に高周波電力を印加する第1のRF電源と、
出力が前記第1のRF電源とは独立して制御可能であり、前記プラズマ発生空間にプラズマの発生および強化に寄与する交番磁界を印加するために、前記プラズマ発生空間を挟んで配置されたコイルに高周波電力を印加する第2のRF電源とを備えた
ことを特徴とするプラズマ処理装置。
A first mechanism formed with a plasma processing space; and a second mechanism attached to the first mechanism and formed with a plasma generation space, wherein the plasma generation space is adjacent to the plasma processing space; In the plasma processing apparatus in communication,
In the first mechanism, the plasma processing space is formed between a pair of parallel flat plates serving as counter electrodes,
In the second mechanism, the plasma generation space is annularly formed in an adjacent mechanism portion of one flat plate of the pair of parallel flat plates to form a dispersed space , and in the one flat plate A cross-sectional area communicating with or opening the plasma processing space is smaller than a cross-sectional area of the plasma generation space;
A first RF power source that applies high-frequency power to the pair of parallel plates in order to apply an alternating electric field that contributes to plasma enhancement in the plasma processing space between the pair of parallel plates;
A coil whose output is controllable independently of the first RF power source, and is arranged across the plasma generation space in order to apply an alternating magnetic field that contributes to the generation and strengthening of plasma to the plasma generation space And a second RF power source for applying high-frequency power to the plasma processing apparatus.
更に、前記プラズマ発生空間を挟んで配置されている磁性部材からなる磁気回路が設けられていることを特徴とする請求項1に記載されたプラズマ処理装置。  The plasma processing apparatus according to claim 1, further comprising a magnetic circuit made of a magnetic member arranged with the plasma generation space interposed therebetween. 前記磁性部材が永久磁石または直流励磁コイルであることを特徴とする請求項2に記載されたプラズマ処理装置。  The plasma processing apparatus according to claim 2, wherein the magnetic member is a permanent magnet or a DC exciting coil. 前記プラズマ発生空間にプラズマ用ガスを導入する第1のガス導入路と、前記プラズマ処理空間に処理ガスを導入する第2のガス導入路とが個別に設けられていることを特徴とする請求項1乃至3のいずれかに記載されたプラズマ処理装置。  The first gas introduction path for introducing a plasma gas into the plasma generation space and the second gas introduction path for introducing a processing gas into the plasma processing space are individually provided. The plasma processing apparatus according to any one of 1 to 3. 前記第2のガス導入路へは反応ガス成分を含むガスを供給すると共に前記第1のガス導入路へは非反応性ガスのみを供給することを特徴とする請求項4に記載されたプラズマ処理装置。  5. The plasma processing according to claim 4, wherein a gas containing a reactive gas component is supplied to the second gas introduction path and only a non-reactive gas is supplied to the first gas introduction path. apparatus. 前記プラズマ処理装置がデポジション処理装置またはエッチング処理装置のいずれかであることを特徴とする請求項1乃至5のいずれかに記載されたプラズマ処理装置。  6. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus is either a deposition processing apparatus or an etching processing apparatus.
JP05606098A 1997-02-24 1998-02-20 Plasma processing equipment Expired - Lifetime JP4043089B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP05606098A JP4043089B2 (en) 1997-02-24 1998-02-20 Plasma processing equipment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP5548997 1997-02-24
JP9-55489 1997-02-24
JP05606098A JP4043089B2 (en) 1997-02-24 1998-02-20 Plasma processing equipment

Related Child Applications (3)

Application Number Title Priority Date Filing Date
JP2006307098A Division JP4405496B2 (en) 1997-02-24 2006-11-13 Plasma processing equipment
JP2006307096A Division JP4454034B2 (en) 1997-02-24 2006-11-13 Plasma processing equipment
JP2006307097A Division JP4405495B2 (en) 1997-02-24 2006-11-13 Plasma processing equipment

Publications (2)

Publication Number Publication Date
JPH10294307A JPH10294307A (en) 1998-11-04
JP4043089B2 true JP4043089B2 (en) 2008-02-06

Family

ID=26396382

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05606098A Expired - Lifetime JP4043089B2 (en) 1997-02-24 1998-02-20 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP4043089B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190031110A (en) * 2017-09-15 2019-03-25 가부시끼가이샤 도시바 Shower head, processing apparatus, and shower plate

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3468446B2 (en) * 1997-05-20 2003-11-17 東京エレクトロン株式会社 Plasma processing equipment
JP5160730B2 (en) * 2002-09-19 2013-03-13 ジェネラル・プラズマ・インコーポレーテッド Beam plasma source
US7015415B2 (en) * 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
FR2912864B1 (en) * 2007-02-15 2009-07-31 H E F Soc Par Actions Simplifi DEVICE FOR GENERATING A COLD PLASMA IN A VACUUM ENCLOSURE AND USING THE DEVICE FOR THERMOCHEMICAL TREATMENTS
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
KR102016190B1 (en) * 2011-11-17 2019-10-21 램 리써치 코포레이션 Distributed multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP2013191593A (en) * 2012-03-12 2013-09-26 Tokyo Electron Ltd Plasma processing apparatus
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6043968B2 (en) 2013-10-30 2016-12-14 パナソニックIpマネジメント株式会社 Plasma processing method and electronic device manufacturing method
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR101627698B1 (en) * 2015-11-16 2016-06-13 주성엔지니어링(주) Appratus for treating substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190031110A (en) * 2017-09-15 2019-03-25 가부시끼가이샤 도시바 Shower head, processing apparatus, and shower plate
US10837113B2 (en) 2017-09-15 2020-11-17 Kabushiki Kaisha Toshiba Shower head, processing apparatus, and shower plate
KR102242843B1 (en) * 2017-09-15 2021-04-21 가부시끼가이샤 도시바 Shower head, processing apparatus, and shower plate

Also Published As

Publication number Publication date
JPH10294307A (en) 1998-11-04

Similar Documents

Publication Publication Date Title
JP4043089B2 (en) Plasma processing equipment
US6267074B1 (en) Plasma treatment systems
KR100884416B1 (en) Plasma processing apparatus and method
US20040219737A1 (en) Method and apparatus for processing a workpiece with a plasma
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
KR100223394B1 (en) Plasma treating device
JP5037630B2 (en) Plasma processing equipment
JP4812991B2 (en) Plasma processing equipment
JP4056144B2 (en) Plasma processing equipment
US20130323916A1 (en) Plasma doping method and apparatus
KR100842452B1 (en) Plasma processing apparatus and electrode assembly for the plasma processing apparatus
JP4405496B2 (en) Plasma processing equipment
JP3881307B2 (en) Plasma processing equipment
JP4405495B2 (en) Plasma processing equipment
KR100862686B1 (en) Plasma regulator and plasma processing apparatus having the same
JP4454034B2 (en) Plasma processing equipment
JP4527432B2 (en) Plasma processing method and plasma processing apparatus
JP4408987B2 (en) Plasma processing equipment for sputter processing
JP4358192B2 (en) Plasma generator
JP3834806B2 (en) Plasma generator
JP5174848B2 (en) Plasma processing method and plasma processing apparatus
JP2013229150A (en) Plasma processing apparatus
US20240079216A1 (en) Apparatus for treating substrate and method for treating substrate
TW202141561A (en) Shunt door for magnets in a plasma chamber
JP4373061B2 (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050107

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060912

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20061031

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070515

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070823

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071022

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071112

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071113

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term