KR20150032811A - 듀얼 플라즈마 소스 반응 장치를 이용한 웨이퍼 프로세싱을 위한 이온 대 중성 종 제어 - Google Patents

듀얼 플라즈마 소스 반응 장치를 이용한 웨이퍼 프로세싱을 위한 이온 대 중성 종 제어 Download PDF

Info

Publication number
KR20150032811A
KR20150032811A KR20140124911A KR20140124911A KR20150032811A KR 20150032811 A KR20150032811 A KR 20150032811A KR 20140124911 A KR20140124911 A KR 20140124911A KR 20140124911 A KR20140124911 A KR 20140124911A KR 20150032811 A KR20150032811 A KR 20150032811A
Authority
KR
South Korea
Prior art keywords
plate
chamber
plasma
sub
plate assembly
Prior art date
Application number
KR20140124911A
Other languages
English (en)
Inventor
라진더 딘드사
남상기
알렉세이 마라크타노브
에릭 에이. 허드슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150032811A publication Critical patent/KR20150032811A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

개시된 기법들은 기판을 에칭하는 방법들 및 장치에 관한 것이다. 플레이트 어셈블리는 반응 챔버를 상부 서브 챔버 및 하부 서브 챔버로 분할한다. 플레이트 어셈블리는 플레이트를 관통하는 아퍼처들을 갖는 상부 플레이트 및 하부 플레이트를 포함한다. 상부 플레이트 및 하부 플레이트의 아퍼처들이 정렬될 때, 이온들 및 종성 종이 플레이트 어셈블리를 통해 하부 서브 챔버로 이동할 수도 있다. 아퍼처들이 정렬되지 않을 때, 이온들이 플레이트 어셈블리를 통과하는 것이 방지되는 반면 중성 종들은 훨씬 적게 영향을 받는다. 따라서, 이온 플럭스:중성 종들 플럭스의 비는 아퍼처들이 정렬되는 면적의 양을 제어함으로써 조정될 수도 있다. 특정한 실시예들에서, 플레이트 어셈블리 중 하나의 플레이트는 일련의 동심인, 독립적으로 운동가능한 주입 제어 링들로서 구현된다. 또한, 일부 실시예들에서, 상부 서브 챔버는 절연성 재료인 벽체들에 의해 분리된 일련의 동심인 플라즈마 존들로서 구현된다.

Description

듀얼 플라즈마 소스 반응 장치를 이용한 웨이퍼 프로세싱을 위한 이온 대 중성 종 제어{ION TO NEUTRAL CONTROL FOR WAFER PROCESSING WITH DUAL PLASMA SOURCE REACTOR}
반도체 생산에 자주 채택되는 동작 중 하나는 에칭 동작이다. 에칭 동작에서, 하나 이상의 재료들이 부분적으로 제조된 집적 회로로부터 부분적으로 또는 전체적으로 제거된다. 특히 수반되는 기하학적 구조가 작고, 고 애스팩트 비 (aspect ratio) 가 사용되고, 또는 정밀한 패턴 운동이 필요한 경우 플라즈마 에칭이 자주 사용된다. 통상적으로, 플라즈마는 전자들, 이온들, 및 라디컬들을 포함한다. 라디컬들 및 이온들은 기판 상의 특징부들, 표면들 및 재료들을 에칭하도록 기판과 상호작용한다.
디바이스 치수가 작아짐에 따라, 플라즈마 에칭 프로세스들은 고품질의 제품들을 생산하기 위해 점점 더 정밀하고 균일해야 한다. 디바이스 치수들을 감소시키기 위한 하나의 강력한 요인은 기판 당 더 많은 디바이스들을 제공하기 위한 요구이다. 관련된 요인은 평면 트랜지스터 구조들로부터 3D 트랜지스터 구조들로 (예를 들어, 논리 디바이스들을 위한 FinFET 게이트 구조) 그리고 진보된 메모리 구조들 (예를 들어, MRAM (Magnetoresistive Random Access Memory) 및 ReRAM (Resistive Random Access Memory)) 로의 운동이다. 이러한 정밀하고 균일한 프로세스들을 달성하기 위해, 몇몇 관련 인자들 (예를 들어, 디바이스가 사용될 애플리케이션, 수반된 화학 물질, 기판의 센서티비티 (sensitivity), 등) 에 기초하여 상이한 프로세스들이 최적화되어야 한다. 다른 인자들 중에서, 에칭 프로세스에서 최적화될 수도 있는 몇몇 중요한 변수들은 기판으로의 이온 플럭스, 기판으로의 라디컬들의 플럭스, 및 이들 두 플럭스들 간의 상대적인 비를 포함한다.
상이한 프로세스들이 상이한 방식들로 최적화되기 때문에, 제1 에칭 프로세스에 적합한 장치가 제2 에칭 프로세스에 적합하지 않을 수도 있다. 반도체 제조 설비의 비용뿐만 아니라, 부분적으로 프로세싱 설비들의 제한된 공간으로 인해, 반도체 제조 장치가 넓은 범위의 기판 상의 프로세싱 조건들을 제공할 수 있는 것이 바람직하다. 또한, 반도체 장치가 특정한 기하학적 불균일성들을 방지하기 위해 프로세싱 동안 기판의 상이한 부분들 위에 넓은 범위의 프로세싱 조건들을 제공할 수 있는 것이 바람직할 수도 있다. 이러한 고려사항은 대형 기판들 (예를 들어, 직경 300 ㎜ 특히 450 ㎜) 이 프로세싱될 때, 이러한 대형 워크 피스들 (work pieces) 에서 기하학적 불균일성들이 악화되기 때문에, 특히 중요하다. 이러한 방식으로, 하나의 장치가 균일한 결과들을 달성하기 위해 많은 상이한 애플리케이션들에 사용될 수도 있다. 본 명세서에 기술된 기법들은 특히, 대형 기판들 상에 수행될 때, FinFET 구조들과 연관된 다단계 에칭 프로세스들 및 특정한 듀얼 다마신 프로세스들과 같은 BEOL (back-end-of-line) 프로세싱을 수행하는데 특히 유용하다. 개시된 실시예들은 40 ㎚ 노드, 10 ㎚ 노드, 및 7 ㎚ 노드와 같은 진보된 기술 노드들에서 특히 유용할 수도 있다.
본 명세서의 특정 실시예들은 기판들을 에칭하는 장치 및 방법들에 관한 것이다. 본 명세서의 실시예들의 일 양태에서, 기판들을 에칭하기 위한 장치는(a) 반응 챔버, (b) 상기 반응 챔버 내에 위치되어 상부 서브 챔버 및 하부 서브 챔버로 상기 반응 챔버를 분할하는 플레이트 어셈블리 (플레이트 어셈블리) 로서, 상기 플레이트 어셈블리는, (i) 제1 플레이트, 및 (ii) 상기 제1 플레이트에 대해 독립적으로 회전가능한 적어도 2 개의 실질적으로 동심인 (concentric) 플레이트 섹션들을 포함하는 제2 플레이트를 포함하고, 상기 제1 플레이트 및 상기 제2 플레이트는 플레이트 각각의 두께를 관통하여 연장하는 아퍼처들 (apertures) 을 갖는, 상기 플레이트 어셈블리, (c) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구 (inlet), (d) 상기 반응 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 반응 챔버로의 하나 이상의 가스 유출구 (outlet), 및 (e) 상기 상부 서브 챔버 내에 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함한다.
일부 실시예들에서, 이 장치는 적어도 3 개의 실질적으로 동심인 플레이트 섹션들을 포함한다. 이들 및 다른 경우들에서, 플레이트 어셈블리 중 적어도 하나의 플레이트 내의 적어도 일부 아퍼처들은 약 0.2 내지 0.4의 애스팩트 비를 가질 수도 있다. 플레이트 어셈블리 중 적어도 하나는 약 40 내지 60 %의 개방 면적을 가질 수도 있다. 특정 실시예들에서, 제2 플레이트의 플레이트 섹션들은 절연성 재료를 포함하고, 제1 플레이트는 도전성 재료를 포함한다. 상부 서브 챔버는 하나 이상의 절연성 벽체들에 의해 복수의 동심인 플라즈마 존들로 분리될 수도 있다. 다양한 실시예들에서, 제어기는 에칭 방법을 구현하도록 사용될 수도 있다. 예를 들어, 제어기는 기판 상에서 중심 대 에지 에칭 조건들을 제어하도록 동심인 플레이트 섹션들 중 하나 이상을 회전시키도록 설계되거나 구성될 수도 있다. 제어기는 또한 라디컬 플럭스에 대한 이온 플럭스 비 (flux ratio) 를 제어하기 위해 상기 제1 플레이트 및 상기 제2 플레이트의 상기 아퍼처들을 배향하도록 상기 제1 플레이트에 대해 적어도 제1 동심인 플레이트 섹션을 운동시키도록 설계되거나 구성될 수도 있다.
본 명세서의 실시예들의 다른 양태에서, 기판들을 에칭하기 위한 장치가 제공되고, 이 장치는 (a) 상부 서브 챔버 및 하부 서브 챔버를 포함하는 반응 챔버로서, 상기 상부 서브 챔버는 적어도 2 개의 실질적으로 동심인 플라즈마 존들을 포함하고, 플라즈마 존 각각은 하나 이상의 절연성 벽체들에 의해 다른 플라즈마 존들과 격리되는, 상기 반응 챔버, (b) 상기 상부 서브 챔버와 상기 하부 서브 챔버 사이에 위치되고 제1 플레이트 및 제2 플레이트를 포함하는 플레이트 어셈블리로서, 플레이트 각각은 상기 플레이트의 두께를 관통하여 연장하는 아퍼처들을 갖고, 상기 제2 플레이트는 상기 제1 플레이트에 대해 회전가능한, 상기 플레이트 어셈블리, (c) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구들, (d) 상기 하부 서브 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 하부 서브 챔버로의 하나 이상의 가스 유출구들, 및 (e) 상기 상부 서브 챔버 내에 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함한다.
이 장치는 또한 제1 플레이트와 제2 플레이트 사이의 거리가 변하도록, 플레이트 어셈블리 중 적어도 하나의 플레이트를 플레이트 어셈블리의 다른 플레이트를 향하여 그리고 플레이트 어셈블리의 다른 플레이트로부터 멀어지게 운동시키도록 설계되거나 구성된 병진 유발 메커니즘 (translation causing mechanism) 을 더 포함할 수도 있다. 일부 경우들에서, 플레이트들 중 적어도 하나는 상부 서브 챔버 또는 하부 서브 챔버로 가스들을 전달하기 위한 샤워헤드로서 작동하도록 설계되거나 구성될 수도 있다. 일반적으로 제1 플레이트와 제2 플레이트 사이에 약간의 거리가 있다. 일부 실시예들에서 이 거리는 약 1 내지 6 ㎜이다. 플레이트 어셈블리 중 적어도 하나의 플레이트는 약 3 내지 20 ㎜의 두께를 가질 수도 있다. 일 예에서, 플라즈마 생성 소스는 유도 결합 플라즈마를 생성하도록 설계되거나 구성될 수 있다. 동심인 플라즈마 존들의 수는 또한 변할 수도 있다. 일부 실시예들에서, 상부 서브 챔버는 적어도 3 개의 실질적으로 동심인 플라즈마 존들을 포함한다. 다양한 구현예들은 에칭 방법을 수행하도록 구성된 제어기를 활용한다. 일 예에서, 제어기는 동심인 플라즈마 존들에서의 플라즈마 생성을 독립적으로 제어하여 기판 상에서 중심 대 에지 에칭 조건들을 제어하도록 설계되거나 구성된다. 제어기는 또한 라디컬 플럭스에 대한 이온 플럭스 비를 제어하기 위해 제1 플레이트 및 제2 플레이트의 아퍼처들을 배향하도록 제1 플레이트에 대해 적어도 하나의 동심인 플레이트 섹션을 운동시키도록 설계되거나 구성될 수도 있다.
개시된 실시예들의 다른 양태에서, 기판을 에칭하는 방법이 제공되고, 이 방법은 (a) 에칭 장치의 반응 챔버 내에 기판을 수용하는 단계로서, 상기 에칭 장치는, (i) 상기 반응 챔버 내에 위치되어 상부 서브 챔버 및 하부 서브 챔버로 상기 반응 챔버를 분할하는 플레이트 어셈블리로서, 상기 플레이트 어셈블리는 제1 플레이트 및 제2 플레이트를 포함하고, 상기 제2 플레이트는 적어도 2 개의 동심인 섹션들을 포함하고, 상기 동심인 섹션들은 상기 제1 플레이트에 대해 독립적으로 회전가능하고, 상기 제1 플레이트 및 상기 제2 플레이트는 플레이트 각각의 두께를 관통하여 연장하는 개구들을 갖는, 상기 플레이트 어셈블리, (ii) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구들, (iii) 상기 하부 서브 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 하부 서브 챔버로의 하나 이상의 가스 유출구들, 및 (iv) 상기 상부 서브 챔버에서 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함하는, 상기 기판을 수용하는 단계, (b) 상기 상부 서브 챔버로 플라즈마 생성 가스를 유동시키고 플라즈마를 생성하는 단계, (c) 상기 플라즈마 내에 존재하는 중성 종들 (neutral species) 을 상기 상부 서브 챔버로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로 유동시키는 단계, 및 (d) 상기 기판을 에칭하는 단계를 포함한다.
이 방법은 또한 이온들이 상기 상부 서브 챔버로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로 유동하도록 상기 플레이트 어셈블리의 상기 상부 플레이트와 상기 하부 플레이트 내에 적어도 일부의 아퍼처들을 정렬시키는 단계를 더 포함할 수도 있다. 일부 경우들에서, 플레이트 어셈블리의 상이한 부분들을 통해 상이한 라디컬 플럭스에 대한 이온 플럭스 비가 달성된다. 예를 들어, 플레이트 어셈블리의 제1 부분을 통한 제1 라디컬 플럭스에 대한 이온 플럭스 비는 플레이트 어셈블리의 제2 부분을 통한 제2 라디컬 플럭스에 대한 이온 플럭스 비와 상이할 수도 있다. 일부 실시예들에서, 이 방법은 또한 제2 플레이트의 동심인 섹션들 중 적어도 하나를 회전시킴으로써 플레이트 어셈블리를 통한 라디컬 플럭스에 대한 이온 플럭스 비를 제어하는 단계를 포함할 수도 있다. 이 방법은 또한 하부 서브 챔버에 위치된 기판 지지부에 바이어스를 인가하는 단계를 포함할 수도 있다. 기판 지지부에 인가된 바이어스는 하부 서브 챔버 내에 플라즈마를 생성할 수도 있다. 그러나, 다른 경우들에서, 기판 지지부에 인가된 바이어스는 하부 서브 챔버 내에 플라즈마를 생성하지 않는다. 특정한 경우들에서, 이 방법은 플레이트 어셈블리 중 하나 이상의 플레이트들에 바이어스를 인가하는 단계를 포함할 수도 있다. 특정한 실시예에서, 상이한 레벨들의 바이어스가 제2 플레이트의 상이한 동심인 플레이트 섹션들에 인가된다. 이 방법은 또한 기판 상에서 중심 대 에지 에칭 조건들을 제어하도록 동심인 플레이트 섹션들 중 하나 이상을 회전시키는 단계를 포함할 수도 있다.
개시된 실시예들의 또 다른 양태에서, 기판을 에칭하는 방법이 제공되고, 이 방법은 (a) 에칭 장치의 반응 챔버 내에 기판을 수용하는 단계로서, 상기 에칭 장치는, (i) 상부 서브 챔버 및 하부 서브 챔버로서, 상기 상부 서브 챔버는 적어도 2 개의 실질적으로 동심인 플라즈마 존들을 포함하고, 플라즈마 존들 각각은 하나 이상의 절연성 벽체들에 의해 다른 플라즈마 존들로부터 격리되는, 상기 상부 서브 챔버 및 상기 하부 서브 챔버, (ii) 상기 상부 서브 챔버와 상기 하부 서브 챔버 사이에 위치되고 제1 플레이트 및 제2 플레이트를 포함하는 플레이트 어셈블리로서, 플레이트 각각은 상기 플레이트의 두께를 관통하여 연장하는 아퍼처들을 갖고, 상기 제2 플레이트는 상기 제1 플레이트에 대해 회전가능한, 상기 플레이트 어셈블리, (iii) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구들, (iv) 상기 하부 서브 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 하부 서브 챔버로의 하나 이상의 가스 유출구들, 및 (v) 상기 상부 서브 챔버 내에 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함하는, 상기 기판을 수용하는 단계, (b) 플라즈마 존 각각으로 플라즈마 생성 가스를 유동시키고 플라즈마 존 각각에서 플라즈마를 생성하는 단계, (c) 상기 플라즈마들 내에 존재하는 중성 종들들을 상기 플라즈마 존들로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로 유동시키는 단계, 및 (d) 상기 기판을 에칭하는 단계를 포함한다.
이 방법은 또한 동작 (b) 를 달성하기 위해 제1 조성의 플라즈마 생성 가스를 제1 플라즈마 존으로 유동시키고 제2 조성의 플라즈마 생성 가스를 제2 플라즈마 존으로 유동시키는 단계를 포함할 수도 있다. 제1 조성 및 제2 조성은 상이할 수도 있다 (예를 들어, 상이한 가스들 또는 상이한 농도의 동일한 가스들을 포함할 수도 있다). 이들 또는 다른 경우들에서, 동작 (b) 는 제1 플라즈마 존 내에 제1 플라즈마를 발생시키고 제2 플라즈마 존 내에 제2 플라즈마를 발생시키는 단계를 포함할 수도 있고, 제1 플라즈마 및 제2 플라즈마는 상이한 밀도를 갖는다. 이 방법은 또한 제1 플레이트와 제2 플레이트 사이의 거리를 변경함으로써 플레이트 어셈블리를 관통하는 중성 종 플럭스에 대한 이온 플럭스 비를 제어하는 단계를 포함할 수도 있다. 특정 구현예들에서, 제1 플라즈마 존으로부터 플레이트 어셈블리를 통한 하부 서브 챔버로의 제1 중성 종 플럭스에 대한 이온 플럭스 비는 제2 플라즈마 존으로부터 플레이트 어셈블리를 통한 하부 서브 챔버로의 제2 중성 종 플럭스에 대한 이온 플럭스 비는 상이하다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1은 개시된 실시예에 따른 플라즈마 에칭 반응 장치 (reactor) 의 예를 도시한다.
도 2a 및 도 2b는 각각의 경우의 종들이 어셈블리를 통과할 수 있는 것을 도시하는, 플레이트 어셈블리의 정렬된 아퍼처들 (도 2a) 및 정렬되지 않은 아퍼처들 (도 2b) 의 확대도 (close-up view) 를 도시한다.
도 2c는 가시선 (line-of-sight) (즉, 정렬된) 및 비-가시선 (non-line-of-sight) (즉, 비정렬) 경우의 중성 종들의 플럭스 대 아퍼처 근처 위치를 도시하는 차트이다.
도 3a는 개시된 실시예에 따른 에칭 동작을 수행하는 방법을 도시하는 흐름도이다.
도 3b는 개시된 에칭 동작이 일어날 수도 있는 반도체 제조 맥락을 예시하는 흐름도이다.
도 4a 내지 도 4c는 특정 개시된 실시예들에 따른 일련의 주입 제어 링들의 예를 도시하는 도면이다.
도 5는 개시된 실시예에 따라 다수의 별도의 플라즈마 존들을 갖는 플라즈마 에칭 장치의 예를 도시하는 도면이다.
본 출원에서, 용어들 “반도체 웨이퍼”, “웨이퍼”, “기판”, “웨이퍼 기판”, 및 “부분적으로 제조된 집적 회로” 는 교환가능하게 사용된다. 당업자는 “부분적으로 제조된 집적 회로” 가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에 사용된 웨이퍼 또는 기판은 일반적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 또한, 용어들 “플레이트” 및 “그리드” 는 교환가능하게 사용된다. 이하의 상세한 설명은 본 발명이 웨이퍼 상에서 구현되는 것을 가정한다. 그러나, 본 발명은 이것으로 제한되지 않는다. 워크 피스는 다양한 형상들, 크기들, 및 재료들일 수도 있다. 반도체 웨이퍼에 부가하여, 본 발명의 장점을 취하는 다른 워크 피스들은 인쇄 회로 기판들 등과 같은 다양한 물체들을 포함한다.
이하의 설명에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이 실시될 수도 있다. 다른 예에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 관련하여 기술되지만, 개시된 실시예들로 제한하도록 의도되지 않는다는 것이 이해될 것이다.
또한, 설명이 “상부 (upper)” 및 “하부 (lower)” 엘리먼트들 (또는 유사하게 “상단 (top)” 및 “하단 (bottom)”, “좌측” 및 “우측”, 등) 을 지칭하더라도, 본 서술자들은 비제한적인 방식으로, 단지 명료성을 위해 사용된다. 당업자는 다른 구성들도 또한 사용될 수 있다는 것을 이해할 것이다. 특정 실시예들에서, 본 명세서에서 “상부” 및 “하부” 로 기술된 엘리먼트들은 예를 들어, “하부” 및 “상부” 또는 “좌측” 및 “우측” 엘리먼트들이 될 수도 있다.
본 명세서의 실시예들은 일반적으로 반도체 프로세싱 방법들 및 장치를 다룬다. 더 구체적으로, 실시예들은 반도체 기판을 에칭하기 위한 방법들 및 장치에 관련된다. 개시된 기법들을 실시하는데, 기판이 프로세싱 챔버에 제공된다. 도 1은 적절한 프로세싱 장치 (100) 의 단면도를 도시한다. 반응 챔버는 플레이트 어셈블리 (150) 에 의해 상부 서브 챔버 (132) 및 하부 서브 챔버 (134) 로 분할된다. 플레이트 어셈블리 (150) 는 상부 플레이트 (116) 및 하부 플레이트 (130) 를 포함한다. 상부 플레이트 (116) 및 하부 플레이트 (130) 각각은 플레이트의 두께를 관통하여 연장하는 아퍼처들 (apertures) 을 갖는다. 상부 플레이트 (116) 및 하부 플레이트 (130) 의 아퍼처들이 정렬될 때, 이들은 상부 서브 챔버로부터 하부 서브 챔버로의 이온들 및 중성 종들이 통과하게 한다. 상부 플레이트 (116) 및 하부 플레이트 (130) 의 아퍼처들이 정렬되지 않을 때, 중성 종들은 정렬되지 않은 아퍼처들을 통과할 수 있는 반면, 이온들의 통과는 실질적으로 방지된다.
이 개념은 도 2a 및 도 2b에 도시된다. 도 2a에서, 플레이트 어셈블리 (250) 의 상부 플레이트 (216) 및 하부 플레이트 (230) 의 아퍼처들은 정렬되고, 이온들 (실선 화살표로 도시됨) 및 중성 종들 (점선 화살표로 도시됨) 양자는 하부 서브 챔버를 통과할 수 있다. 도 2b에서, 플레이트 어셈블리 (250) 의 상부 플레이트 및 하부 플레이트 (216 및 230) 는 정렬되지 않고, 중성 종들만이 통과할 수 있다. 도 2c는 상부 플레이트 및 하부 플레이트의 아퍼처들이 정렬될 때 (가시 (line-of-sight) 의 경우, 점선으로 도시됨) 및 상부 플레이트 및 하부 플레이트의 아퍼처들이 정렬되지 않을 때 (비-가시선 (non-line-of-sight) 의 경우, 실선으로 도시됨) 하부 플레이트의 출구에서의 중성 종들의 플럭스를 도시한다. 총 중성 종들의 플럭스는 비-가시선의 경우에 낮지만, 감소는 단지 약 16 %이다. 가시선의 경우와 비-가시선의 경우 사이의 중성 종 플럭스의 이 차이는 이들 두 경우들 사이의 이온 플럭스와 비교할 때 매우 작다.
도 1의 실시예로 돌아가면, 프로세싱 장치 (100) 의 추가적인 상세들이 제공될 것이다. 상부 서브 챔버 (132) 는 유도 결합 플라즈마를 포함하도록 구성되고 하부 서브 챔버 (134) 는 용량 결합 플라즈마를 포함하도록 구성된다. 또한, 하부 서브 챔버 (134) 의 체적은 조정가능하고, 하부 플레이트 (130) 는 가스 피드 (104) 로부터 하부 서브 챔버 (134) 로 프로세스 가스들을 전달하기 위한 가스 전달 샤워헤드로서 동작하도록 구성된다. 프로세스 가스들은 상부 샤워헤드 플레이트 (112) 를 피드하는 가스 피드 (106) 를 통해 상부 서브 챔버 (132) 로 개별적으로 전달될 수도 있다. 일부 경우들에서, 상부 샤워헤드 플레이트 (112) 는 실리콘, 질화 실리콘, 탄화 실리콘 또는 석영과 같은 재료로 이루어진다. 상부 샤워헤드 플레이트 (112) 는 슬롯될 (slotted) 수도 있다. 또한, 상부 샤워헤드 플레이트 (112) 는 상부 플레이트 (116) 와 하부 플레이트 (130) 사이의 공간의 양을 감소시키도록 기판을 향해 또는 공간의 양을 증가시키도록 기판으로부터 멀어지게 운동될 수도 있다. 이러한 움직임은 특정 실시예들에서 플레이트 어셈블리 (150) 를 통한 라디컬들의 플럭스를 제어하는 것을 도울 수도 있다.
상부 샤워헤드 플레이트 (112) 위에, 절연체 플레이트 (110) (예를 들어, 세라믹 절연체 플레이트) 가 있을 수도 있다. TCP 코일들 (108) 은 절연체 플레이트 (110) 위에 위치될 수도 있다. 도 1의 실시예에서, 듀얼 TCP 코일들 (108) 이 사용된다. 상부 존 플라즈마가 유도 결합 플라즈마가 아닌 실시예에서, TCP 코일들 (108) 은 없을 수도 있다. 반응 장치 벽체들 (114) 은 하부 서브 챔버 및 상부 서브 챔버 (134 및 132) 를 각각 둘러싼다. 일부 실시예들에서, 반응 장치 벽체들 (114) 은 양극 산화된 알루미늄으로 이루어진다. 반응 장치 벽체들 (114) 은 또한 예를 들어, Y2O3 와 같은 보호성 재료 또는 혹독한 플라즈마 조건들로부터 반도체 장치를 보호하도록 설계된 다른 재료로 코팅될 수도 있다. 또한, 다양한 실시예들에서, 반응 장치 벽체들 (114) 은 온도 제어 히터 링 (128) 과 같은 온도 제어 엘리먼트들을 포함할 수도 있다. 이들 온도 제어 엘리먼트들은 상부 존 플라즈마 및 하부 존 플라즈마 내에 바람직한 플라즈마 조건들을 생성하는 것을 돕는다.
때때로 주입 제어 플레이트라고 또한 지칭되는, 상부 플레이트 (116) 는 상부 서브 챔버 (132) 의 하단 근처에 위치된다. 상부 플레이트 (116) 는 플레이트의 표면에 직교하는 축을 중심으로 회전가능하고, 아래에 놓인 하부 플레이트 (130) 의 슬롯들/홀들과 적어도 부분적으로 대응하는 (register) 홀들 및/또는 슬롯들을 포함한다. 도 1의 실시예에서, 상부 플레이트 (116) 는 절연되고 하부 플레이트 (130) 는 도전성이고 접지된다. 상부 플레이트 (116) 를 회전시킴으로써, 플레이트 어셈블리 개방 면적이 변하고, 상부 플라즈마 존으로부터의 중성 종들의 상이한 상대적인 양들이 플레이트 어셈블리 (150) 를 통해 하부 서브 챔버 (132) 로 이동할 수 있다. 플레이트 어셈블리 개방 면적 (때때로 보다 간단히 어셈블리 개방 면적이라고 지칭되는) 은 주어진 시간에 상부 서브 챔버 (132) 로부터 하부 서브 챔버 (134) 로의 선명한 가시선을 통한 플레이트 어셈블리의 면적으로 규정된다. 즉, 상부 플레이트 (116) 및 하부 플레이트 (130) 내의 아퍼처들이 정렬된 면적의 크기를 나타낸다. 플레이트 어셈블리 개방 면적은 플레이트 어셈블리 내의 아퍼처들의 정렬 정도에 기초하여 변할 수도 있다. 최대 플레이트 어셈블리 개방 면적은 플레이트들의 주어진 세트에 대해 달성가능한 최고 개방 면적으로 규정된다. 유사하게, 용어 플레이트 개방 면적은 상부 서브 챔버 (132) 로부터 하부 서브 챔버 (134) 로의 선명한 가시선을 통한 하나의 플레이트의 면적으로 규정된다. 다양한 실시예들에서, 상부 플레이트 (116) 를 회전시키는 것은 본 명세서의 다른 곳에 기술된 바와 같이, 상부 서브 챔버로부터 하부 서브 챔버로 통과하는 충전된 중성 종들의 상대적인 양을 조정하게 한다.
도 1에서 하이라이트된 다른 피처들은 압력 제어 링 (118) (종종 석영과 같은 절연성 재료로 이루어짐), 고전력 튜닝가능 정전 척 (120), 동축 RF 스위치 (122), 냉각 스페이서 링 (126) (종종 유체를 하우징하도록 사용되고, 물을 포함하지만 물로 한정되는 것은 아님), 및 온도 제어 히터 링 (128) 을 포함한다. 또한, 거리 (124) 는 하부 서브 챔버의 높이가 조정가능할 수도 있다는 것을 나타낸다.
방법들
도 3a는 본 명세서의 다양한 실시예들에 따라 재료를 에칭하는 흐름도를 제공한다. 프로세스 (300A) 는 제거할 재료를 갖는 기판이 프로세싱 장치의 하부 서브 챔버 내에 수용되는 블록 (301) 에서 시작한다. 예시적인 프로세싱 장치는 도 1과 관련하여 상기에 기술되었다. 블록 (303) 에서, 플라즈마는 프로세싱 장치의 상부 서브 챔버 내에서 생성된다. 블록 (305) 에서, 바이어스가 기판 지지부에 인가된다. 일부 경우들에서, 이 바이어스는 플라즈마가 하부 서브 챔버 내에 형성되게 한다. 다른 경우들에서, 바이어스는 하부 서브 챔버에 실질적으로 플라즈마가 존재하지 않도록 충분히 약할 수도 있다 (예를 들어, 주파수 및/또는 인가된 전력에 대해). 어떤 경우에서나, 바이어스는 이온들을 프로세싱할 기판으로 끌리도록 기능한다. 블록 (307) 에서, 상부 서브 챔버로부터 이온 추출 플레이트를 통한 하부 서브 챔버로의 이온들 및 중성 종들의 상대적인 플럭스가 제어된다. 이온들의 플럭스는 주로 플레이트 어셈블리 개방 면적의 양을 변경함으로써 제어된다. 플레이트 어셈블리 개방 면적의 크기를 증가시키는 것은 플레이트 어셈블리를 통한 이온 플럭스를 직접적이고 실질적으로 증가시킨다. 플레이트 어셈블리 개방 면적을 증가시키는 것이 또한 중성 종들의 플럭스를 증가시키지만, 도 2c에 도시된 바와 같이, 중성 종들의 플럭스는 이온들의 플럭스와 비교하여, 이 개방 면적에 상당히 덜 영향을 받는다.
중성 종들의 플럭스는 주로 상부 플레이트와 하부 플레이트 사이의 거리에 영향을 받는다. 두 플레이트들 간의 거리를 증가시키는 것은 통과할 수 있는 중성 종들의 양을 증가시킨다. 플레이트들 간의 간격들이 넓을수록 더 많은 개방 면적을 생성하고, 중성 종들이 하부 플레이트의 아퍼처에 도달하기 위해 이동할 수도 있는 덜 구불구불한 경로를 생성한다. 일부 구현예들에서, 플레이트 어셈블리 개방 면적 및/또는 상부 플레이트와 하부 플레이트 사이의 거리는 기판을 프로세싱하는 동안 변할 수도 있다. 물론 이들 변수들은 또한 상이한 타입들의 애플리케이션들이 필요할 수도 있어서, 상이한 기판들의 프로세싱 사이에 변할 수도 있다. 프로세스 (300A) 는 기판이 에칭되는 블록 (309) 에서 계속된다. 일부 경우들에서, 반응성 에칭 화학 물질이 에칭을 달성하는 것을 돕도록 상부 서브 챔버 및/또는 하부 서브 챔버에 제공될 수도 있다. 다른 경우들에서, 에칭은 반응성 화학 물질의 도움 없이 이온 에칭을 통해 실현된다.
도 3b는 개시된 기법으로부터 이익을 얻을 수 있는 예시적인 반도체 제조 프로세스를 예시한다. 특히, 도 3b는 도 3a에 기술된 에칭 프로세스가 일어날 수도 있는 보다 광범위한 제조 맥락과 관련된다. 이 보다 광범위한 반도체 제조 방법의 예는 또한 각각이 전체가 본 명세서에 참조로서 인용되는, 제목이 DRY ETCHING METHOD, MICROFABRICATION PROCESS AND DRY ETCHING MASK인 미국 특허 번호 6,689,283; 및 제목이 DRY ETCHING METHOD FOR MAGNETIC MATERIAL인 RE40,951에 기술되고 논의된다.
프로세스 (300B) 는 재료들의 스택이 기판 상에 증착되는 블록 (302) 에서 시작된다. 일 실시예에서, 이 스택은 도전성 재료층 및 절연성 재료층이 교번하여 생성된다. 다양한 경우들에서, 스택이 증착된 기판은 반도체 웨이퍼이다. 다음에, 블록 (304) 에서, 레지스트층이 교번하는 층들의 스택 상에 증착된다. 레지스트층은 리소그래피 기법을 사용하여 마이크로-패터닝될 수도 있다. 특정한 경우에서, 예를 들어, 패터닝된 레지스트층은 스핀 코팅 방법을 사용하여 증착된 포지티브-타입 레지스트이고 UV 또는 전자-빔 노출 장비를 사용하여 패터닝된다. 블록 (306) 에서, 마스크층이 패터닝된 레지스트층 상에 증착된다. 일부 경우들에서, 마스크층은 반응성 스퍼터링법을 통해 증착될 수도 있는 질화 티타늄 (TiN) 으로 이루어진다.
다음에, 블록 (308) 에서, 패터닝된 레지스트층이 패터닝된 마스크층을 형성하도록 제거된다. 일부 실시예들에서, 이 제거는 기판을 용매 욕 (solvent bath) 에 딥핑 (dipping) 하고 패터닝된 레지스트를 제거하도록 초음파 에너지를 인가함으로써 리프트-오프 (lift-off) 법을 통해 달성될 수도 있다. 다음에, 기판 상의 스택은 에칭된 스택을 형성하도록 블록 (310) 에서 에칭될 수도 있다. 에칭은 개시된 플라즈마 에칭 기법들을 통해 일어날 수도 있다. 예를 들어, 도 3a에 도시된 프로세스 (300A) 는 동작 (310) 에서 구현될 수도 있다.
에칭 메커니즘
본 명세서에 개시된 기법들은 다양한 메커니즘들을 통해 일어날 수도 있는 다양한 에칭 프로세스들을 구현 시 유익할 수도 있다. 일부 경우들에서, 원치 않는 재료의 제거는 이온 에칭 (즉, 이온 스퍼터링 또는 이온 밀링 (ion milling)) 만을 사용하여 달성될 수도 있다. 다른 실시예들에서, 반응성 화학 물질이 반응성 이온 에칭으로 지칭되는 프로세스에서 재료 제거를 용이하게 하도록 이온 노출과 함께 사용된다.
이온 에칭은 일반적으로 불활성 가스를 이용하여 물리적 스퍼터링에 의해 원자들을 제거하는 것을 지칭한다. 물리적 스퍼터링은 이온들과 이온들이 충돌한 재료들 간의 운동량 교환에 의해 구동된다. 충격 시, 입사 이온들은 타깃 내에서 충격 연쇄들 (collision cascade) 을 시작한다. 이러한 연쇄들이 줄어들고 (recoil) 표면 결합 에너지보다 큰 에너지를 이용하여 타깃 표면에 도달할 때, 원자가 축출될 수도 있고, 이는 스퍼터링으로 공지된다.
반응성 이온 에칭은 일반적으로 제거를 돕기 위해 원치 않는 재료와 반응할 수도 있는, 화학적으로 활성인 이온들 및/또는 라디컬들의 작용을 통해 재료를 제거하는 것을 지칭한다. 반응성 화학 물질이 사용되면, 이온들의 일 목적은 반응을 위해 표면을 활성화하는 것일 수도 있다. 어떠한 작용의 이론 또는 메커니즘으로 엮이는 것을 바라지 않고, 이온 충격이 에칭될 금속 또는 다른 재료 상에 댕글링 본드 및/또는 다른 물리화학적으로 수용적인 피처들을 생성함으로써 기판 상에 활성 사이트들을 생성할 수도 있다고 믿어진다. 일부 경우들에서, 이온 스퍼터링 및 라디컬 유도 반응의 조합이 사용된다.
프로세싱 동안, 가스는 상부 서브 챔버에만 전달될 수도 있고, 하부 서브 챔버에만 전달될 수도 있고, 또는 서브 챔버들 양자에 전달될 수도 있다. 서브 챔버 각각에 전달된 가스들은 동일하거나 상이할 수도 있다 (예를 들어, 상이한 가스들, 또는 동일한 가스들의 상이한 농도). 플라즈마를 생성하는데 사용된 가스는 사용된 에칭 화학 물질 및 에칭될 재료에 부분적으로 기초하여 반응 챔버에서 원치 않는 반응들을 저감시키거나 제거하도록 선택될 수도 있다. 본 명세서에 열거된 재료들은 단순히 예시적인 것이고 실시예들을 어떠한 방식으로든 제한하는 것을 의미하지 않는다. 당업자는 본 명세서의 기법들이 다양한 재료들 및 반응들을 이용하여 사용될 수 있다는 것을 이해할 것이다.
일부 경우들에서, 상부 서브 챔버 및/또는 하부 서브 챔버로 전달된 가스는 Ar, He, Ne, Kr, 등과 같은 불활성 가스를 함유한다. 이온 스퍼터링을 통해 에칭이 달성되면, 불활성 가스들은 서브 챔버들에 공급된 유일한 가스일 수도 있다. 그러나, 반응성 이온 에칭을 통한 에칭이 일어나면, 상부 서브 챔버 및/또는 하부 서브 챔버로 전달된 가스는 반응성 가스 (예를 들어, 에천트 및/또는 추가적인 반응성 프로세싱 가스) 를 포함할 수도 있다. 사용될 수도 있는 반응성 가스들의 예들은 플루오로카본 (CxFy), 하이드로카본 (CxHy), 수소 (H2), 산소 (O2), 질소 (N2), 메탄 (CH4), 사불화 탄소 (CF4), 염소 (Cl2), 브롬화 수소 (HBr), 암모니아 (NH3), 삼불화 인 (PF3), 카르보닐 플루오라이드 (COF2), 일산화 탄소 (CO), 산화 질소 (NO), 메탄올 (CH3OH), 에탄올 (C2H5OH), 아세틸아세톤 (C5H8O2), 헥사플루오로아세틸아세톤 (C5H2F6O2), 티오닐 클로라이드 (SOCl2), 티오닐 플루오라이드 (SOF2), 아세트산 (CH3COOH), 피리딘 (C5H5N), 및/또는 포름산 (HCOOH) 을 포함한다. 다양한 실시예들에서, 이들 에칭 반응물들의 조합이 사용된다. 예를 들어, 일부 경우들에서 CO + NO 의 조합이 사용된다. 다른 경우에서, CO2 + NO2 의 조합이 사용된다. 또 다른 경우에서, 피리딘이 티오닐 클로라이드 및/또는 티오닐 플루오라이드와 조합된다. 특정한 경우들에서, 반응성 가스들은 상부 서브 챔버 또는 하부 서브 챔버에만 공급되는 반면, 다른 경우들에서 반응성 가스들이 서브 챔버들 양자에 공급될 수 있다. 게다가, 일부 실시예들에서, 특정한 기능을 수행하기 위해 추가적인 프로세스 가스가 (예를 들어, 하부 서브 챔버로) 전달된다. 예를 들어, 추가적인 프로세스 가스는 표면을 보호하도록 (예를 들어, 마스크층을 보호하도록) 제공될 수도 있다. 추가적인 프로세스 가스는 에칭 프로세스 전 또는 에칭 프로세스 동안 제공될 수도 있다. 일부 구현예들에서, 불활성 가스와 반응성 가스의 조합이 사용된다.
임의의 타입의 가스 유입구들, 예를 들어 샤워헤드, 중심 유입 노즐, 또는 서브 챔버들 내에서 상이한 지점들 (예를 들어, 서브 챔버의 주변부 주위) 의 복수의 유입 노즐들이 사용될 수도 있다. 일 실시예에서, 플레이트 어셈블리의 하부 플레이트는 가스 분배 샤워헤드로서 사용된다. 이 경우에서, 하부 플레이트는 하부 서브 챔버로 프로세스 가스들을 전달하기 위한 채널들을 포함한다.
가스 전달을 위한 몇몇 특정한 가능성들이 명시될 것이지만, 이들 예들은 실시예들을 한정하도록 의도되지 않는다. 일 구현예에서, 하나 이상의 에천트 종들이 샤워헤드로서 기능하는 플레이트 어셈블리의 하부 플레이트를 통해 하부 서브 챔버로 전달된다. 다른 구현예에서, 샤워헤드의 일부가 아닌 포트 또는 포트들을 통해 에천트가 하부 서브 챔버로 전달된다. 또 다른 구현예에서, 에천트 및 추가적인 프로세싱 가스 양자가 상부 서브 챔버로 전달된다. 또 다른 구현예에서, 에천트 및 추가적인 프로세싱 가스 양자가 하부 서브 챔버로 전달된다. 추가적인 구현예에서, 이하에 더 상세히 기술되는, 가스들의 별개의 혼합물들이 상부 서브 챔버의 상이한 반경 부분들 (예를 들어, 동심인 링-형상의 부분들) 에 공급될 수도 있다.
일부 구현예들에서, 에칭될 재료는 Si, SO2, SiN, SiON, SiCOH, TiN, W, Al, 저-k 재료, 고-k 재료, 등이다. 특정 실시예들에서, 에칭될 기판은 부분적으로 제조된 MRAM 또는 ReRAM 디바이스이다. 또한, 에칭될 재료는 기판 상에 증착된 재료들의 스택일 수도 있다. 스택은 유전체 재료 및 도전성 재료가 교번하는/상호 끼워진 (interleaving) 층들일 수도 있다.
일부 실시예들에서, 불활성 가스와 반응성 에칭 화학 물질의 조합에 추가적인 프로세스 가스들이 사용된다. 이들 추가적인 프로세스 가스들은 플라즈마 영역에 존재하는 플라즈마 조건들을 조정하도록 사용된 “조정 가스 (tuning gases)” 일 수도 있다. 조정 가스의 추가를 통해 조정될 수도 있는 하나의 조건은 에칭 종들의 단편화 정도 (degree of fragmentation) 이다. 예를 들어, 특정 실시예들에서, 산소, 수소 및/또는 아르곤이 특정한 단편화된 에천트 종들을 재결합하도록 사용될 수도 있다. 사용될 수도 있는 조정 가스들의 다른 예들은 상기 열거된 반응성 가스들을 포함한다. 추가적인 프로세스 가스들은 표면이 에칭으로부터 보호되도록 표면 (또는 표면의 일부) 을 패시베이트 (passivate) 하도록 사용된 가스들을 포함할 수도 있다. 패시베이팅 가스들의 예들은 H2, Cl2, CxFy, CxHy 등을 포함한다.
반응 장치 내에서의 플레이트 어셈블리의 위치
플레이트 어셈블리는 반응 챔버 내에 위치되어, 반응 챔버를 상부 서브 챔버 및 하부 서브 챔버로 분할한다. 본 명세서에 기술된 바와 같은 플레이트 어셈블리를 포함하기 위한 수정에 적합한 챔버의 예는 캘리포니아, 프레몬트의 Lam Research Corporation로부터의 Kiyo Reactor이다. 이러한 맥락에서, 이하의 설명은 상기 자세히 설명된, 도 1을 참조하여 고려될 수 있다. 특정 구현예들에서, 하부 플레이트의 하부 표면과 기판의 상부 표면 간의 거리가 약 10 내지 50 ㎜이도록 플레이트 어셈블리 (150) 가 위치된다. 상부 서브 챔버의 높이는 예를 들어, 전력 최적화 고려 사항들에 기초하여 선택될 수도 있다. 보다 큰 영역에 플라즈마를 지속시키기 위해 보다 큰 상부 서브 챔버들은 보다 큰 전력 사용을 요구할 것이다. 일부 실시예들에서, 상부 서브 챔버의 높이는 약 2 내지 20 인치이고, 예를 들어, 약 5 내지 15 인치이다. 특정한 실시예에서, 상부 서브 챔버는 약 11 인치의 높이를 갖는다.
웨이퍼의 표면 상에 플레이트 패턴의 인쇄를 유발할 수도 있기 때문에, 플레이트 어셈블리는 웨이퍼에 너무 가깝게 위치되어서는 안된다. 즉, 플레이트 내의 슬롯들/홀들의 패턴이 프로세싱 후에 웨이퍼의 표면 상에 원치않게 나타나서, 기판 표면 상에 심각한 에칭 불균일성을 유발할 수도 있다. 많은 응용들에 대해, 분리 거리는 적어도 약 10 ㎜면 충분하다.
플레이트 어셈블리의 설계
플레이트 어셈블리의 기본적인 실시예가 이 섹션에 제공된다. 플레이트 어셈블리의 대안적인 설계들에 관한 추가적인 상세들은 이하의 “방사상으로 균일한 결과를 촉진” 섹션에서 확인할 수 있다.
플레이트 어셈블리는 플레이트/그리드를 관통하는 아퍼처들을 갖는 2 개의 플레이트들/그리드들을 포함한다. 플레이트는 상부 플레이트와 하부 플레이트가 작은 거리 (예를 들어, 약 1 내지 6 ㎜) 로 분리되도록 서로의 상부에 위치된다. 상부 플레이트 및 하부 플레이트는 서로 실질적으로 평행하다 (예를 들어, 약 10° 내). 일부 실시예들에서, 플레이트들은 약 3 내지 20 ㎜의 두께, 예를 들어, 약 5 내지 15 ㎜의 두께 , 또는 약 6 내지 10 ㎜의 두께를 갖는다. 플레이트가 너무 두꺼우면, 또는 플레이트의 천공들 (perforations) 이 너무 작으면, 플레이트는 너무 많은 이온들을 통과하지 못하게 할 수도 있다 (즉, 이온들은 플레이트를 통과하는 대신, 때때로 플레이트의 아퍼처의 측벽 상에서 플레이트와 충돌할 것이다). 그리드가 너무 가늘면, 적절하게 단단하지 않을 수도 있고, 플라즈마 프로세싱을 견디지 못할 수도 있고, 그리고 상당히 자주 교체되어야 할 수도 있다. 그리드들은 반응 챔버에 위치될 때 휘거나 (bow) 그렇지 않으면 구부려지지 않도록 충분히 단단해야 한다. 이는 균일한 에칭 결과들을 보장하게 한다.
플레이트들은 절연성 재료 및 도전성 재료를 포함하는 다양한 재료들로 이루어질 수도 있다. 또한, 하나 이상의 플레이트들이 코팅될 수도 있다. 에칭 동안 바이어스가 플레이트에 인가되는 실시예들에서, 플레이트를 구성하거나 코팅하도록 사용된 재료는 도전성이어야 한다. 다양한 실시예들에서, 하나 이상의 플레이트들은 금속 또는 금속 합금으로 구성되거나 코팅된다. 이들 또는 다른 실시예들에서, 하나 이상의 플레이트들은 절연 재료로 구성된다. 일부 경우들에서, 하나 이상의 플레이트들은 경질 탄소 재료로 코팅될 수도 있다. 일부 특정한 경우들에서, 플레이트들은 Y2O3, YF3, YAG, 질화 티타늄, 또는 CeO2 의 층으로 코팅될 수도 있다. 그리드 재료는 예를 들어, 부식 방지 (corrosion resistance) 를 위해 양극산화될 수도 또는 되지 않을 수도 있고 또는 그렇지 않으면 패시베이션될 수도 또는 되지 않을 수도 있다. 일 실시예에서, 상부 플레이트는 절연성 재료 (예를 들어, 석영) 로 이루어지고 하부 플레이트는 도전성 재료 (예를 들어, 금속) 로 이루어진다. 다른 구성들이 개시된 실시예들의 범위 내에서 가능하다.
플레이트 어셈블리는 대체로 챔버의 수평부를 가로지른다 (span). (워크 피스 위에서 보거나 워크 피스와 마주볼 때) 챔버가 원형이면, 플레이트 어셈블리도 또한 원형일 것이다. 이는 반응 챔버를 2 개의 서브 챔버들로 효율적으로 분할하게 한다. 특정한 설계에서, 플레이트 어셈블리의 형상은 기판의 기하학적 구조 (일반적으로 원형 웨이퍼이지만 반드시 그럴 필요는 없음) 에 의해 규정된다. 공지된 바와 같이, 웨이퍼들은 종종 200 ㎜, 300 ㎜, 450 ㎜, 등과 같이 다양한 크기들로 제공된다. 사각형 또는 다른 다각형 기판들 또는 보다 작은/보다 큰 기판들에 대해 다른 형상들이 가능하다. 따라서, (위에서 본) 플레이트 어셈블리의 단면은 다양한 형상들 및 크기들을 가질 수도 있다. 일부 실시예들에서, 플레이트 어셈블리와 챔버 벽체들 간의 분리 거리가 있을 수도 있다. 이 거리는 플레이트 어셈블리와 챔버 벽체들 사이에 아크 (arc) 가 발생하는 것을 방지하게 할 수도 있다. 특정 실시예들에서, 이 거리는 약 3 ㎝ 이상이다.
다른 플레이트에 대한 플레이트의 배향을 변화시킴으로써, 라디컬 플럭스에 대한 이온 플럭스의 비 (플럭스 비라고 지칭하고, 이온 플럭스/중성 종 플럭스로 규정됨) 가 제어될 수도 있다. 이러한 제어가 일어나는 하나의 방식은 상부 플레이트 및 하부 플레이트 내의 아퍼처들이 정렬하도록 플레이트를 회전시키는 것이다. 도 2a 및 도 2b와 관련하여 기술된 바와 같이, 정렬된 아퍼처들은 이온들 및 중성 종들 양자의 운동을 허용하는 반면, 정렬되지 않은 아퍼처들은 대체로 중성 종들의 운동만을 허용한다. 이러한 제어가 일어나는 다른 방식은 2 개의 플레이트들 간의 거리를 변화시키는 것이다. 플레이트들 간의 더 넓은 거리는 플레이트 어셈블리를 통과하는 보다 높은 라디컬 플럭스를 유발하는 반면, 보다 좁은 거리는 보다 낮은 라디컬 플럭스를 유발한다.
플레이트들 상의 아퍼처들은 다양한 형상들을 취할 수 있다. 예를 들어, 아퍼처들은 원형 홀들, 슬롯들, C자형 아퍼처들, T자형 아퍼처들, 등일 수 있다. 아퍼처들은 아퍼처의 중심을 관통하여 연장하는 축이 플레이트의 면에 직교하도록 배향될 수도 있다. 특정한 실시예에서, 모든 아퍼처들이 이러한 방식으로 배향된다. 다른 실시예에서, 일부 아퍼처들은 플레이트에 대해 직교하지 않는 각으로 배향될 수도 있다. 상부 플레이트 및 하부 플레이트 상의 아퍼처들은 동일한 형상일 수도 있고 또는 상이한 형상일 수도 있다. 상부 플레이트 및 하부 플레이트 상의 아퍼처들의 정렬은 동일할 수도 있고 또는 상이할 수도 있다. 플레이트들이 서로에 대해 회전할 때, 플레이트 어셈블리 개방 면적의 크기가 변하도록, 아퍼처들이 설계된다. 일부 경우들에서, 플라즈마 생성 동안 플레이트에 전류가 거의 유도되지 않거나 전류가 유도되지 않도록 천공들이 설계될 수도 있다. 이러한 결과를 보장하는 하나의 설계는 방사상으로 지향된 슬롯들을 갖는 플레이트이다. 장치가 이러한 유형의 문제를 방지하도록 설계되지 않으면, 전류가 플레이트 주위를 실질적으로 원형으로 유동하도록 또는 그리드 상에 작은 와전류 (eddy current) 가 유동하도록 유도될 수도 있어서, 기생 전력 소비가 증가하게 된다.
아퍼처들의 애스팩트 비는 아퍼처의 깊이로 나눠진 아퍼처의 폭/직경으로 규정된다. 아퍼처들이 플레이트 각각의 두께를 관통하여 연장하기 때문에, 아퍼처의 깊이는 플레이트 두께와 같다. 슬롯들의 애스팩트 비는 플라즈마가 아퍼처들 내에서 점화하지 않도록 충분히 작아야 한다. 적절한 애스팩트 비는 상부 서브 챔버 내에 존재하는 플라즈마 상태에 따를 것이다. 예를 들어, 상부 서브 챔버가 고압력/고밀도 플라즈마를 포함하면, 애스팩트 비는 다소 작아야 한다. 유사하게, 상부 서브 챔버가 저압력/저밀도 플라즈마를 포함하면, (이러한 경우에 유연성이 있지만) 애스팩트 비는 다소 클 것이다. 고압력/고밀도 플라즈마가 사용되면, 플라즈마 시스 (plasma sheath) 의 두께는 보다 작다. 이와 같이, 아퍼처가 충분히 넓으면, 플라즈마가 더 아퍼처 내에 존재하려고 한다. 이러한 현상은 예를 들어, 적절하게 좁은 아퍼처를 사용하여 회피되어야 한다. 일부 실시예들에서, 아퍼처들의 애스팩트 비는 약 0.2 내지 0.4이다. 이들 또는 다른 실시예들에서, 아퍼처의 직경 또는 다른 주요 치수는 약 1 내지 10 ㎜일 수도 있다. 주요 치수는 워크 피스에 평행한 방향에서 아퍼처 내에서 가장 긴 선형 경로에 걸쳐 있다.
상기 규정된 플레이트 개방 면적 및 플레이트 어셈블리 개방 면적 양자는 절대 면적으로 기술될 수도 있고, 또는 플레이트/어셈블리의 총 면적의 퍼센티지로 기술될 수도 있다. 예를 들어, 300 ㎜ 직경의 플레이트는 대략 700 ㎠의 면적을 갖는다. 플레이트가 약 350 ㎠의 개방 면적을 가지면, 약 50 %의 개방 면적을 갖는다고 또한 간주될 수도 있다. 일부 경우들에서 플레이트 개방 면적 및 최대 어셈블리 개방 면적은 같다. 다른 경우들에서, 최대 플레이트 어셈블리 개방 면적이 하나 이상의 플레이트들에 대해 플레이트 개방 면적보다 작다. 일부 구현예들에서, 적어도 하나의 플레이트는 약 30 내지 70 % 또는 약 40 내지 60 %의 플레이트 개방 면적을 갖는다. 이들 또는 다른 구현예들에서, 최대 플레이트 어셈블리 개방 면적은 약 30 내지 70 % 또는 약 40 내지 60 %일 수도 있다.
상기 언급된 바와 같이, 플레이트 어셈블리는 또한 하나 이상의 서브 챔버들로 가스를 전달하기 위한 샤워헤드로서 기능할 수도 있다. 특정한 실시예에서, 플레이트 어셈블리의 하부 플레이트는 하부 서브 챔버로 가스를 전달하기 위한 샤워헤드로서 기능한다. 유사하게, 상부 플레이트는 상부 서브 챔버로 가스를 전달하기 위한 샤워헤드로서 구현될 수 있다. 어셈블리의 하나의 플레이트만이 샤워헤드로서 기능하면, 이러한 구성이 더 적은 엔지니어링 고려 사항들을 나타내기 때문에, 이는 움직이지 않는 플레이트일 수도 있다. 샤워헤드로서 사용되는 플레이트는 일반적으로 가스 공급 유입구(들)를 복수의 샤워헤드 유출 홀들에 연결하는 하나 이상의 채널들을 포함할 것이다.
일부 구현예들에서, 플레이트 어셈블리는 프로빙 장치 (probing apparatus) 가 플레이트 어셈블리를 통해 배치되게 하는 특징부를 포함하는 영역 (예를 들어, 중심 영역) 을 갖는다. 프로빙 장치는 동작 동안 플라즈마 프로세싱 시스템과 연관된 프로세스 파라미터들을 프로브하도록 제공될 수 있다. 프로빙 프로세스들은 광학 방사 종점 검출, 간섭 측정 종점 검출, 플라즈마 밀도 측정들, 이온 밀도 측정들, 및 다른 계측의 프로빙 동작들을 포함할 수 있다. 특정 실시예들에서, 플레이트 어셈블리의 중심 영역이 개방된다. 다른 실시예들에서, 어셈블리의 중심 영역은 그리드를 통해 광이 송신되게 하는 광학적으로 투명한 재료 (예를 들어, 석영, 사파이어, 등) 를 함유한다.
일부 실시예들에서, 플레이트 어셈블리는 하나 이상의 플레이트들에 내장된 냉각 채널들을 포함할 수도 있고, 이들 냉각 채널들은 유동하는 냉각 재료 또는 유동하지 않는 냉각수 재료로 충진될 수도 있다. 특정 실시예들에서, 냉각 재료는 헬륨 또는 다른 불활성 가스와 같은 유체 또는 탈이온 (DI) 수, 프로세스 냉각수, 3M의 fluoroinert™와 같은 액체, 또는 퍼플루오로카본 (perfluorocarbons), 하이드로플루오로카본 (hydrofluorocarbons), 암모니아 및 CO2 와 같은 냉매 (refrigerant) 이다. 이들 또는 다른 실시예들에서, 플레이트 어셈블리는 내장된 가열 소자들 및/또는 온도 측정 디바이스를 포함할 수도 있다. 냉각 채널들 및 내장된 히터들은 입자 조건 및 벽체 조건에 대한 철저한 제어를 허용하는, 정밀한 온도 제어를 가능하게 한다. 이러한 제어는 특정한 경우들에서 하부 서브 챔버 내의 조건들을 조정하도록 사용될 수도 있다. 예를 들어, 하부 플레이트 또는 플레이트 어셈블리는 보다 낮은 온도로 유지되고, 웨이퍼로부터의 에칭 부산물들은 하부 플레이트 상에 우선적으로 증착될 수도 있어서, 하부 서브 챔버 내의 에칭 부산물들의 가스 상 밀도를 저감시킨다. 대안적으로, 하부 플레이트 또는 플레이트 어셈블리는 플레이트 상의 증착을 저감시키고 챔버가 비교적 깨끗하게 유지될 수 있고 및/또는 WAC (waferless auto clean) 동안 챔버를 세정하는데 필요한 시간을 저감시킬 수 있다는 것을 보장하도록 상승된 온도 (예를 들어, 80 ℃ 이상) 로 유지될 수도 있다.
일부 실시예들에서, 에칭 프로세스가 일어나는 동안 플레이트들은 운동하지 (회전 또는 병진) 않는다. 이러한 실시예들에서, 플레이트들은 MRAM 스택들 또는 FinFET 게이트들과 같은 복잡한 구조들을 제조하도록 사용된 다단계 에칭 프로세스의 개별 단계들과 같은, 개별 단계들 사이에서 운동한다. 다른 실시예들에서, 프로세싱 동안 플레이트들은 회전할 수도 있고 및/또는 병진할 수도 있다. 예를 들어, 에칭 프로세스의 상이한 시간들에서 이온 플럭스:중성 종 플럭스의 상이한 비 (즉, 플럭스 비) 를 갖도록 목표되면, 이러한 운동은 프로세싱에 추가적인 유연성을 제공하게 한다. 일 특정한 예에서, 플레이트 어셈블리를 통과하는 이온 플럭스:중성 종 플럭스의 비는 에칭 프로세스의 시작시 높고 에칭 프로세스의 종료시 낮다. 다른 구현예들에서 반대도 참일 수 있다.
플레이트 어셈블리의 대안적인 실시예들에 대한 추가적인 상세들은 이하의 “방사상으로 균일한 결과를 촉진” 섹션에 포함된다.
상부 서브 챔버 조건들 및 구성
프로세싱 동안, 상부 서브 챔버는 일반적으로 플라즈마를 포함한다. 플라즈마는 다양한 방법들에 의해 생성될 수도 있다. 도 1의 실시예에서, 예를 들어, 상부 서브 챔버는 고밀도 (예를 들어, 1010 내지 1012 대전된 입자들/㎤) 유도 결합 플라즈마를 포함하도록 구성된다. 다른 실시예들에서, 상부 서브 챔버는 용량 결합 플라즈마를 포함하도록 구성될 수도 있다. 어떠한 플라즈마 생성 기법이 사용되어도, 상부 서브 챔버 내의 플라즈마는 RCP (Radically Coupled Plasma) 로 지칭될 수도 있다. 이 용어는 기판을 직접적으로 둘러싸고, 라디컬들이 기판을 프로세싱할 목적으로 조정가능하게 추출될 수도 있는 프로세싱 영역으로부터 공간적으로 제거되는 플라즈마를 지칭한다. 본 기술에서, “조정가능하게 추출된 (tunably extracted)” 이란 구는 라디컬들과 이온들의 상대적인 플럭스 (플럭스 비) 가 목표된 대로 조정될 수도 있고 및/또는 특정한 프로세스 동안 조정될 수도 있다는 것을 의미한다.
상부 서브 챔버로 전달될 수도 있는 프로세스 가스들은 상기 “에칭 메커니즘” 섹션에 기술되었다.
특정 실시예들에서, 상부 서브 챔버에서 플라즈마 형성을 구동하도록 사용된 전력은 약 0 내지 10,000 W, 예를 들어, 약 1,500 내지 4,500 W이다. 특정한 구현예에서, 플라즈마 형성을 구동하기 위해 사용된 RF 전력은 약 3,000 W이다.
에칭 장치 내의 압력은 진공 펌프에 의해 제어될 수도 있다. 진공 펌프는 반응 챔버 상의 배기 포트들을 통해 배기할 수도 있다. 배기 포트들은 하부 서브 챔버 내에 위치될 수도 있고 및/또는 상부 서브 챔버 내에 위치될 수도 있다. 배기 포트들은 가변 컨덕턴스/제어가능한 컨덕턴스를 가질 수도 있다. 플레이트 어셈블리의 배향 (예를 들어, 아퍼처들의 정렬 및 상부 플레이트와 하부 플레이트 사이의 거리) 은 또한 서브 챔버들에서 경험된 압력에 영향을 줄 수도 있다. 구체적으로, 이들 배향 특성들은 상부 서브 챔버와 하부 서브 챔버 사이에 적절한 압력 기울기를 제공하도록 조정될 수도 있다.
동작의 일 모드에서, 상부 서브 챔버는 사용되지 않고, 모든 플라즈마 생성 및 프로세싱은 하부 서브 챔버에서 일어난다. 이 모드에서 실시할 때, 플레이트 어셈블리의 상부 플레이트와 하부 플레이트 사이의 거리는 0으로 감소할 수도 있고, 아퍼처들이 플레이트 어셈블리 개방 면적이 없도록 의도적으로 정렬되지 않을 수도 있다. 이 모드에서, 에칭 장치는 기본적으로 종래의 단일 챔버 플라즈마 에처로 단순화된다. 모든 가스들은 기판이 위치되는, 하부 서브 챔버로 바로 전달된다. 플라즈마는 하부 서브 챔버에서 생성될 수도 있고, 기판은 종래의 방법들에 따라 에칭될 수도 있다. 상부 서브 챔버를 폐쇄 (close off) 하고 종래 방법들 하에서 동작하는 능력은 장치의 유연성 및 유용성을 증가시킨다.
하부 서브 챔버 조건들 및 기판 지지부에 인가된 바이어스
다양한 실시예들에서, 바이어스는 에칭 동안 기판 지지부에 인가될 수도 있다. 일반적으로, 기판 지지부 (예를 들어, 정전 척) 이 바이어스되면, 기판 또한 바이어스된다. 일부 경우들에서, 바이어스 주파수는 용량 결합 플라즈마를 하부 서브 챔버에 형성하도록 충분히 크다 (예를 들어, 약 60 ㎒). 다른 경우들에서, 바이어스 주파수는 에칭 동안 하부 서브 챔버 내에 플라즈마가 존재하지 않도록 훨씬 작다 (예를 들어, 약 10 ㎒ 이하). 일부 실시예들에서, 기판 지지부를 바이어스하도록 사용된 전력은 하부 서브 챔버 내에 실질적으로 플라즈마가 존재하지 않도록 충분히 낮고, 그 외에는 심지어 바이어스의 주파수가 이 영역 내에 플라즈마 형성을 지원하기에 충분히 높다. 일부 실시예들에서, 에칭 동안 하부 서브 챔버 내에 플라즈마가 존재하는 것이 유익할 수도 있다. 예를 들어, 에천트 종들이 하부 서브 챔버 내에 존재하고 에천트를 보다 많은/보다 작은 단편들 (fragments) 로 해리하도록 목표되면, 하부 서브 챔버 내의 플라즈마의 존재는 이러한 해리를 촉진할 수도 있다. 에천트 종들의 단편화 정도에 영향을 줄 수도 있는 다른 인자들은 하부 서브 챔버 내의 플라즈마의 밀도 및 유효 전자 온도를 포함한다.
하부 서브 챔버에 공급된 가스들은 상기 “에칭 메커니즘” 섹션에 열거된 가스들 중 어느 것을 포함할 수도 있다.
기판 지지부에 인가된 바이어스는 기판과 충돌하는 이온 에너지 레벨에 영향을 준다. 이와 같이, 바이어스는 특정한 애플리케이션을 위해 적절한 이온 에너지 레벨을 제공하도록 조정될 수도 있다. 이온 에너지에 영향을 주는 다른 인자들은 상부 서브 챔버의 플라즈마 소스에 공급된 전력, 플레이트 어셈블리에 걸리는 전계 기울기 (플레이트 어셈블리의 하부 플레이트에 인가된 바이어스에 의해 제어될 수도 있음), 및 상부 서브 챔버와 하부 서브 챔버 사이의 압력 기울기를 포함한다.
플레이트 어셈블리에 인가된 바이어스
일부 실시예들에서, 바이어스는 플레이트 어셈블리의 하나 이상의 플레이트들에 인가될 수도 있다. 일 예에서, 네거티브 바이어스 (negative bias) 가 플레이트 어셈블리의 하부 플레이트에 인가된다. 이러한 방식으로, 상부 서브 챔버에서 생성되고 플레이트 어셈블리를 통과하는 이온들은 특정한 이온 에너지로 기판을 향하여 가속될 수도 있다. 플레이트 상의 바이어스는 목표된 이온 에너지를 제공하도록 조정될 수도 있다.
방사상으로 균일한 결과들을 촉진
기판을 에칭할 때, 약간의 불균일성이 발생할 수도 있다. 특히, 방사상의 불균일성은 기판을 에칭할 때 통상적인 문제이다. 일부 예들에서, 예를 들어, 에칭은 기판의 중심 영역 및 에지 영역 근처에 보다 큰 규모로 일어날 수도 있는 반면, 이들 두 영역들 사이의 링-형상 영역에서 보다 작은 규모로 발생한다. 이들 방사상의 불균일성들은 보다 큰 기판들 (예를 들어, 300 ㎜, 특히 450 ㎜ 이상의 기판) 이 프로세싱될 때 고조된다. 가능하면, 이들 불균일성들을 저감 또는 제거하는 것이 바람직하다.
일부 경우들에서, 플레이트 어셈블리의 개방 면적은 기판의 상이한 부분들에 상이한 레벨들의 이온 플럭스를 제공하도록 설계된다. 예를 들어, 개방 면적이 플레이트 어셈블리의 중심 쪽으로 집중되면, 이온들은 웨이퍼의 에지들에서보다 큰 규모로 웨이퍼의 중심 영역에서 기능할 수도 있다. 유사하게, 플레이트 어셈블리 개방 면적이 플레이트 어셈블리의 에지 근처에 집중되면, 이온들은 웨이퍼의 중심에서보다 큰 규모로 웨이퍼의 에지 영역들에서 기능할 수도 있다. 이들 기법들은 중심-대-에지 불균일성을 방지하는데 특히 유용할 수도 있다. 그러나, 이들 기술들은 기판 표면에서의 에칭 조건들의 방사상의 프로파일들에 충분한 유연성을 제공하지 못할 수도 있다.
방사상의 불균일성 문제를 해결하기 위한 다른 기법은 플레이트 어셈블리의 하나 이상의 플레이트들을 하나의 연속하는 플레이트 대신, 일련의 실질적으로 동심인 링들로서 구현하는 것이다. 이 경우, 플레이트 링들은 주입 제어 링들, 세그먼트들, 또는 플레이트 섹션들로 지칭될 수도 있다. 플레이트 어셈블리의 상부 플레이트 대신 사용될 수도 있는 주입 제어 링들/플레이트 섹션들의 예시적인 모음들이 도 4a 내지 도 4c에 도시된다. 도 4a를 참조하면, 일련의 링들 (400A) 은 외측 링 (402) 및 내측 링 (406) 을 둘러싸는 중간 링 (404) 을 포함한다. 간략함을 위해, 내측 링 (406) 은 중심에 홀을 갖지 않을 수도 있다는 사실에도 불구하고 링으로 지칭될 것이다. 링 각각은 (적절한 조건들 하에서) 이온들 및 라디컬들이 통과하여 이동할 수도 있는 복수의 아퍼처들 (408) 을 포함한다. 도 4b에 도시된 일련의 링들 (400B) 은 도 4a에 도시된 3 개의 링들 대신 4 개의 주입 제어 링들 (바깥쪽으로부터 안쪽으로 엘리먼트들 (412, 414, 416, 및 417)) 을 포함한다. 이 실시예에서, 일부 주입 제어 링들 (412 및 414) 은 아퍼처들 (408) 의 2 개의 동심인 열들을 포함하는 반면, 다른 주입 제어 링들 (416 및 417) 은 아퍼처들의 2 개의 동심인 열들을 포함한다. 많은 다양한 아퍼처 배치가 사용될 수도 있다. 예를 들어, 도 4c에 도시된 주입 제어 링들 (400C) 의 세트에서, 주입 제어 링 각각은 아퍼처들의 하나의 열을 포함한다. 본 섹션에서 사용된 바와 같이, 아퍼처들의 “열들” 은 원형 형상이다 (즉, 열들은 선형 열들을 지칭하지 않는다). 도 4c에 도시된 실시예에서, 아퍼처들의 열 각각은 각각의 열이 자신의 전용 주입 제어 링에 위치되기 때문에 다른 열들과 독립적으로 회전될 수도 있다. 도 4c는 11 개의 상이한 플레이트 섹션들을 갖는 링들의 세트 (400C) 를 도시하지만, 명료성을 위해 개별 플레이트 섹션들/주입 제어 링들은 분류되지 않았다.
일부 실시예들에서, 아퍼처들의 밀도는 상이한 주입 제어 링들 사이에서 (예를 들어, 적어도 20 %, 또는 적어도 50 %의 차로) 변할 수도 있는 반면, 다른 실시예들에서 아퍼처들의 밀도는 상이한 주입 제어 링들 사이에서 (예를 들어, 약 20 % 내로) 균일하거나 실질적으로 균일하다.
플레이트들 중 하나를 동심원들로서 구현함으로써, 이온 플럭스:라디컬 플럭스의 비를 용이하게 방사상으로 조정할 수 있다. 링 각각은 이온들 및 라디컬들이 통과하여 이동할 수도 있는 목표된 크기의 개방 면적을 제공하도록 독립적으로 회전될 수도 있다. 예를 들어, 외측 링은 이온들이 플레이트 어셈블리를 최대 규모로 통과할 수 있도록 정렬될 수도 있고, 한편 내측 링은 매우 적은 (또는 일부 경우들에서, 심지어 0) 이온들이 상부 서브 챔버로부터 하부 서브 챔버로 통과할 수 있도록 정렬될 수도 있다.
또한, 일부 실시예들에서, 링 각각은 각각의 링을 통과하는 라디컬들의 플럭스를 조정하기 위해 플레이트 어셈블리의 다른 플레이트를 향해/다른 플레이트로부터 멀어지게 독립적으로 운동할 수 있다. 이 경우, 배리어들 (barriers) 은 주입 제어 링들의 인접한 에지들을 연결하도록 사용되어야 한다. 링들이 독립적으로 병진하도록 구성된 도 4a의 맥락에서, 배리어는 내측 링 (406) 의 에지와 중간 링 (404) 의 내측 에지 사이에 포함되어야 한다. 유사하게, 배리어는 중간 링 (404) 의 내측 에지와 외측 링 (402) 의 내측 에지 사이에 포함되어야 한다. 이들 배리어들은 정적일 수도 있고, 또는 이들 배리어들은 주입 제어 링들을 이용하여 운동할 수도 있다. 배리어들의 목적은 상부 서브 챔버의 플라즈마가 하부 서브 챔버로 누출되는 것을 방지하는 것이다. 배리어들의 중간 높이는 인접한 주입 제어 링들 사이의 수직 높이 차이에 의해 지시된다.
주입 제어 링들이 사용되면, 주입 제어 링들 각각은 상이한 주입 제어 링들을 통해 제어된 이온 에너지 및 플럭스를 독립적으로 제공하도록 바이어스될 수도 있다. 일 실시예에서, 플레이트 어셈블리의 상부 플레이트는 하나의 연속하는 플레이트로 구현되고, 플레이트 어셈블리의 하부 플레이트는 일련의 3 개의 주입 제어 링들로 구현된다. 제1 바이어스가 외측 주입 제어 링에 인가되고, 제2 바이어스가 중간 주입 제어 링에 인가되고, 제3 바이어스가 내측 주입 제어 링/플레이트에 인가된다. 제1, 제2 및 제3 바이어스는 목표된 대로 이온 에너지 및 플럭스를 제어하기 위해, 특히 방사상으로 균일한 에칭 결과들을 촉진하기 위해, 상이한 레벨들로 설정될 수도 있다. 일부 실시예들에서, 하부 플레이트의 규정된 세그먼트들 또는 섹터들은 독립적으로 제어가능한 바이어스를 갖는다. 이러한 방식으로, 이온 에너지 및 플럭스는 목표된 레벨의 분할 정도 (granularity) 로 방사상으로 및/또는 방위각으로 제어될 수 있다. 일부 경우들에서, 바이어스 메커니즘은 전극들의 그리드이다. 일부 경우들에서, 전극은 하부 플레이트의 각각의 홀에 제공될 수도 있다. 전극들 대 하부 플레이트 홀들의 비는 1:1, 1:2, 1:3, 1:4, 1:5, 등일 수 있다.
각각의 링이 서로 독립적으로 운동할 수 있기 때문에, 기판의 상이한 부분들에 대해 상이한 도금 조건들을 달성하는 것이 쉽다. 이러한 제어는 기판의 전체 표면에 대해 보다 균일한 에칭 결과들을 유발할 수도 있다. 이러한 유형의 제어는 산업이 대형 기판들 (예를 들어, 직경 450 ㎜ 이상) 로 운동함에 따라 특히 유익하고, 에칭 조건들의 방사상의 제어가 보다 중요하다. 일부 경우들에서, 링들의 수 (내측 중심 플레이트를 포함) 는 약 2 내지 10 개, 또는 약 3 내지 5 개이다. 더 많은 수의 링들이 에칭 조건들에 대해 더 미세한 방사상의 제어를 제공하지만, 보다 큰 엔지니어링 도전들을 또한 수반한다. 에칭 불균일성은 보통 중간 반경의 위치들에서보다 중심 영역과 에지 영역이 서로 더 유사한 에칭 조건들을 경험하는 중심 영역과 에지 영역을 갖는 “W” 형상을 가정한다는 것이 관찰되었다. 이러한 설정들에서, 적어도 3 개의 링들을 갖는 플레이트 어셈블리는 방사상의 에칭 프로파일에 내재하는 불균일성을 해결하는데 효과적일 수도 있다. 예를 들어, 중간 링은 중심 링 및 에지 링과 비교하여 상대적으로 높은 이온 플럭스:라디컬 플럭스 비를 생성하도록 회전될 수도 있다.
일부 실시예들에서, 링들은 동일한 폭 (환 형상 링에 대해 이 폭은 내부 반경과 외부 반경 사이의 거리로서 측정되고, 원 형상에 “링” 에 대해 이 폭은 반경임) 또는 (예를 들어, 약 10 % 내로) 실질적으로 동일한 폭이다. 다른 실시예들에서, 링들은 상이한 폭 (예를 들어, 적어도 약 10 %, 적어도 약 30 % 또는 적어도 약 50 %만큼 변할 수도 있는 폭) 을 가질 수도 있다. 링들이 상이한 폭을 가지면, 보다 넓은 링들이 일련의 링들 주변에 또는 일련의 링들 주변으로, 링들의 중심에 또는 링들의 중심을 향해, 또는 중간 위치에 위치될 수도 있다. 이러한 유연성은 특정한 애플리케이션 및 애플리케이션과 관련된 불균일성에 따라 에칭 프로세스를 최적화할 수 있게 한다.
상부 플레이트 또는 하부 플레이트 (또는 양자) 는 일련의 주입 제어 링들로서 구현될 수도 있다. 특정한 실시예에서, 상부 플레이트는 절연성 재료로 이루어진 일련의 주입 제어 링들로 이루어진다. 다른 특정한 실시예에서, 하부 플레이트는 도전성 재료로 이루어진 일련의 주입 제어 링들로 이루어진다. 다른 구성들도 또한 가능하다. 하부 플레이트가 정지되고 상부 플레이트는 일련의 운동가능한 주입 제어 링들로 이루어진 실시예들이 온도, 재료 거동, 입자 형성, RF 귀환 문제, 등을 제어하는 관점에서 바람직할 수도 있다. 그러나, 어떠한 구성도 사용될 수도 있다.
주입 제어 링들이 사용되면, 메커니즘들 (예를 들어, 플레이트 어셈블리의 다른 플레이트 내에 구축된 마이크로 액추에이터들) 이 각각의 링을 독립적으로 운동 (예를 들어, 회전 및 병진) 시키기 위해 포함되어야 한다. 회전 유발 메커니즘 및 병진 유발 메커니즘은 독립적으로 구현될 수도 있고, 또는 단일 운동 유발 메커니즘의 일부로서 구현될 수도 있다. 일 실시예에서, 운동 유발 메커니즘들은 운동하는 플레이트 (예를 들어, 상부 플레이트) 가 회전 및/또는 병진하도록 하는, 운동하지 않는 플레이트 (예를 들어, 하부 플레이트) 에 포함된다. 운동 유발 메커니즘은 반응 장치의 주변 벽체들을 향해 외부로 연장하는 구조체들을 포함할 수도 있고, 운동하지 않는 플레이트를 통해 연장할 수도 있다. 장치가 상부 서브 챔버를 개별 플라즈마 존들로 분할하는 절연성 벽체들을 포함하면 (이 섹션에서 이하에 논의됨), 운동 유발 엘리먼트들은 이들 절연성 벽체들을 통과하여 연장할 수도 있다.
일반적으로 작은 정도의 운동만이 요구된다. 예를 들어, 약 1 내지 10°, 또는 약 1 내지 5°의 회전이 충분할 수도 있다. 다양한 구현들에서, 각 회전 량은 최대 이온 플럭스:라디컬 플럭스 비 및 최소 이온 플럭스:라디컬 플럭스 비, 및 최대와 최소 사이에 많은 또는 모든 비들을 허용하도록 설정된다. 비교적 작은 아퍼처들에 대해, 요구된 회전 량은 매우 작을 수도 있다. 유사하게, 상대적으로 작은 병진 량만이 대부분의 구현들에서 사용된다. 예를 들어, 일부 실시예들에서, 장치는 각각의 링을 독립적으로 적어도 약 0.5 ㎜, 또는 적어도 약 1 ㎜ 병진시킬 수 있다. 일부 경우들에서, 링들은 약 0 내지 10 ㎜로 병진할 수도 있다. 일부 구현예들에서, 에칭은 하나의 방사상 섹션의 어셈블리의 플레이트와 링 사이의 거리가 제2 방사상 섹션의 어셈블리의 플레이트와 링 사이의 거리보다 적어도 약 0.5 ㎜ 크도록 에칭이 수행될 것이다 (방사상 섹션들은 주입 제어 링들과 같은 공간에 있다).
방사상의 불균일성의 문제를 해결하기 위한 다른 기법은 하나의 연속하는 상부 플라즈마 존들보다는 일련의 동심인 플라즈마 존들로서 상부 서브 챔버를 구현하는 것이다. 이 기법을 구현하기 위한 장치가 도 5에 예시된다. 상부 플라즈마 존들은 또한 보다 간단하게 플라즈마 존들이라고 지칭될 수도 있다. 여기서, 3 개의 플라즈마 존들 (132a, 132b 및 132c) 이 채용된다. 다른 실시예들에서, 플라즈마 존들의 수는 약 2 내지 10 개, 또는 3 내지 5 개의 범위일 수도 있다. 이론 상 임의의 수의 플라즈마 존들이 사용될 수도 있다. 더 많은 수의 존들이 플라즈마 조건들을 보다 미세하게 조정하기 위해 사용될 수 있는 반면, 보다 작은 수의 존들은 구현이 보다 간단하다. 상기에 제안된 바와 같이, 많은 일반적인 불균일성 패턴들은 하부 서브 챔버 내에서의 이온 플럭스:라디컬 플럭스 비를 독립적으로 제어하는, 3 개의 방사상으로 분리된 섹션들을 가짐으로써 해결될 수 있다. 도 5에 도시된 실시예에서, 가장 안쪽의 플라즈마 존 (132a) 은 상기에 나타낸 바와 같이, 원형 단면을 갖는다. 다른 플라즈마 존들 (132b, 132c) 은 상기에 나타낸 바와 같이, 환형 단면을 갖고, 가장 안쪽의 플라즈마 존 (132a) 을 둘러싼다. 플라즈마 존들 (132a 내지 132c) 은 절연성 벽체들 (142) 로 분리된다. 일부 경우들에서, 절연성 벽체들은 세라믹 또는 석영과 같은 유전체 재료로 이루어지지만, 다른 절연성 재료들이 또한 사용될 수도 있다. 절연성 벽체들 (142) 의 목적은 플라즈마 존들 (132a 내지 132c) 각각을 서로로부터 격리하는 것이다.
각각의 플라즈마 존은 별도의 가스 피드 유입구를 갖는다. 예를 들어, 플라즈마 존 (132a) 은 가스 피드 (106a)에 의해 피드되는 반면, 플라즈마 존 (132b) 은 가스 피드 (106b) 등에 의해 피드된다. 가스 피드들은 가스 피드들 사이의 분리를 유지하고 플라즈마 존 각각으로 정확한 피드를 전달할 수 있는 샤워헤드 플레이트 (141) 로 피드된다. 또한, 독립적인 전원이 플라즈마 존 각각에 대해 제공된다. 도 5의 실시예에 대해, 플라즈마 존 (132a 내지 132c) 각각에 근접한 코일들 (108) 에 전력을 독립적으로 제공하기 위해 멀티존 RF 전력 공급부 (140) 가 사용된다. 플라즈마 존 (132a 내지 132c) 각각에 별도의 가스 피드 (106a 내지 106c) 및 플라즈마 존 각각에 독립적으로 전력을 제공하기 위한 메커니즘을 제공함으로써, 상이한 타입들의 플라즈마가 플라즈마 존 (132a 내지 132c) 각각에 생성될 수도 있다. 상이한 플라즈마들은 그렇지 않으면 에칭 동안 발생할 수도 있는 중심-대-에지 불균일성들을 방지할 수 있다.
일부 실시예들에서, 상이한 조성의 가스가 상이한 플라즈마 존들 (132a 내지 132c) 에 전달된다. 예를 들어, 가스가 일부 플라즈마 존들에 전달될 수 있는 반면, 다른 플라즈마 존들에는 전달되지 않을 수도 있다. 일 예에서, 가스 A는 플라즈마 존들 (132a 및 132c) 에 전달될 수도 있고, 플라즈마 존 (132b) 에는 전달되지 않을 수도 있다. 유사하게, 일 실시예에서 상이한 조정 가스가 플라즈마 존들 (132a 내지 132c) 각각에 전달된다. 상이한 플라즈마 존들에서 상이한 조성들의 가스를 달성하는 다른 방법은 상이한 상대 농도들의 성분 가스들을 플라즈마 존 각각에 전달하는 것이다. 일 예에서, 플라즈마 존들 (132a 내지 132b) 은 약 50 %의 가스 A 및 50 %의 가스 B인 가스 피드를 수용하는 반면, 플라즈마 존 (132c) 은 약 75 %인 가스 A 및 약 25 %의 가스 B인 가스 피드를 수용한다. 이 섹션에서 사용된 바와 같이, 가스 A 및 가스 B는 “에칭 메커니즘” 섹션에서 언급된 임의의 적절한 가스들을 나타낼 수 있다.
플라즈마 존들 (132a 내지 132b) 사이에서 가변하거나 일정할 수도 있는 다른 인자들은 플라즈마 존 각각에 전달된 총 플로우 레이트, 플라즈마 존 각각의 압력, 플라즈마 존 각각의 온도, 플라즈마 존 각각의 플라즈마 밀도, 플라즈마 존 각각의 플라즈마 소스로 전달된 전력, 플라즈마 존 각각에서 플라즈마를 생성하기 위해 사용된 주파수, 등을 포함한다.
특정한 실시예에서, 별도의 플라즈마 존들은 일련의 주입 제어 링들을 이용하여 함께 구현된다. 링들의 수 및 플라즈마 존들의 수는 일반적으로 동일하지만, 모든 경우들에서 반드시 그런 것은 아니다. 주입 제어 링들은, 특정한 주입 제어 링이 대응하는 플라즈마 존의 하단 표면으로서 효과적으로 기능하도록 플라즈마 존들과 동일한 (또는 약간 작은) 폭을 갖도록, 설계될 수도 있다.
예시적인 동작 모드들
본 명세서에 개시된 방법들 및 장치는 다단계 에칭 프로세스들의 상이한 기판들의 프로세싱 또는 상이한 단계들 사이에서, 및 단일 프로세스에서 단일 기판의 프로세싱 양자에서 달성되는 광범위한 에칭 조건들이 가능하게 한다. 이와 같이, 개시된 기법들은 많은 상이한 종류들의 에칭 동작들을 구현하기 위해 사용될 수도 있다. 명확성 및 이해를 돕기 위해 적은 유형들 또는 모드들의 동작이 언급될 것이다. 그러나, 간결성을 위해, 본 개시에 의해 가능한 특정한 유형들의 프로세스들은 개별적으로 논의되지 않을 것이다. 또한, 예시적인 방식으로 기술된 모드들, 및 이 모드들과 관련된 상세들은 목표된 애플리케이션에 따라 변경될 수도 있다. 특정한 변수들 (일반적으로 특정한 모드의 동작에서 장치를 동작시키는데 중요하지 않은 변수들) 은 이하의 섹션들의 논의에서 제외될 수 있다.
이온 충격만
이 모드의 동작에서, 불활성 가스가 상부 서브 챔버에 전달되고 에천트는 사용되지 않는다. 플라즈마가 상부 서브 챔버에서만 생성되고 하부 서브 챔버에서는 플라즈마가 실질적으로 존재하지 않는다. 플레이트 어셈블리를 통해 하부 서브 챔버로 전달되는 이온들의 에너지는 플레이트 어셈블리의 하부 플레이트에 인가된 바이어스를 제어함으로써 조정될 수도 있다. 다양한 경우들에서, 이 모드에서 동작할 때 정전 척은 바이어스되지 않는다. 기판으로의 이온들의 플럭스는 본 명세서에 기술된 임의의 메커니즘들 (예를 들어, 플레이트 어셈블리의 아퍼처들의 정렬 정도, 주입 제어 링들, 개별 플라즈마 존들, 플라즈마를 생성하기 위해 공급된 전력, 등) 에 의해 제어될 수 있다.
에천트의 존재 시 이온 충격
이 모드에서, 플라즈마 생성 가스는 상부 서브 챔버로 전달되고 에천트는 서브 챔버들 중 하나 또는 양자에 전달된다. 에천트는 단편화될 수도 있고 단편화되지 않을 수도 있다. 에천트가 단편화되지 않도록 목표되면, 에천트는 하부 서브 챔버로 바로 전달되어야 하고, 이 영역에 실질적으로 플라즈마가 존재하지 않아야 한다. 단편화 정도는 다양한 메커니즘들, 가장 현저하게 하부 서브 챔버 내의 플라즈마의 존재에 의해 제어될 수 있다. 단편화는 플라즈마의 전자 온도 및 하부 서브 챔버 내의 압력과 같은 파라미터들을 제어함으로써 조정될 수 있다.
일 예에서, 에천트는 불소 종들, 유사한 원자 종들 또는 비슷한 원자 종들을 생성하도록 완전히 해리 또는 단편화될 수도 있다. 이러한 에천트의 예들은 CxFy 가스 및 CxHy 가스를 포함한다. 대안적으로, 에천트는 다원자 에칭 성분들로 부분적으로 단편화될 수도 있다. 일부 경우들에서, 에천트 종들은 라디컬화 (radicalize) 될 수도 있다. 플레이트 어셈블리를 통해 하부 서브 챔버로 전달되는 이온 종들과 중성 종들 (예를 들어, 라디컬화된 단편화된 에천트 종들) 의 상대적인 플럭스는 본 명세서에 기술된 임의의 메커니즘들 (예를 들어, 플레이트 어셈블리의 아퍼처들의 정렬 정도, 주입 제어 링들, 개별 플라즈마 존들, 플레이트 어셈블리의 상부 플레이트와 하부 플레이트 사이의 거리, 플라즈마를 생성하기 위해 공급된 전력, 상부 서브 챔버로의 이온 생성 가스 및 라디컬 생성 가스의 흐름, 등) 에 의해 제어될 수도 있다.
에천트만
이 동작 모드에서, 플라즈마는 상부 서브 챔버에서만 생성되고 하부 서브 챔버에는 실질적으로 플라즈마가 없다. 플레이트 어셈블리 개방 면적은 0으로 설정된다 (즉, 상부 플레이트 및 하부 플레이트 내의 아퍼처들은 완전히 또는 실질적으로 완전히 정렬되지 않는다). 이러한 방식으로, 중성 종들 (예를 들어, 라디컬화된 에천트 종들) 은 상부 서브 챔버로부터 하부 서브 챔버로 플레이트 어셈블리 통과할 수도 있는 반면, 이온들이 하부 서브 챔버에 진입하는 것은 완전히 또는 실질적으로 완전히 방지된다. 중성 종들의 플럭스는 예를 들어, 플레이트 어셈블리의 상부 플레이트와 하부 플레이트 사이의 거리를 변경함으로써 제어될 수도 있다.
증착/패시베이션
이 동작 모드에서, 기판의 일부 상에 보호층을 형성하기 위해 추가적인 프로세스 가스가 하부 서브 챔버로 전달된다. 일 예에서, SiCl4 가 마스크층을 보호하도록 전달된다. 패시베이팅 가스들로서 사용될 수도 있는 다른 가스들은, CxFy, CxHy, COS, H2, HBr, 등을 포함하지만, 이들로 제한되는 것은 아니다. 다른 예에서, 추가적인 프로세스 가스는 트렌치의 측벽들 또는 다른 특징부를 보호하도록 기능한다. 이러한 추가적인 프로세스 가스는 별도로 전달될 수도 있고 (즉, 에칭이 일어나지 않는 동안, 예를 들어, 에칭 동작 직전에), 또는 에칭 프로세스 동안 일어날 수도 있다.
하부 서브 챔버의 플라즈마
플라즈마는 에칭 반응 장치를 동작시키는 다양한 모드들에서 하부 서브 챔버에 존재할 수도 있다. 동작의 일 모드에서, 상부 서브 챔버가 사용된다. 플레이트 어셈블리의 상부 플레이트 및 하부 플레이트는 서로 접촉하도록 (즉, 상부 플레이트와 하부 플레이트 사이의 거리가 0으로 감소됨) 위치되고, 아퍼처들은 완전히 정렬되지 않는다. 플라즈마 생성 가스 (상기 “에칭 메커니즘” 섹션에서 언급된 하나 이상의 가스들을 포함할 수 있음) 는 하부 서브 챔버로 바로 전달되고, 이 영역에서 플라즈마가 생성된다. 이 동작 모드에서, 에칭 장치는 기본적으로 종래의 단일 챔버 에칭 반응 장치로 단순화된다.
플라즈마가 하부 서브 챔버에 존재하면, 플라즈마는 일반적으로 고주파수 바이어스를 정전 척/기판 지지부에 인가함으로써 생성된다. 대안적으로, 플레이트들은 특정한 구현예들에서 상부 서브 챔버로부터 하부 서브 챔버로 플라즈마가 누출되게 하도록 설계될 수도 있다. 플라즈마가 하부 서브 챔버에 존재하는 다양한 구현예들에서, 에천트 종들이 존재하지만, 이는 모든 경우에 반드시 필요한 것은 아니다.
장치
본 명세서에 기술된 방법들은 기술된 수정사항들 갖는 임의의 적절한 플라즈마 에칭 장치 (예를 들어, 플레이트 어셈블리, 주입 제어 링들 및/또는 별도의 플라즈마 존들, 등) 에 의해 수행될 수도 있다. 적절한 장치는 본 발명에 따라 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기 및 프로세스 동작들을 달성하기 위한 하드웨어를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 프로세스 툴 (process tool) 에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
시스템 제어기
일부 실시예들에서, 시스템 제어기 (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수도 있음) 는 프로세스 툴의 일부 동작들 또는 모든 동작들을 제어한다. 시스템 제어기는 일반적으로 하나 이상의 메모리 디바이스 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU (central processing unit) 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어기 기판들, 및 다른 유사한 구성요소들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 명령들은 프로세서 상에서 실행된다. 이들 명령들은 제어기와 연관된 메모리 디바이스 상에 저장될 수도 있고 또는 네트워크를 통해 제공될 수도 있다. 특정 실시예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 타이밍, 프로세스 가스 성분들의 혼합 (예를 들어, 에천트 가스의 조성, 플라즈마를 생성하도록 사용된 가스의 조성, 임의의 다른 프로세스 가스들, 등), 챔버 압력, 챔버 온도, 웨이퍼 온도, 척/웨이퍼 및 임의의 다른 전극들에 인가된 전류 및 전위, 그리드 어셈블리의 그리드 각각을 에칭하기 위해 인가된 바이어스, 정전 척에 인가된 바이어스, 웨이퍼 위치, 플레이트 위치, 및 프로세스 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적절한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 구성요소들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적절한 컴퓨터 판독가능한 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀스 명령들을 포함한다. 예를 들어, 에칭 프로세스의 단계 각각은 시스템 제어기에 의해 실행하기 위한 하나 이상의 명령들을 포함할 수도 있다. 플라즈마 생성 프로세스 단계를 위해 프로세스 조건들을 설정하기 명령들은 대응하는 플라즈마 생성 레시피 단계에 포함될 수도 있다. 일부 실시예들에서, 에칭 레시피 단계들은 에칭 프로세스 단계들에 대한 모든 명령들이 에칭 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다.
일부 실시예들에서 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 채택될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 상부 서브 챔버 가스 전달 조성 제어 프로그램, 하부 서브 챔버 가스 전달 조성 제어 프로그램, 가스 유입구 타이밍 제어 프로그램, 플레이트 어셈블리 바이어스 제어 프로그램, 플레이트 어셈블리 위치 제어 프로그램, 정전 척 바이어스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 전위/전류 전력 공급 제어 프로그램을 포함한다. 본 명세서에 언급된 임의의 소프트웨어/프로그램들은 에칭 동안 관련 파라미터들을 수정하기 위한 명령들을 포함할 수도 있다. 일 예에서, 플레이트 어셈블리 바이어스 제어 프로그램은 에칭 동안 플레이트 어셈블리의 하나 이상의 플레이트로의 바이어스를 수정하기 위한 명령들을 포함할 수도 있다. 결과적으로, 하부 서브 챔버로 이동하는 이온들의 이온 에너지는 에칭 프로세스 동안 수정될 수도 있다.
일부 경우들에서, 제어기들은 하나 이상의 이하의 기능들을 제어한다: 하부 서브 챔버로 에천트 또는 다른 프로세스 가스의 전달, 상부 서브 챔버로 플라즈마 생성 가스의 전달, 상부 서브 챔버 및/또는 하부 서브 챔버의 플라즈마 생성 조건들, 플레이트 어셈블리의 플레이트 각각에 인가된 바이어스, 플레이트 어셈블리의 플레이트들의 회전/병진, 등. 예를 들어, 서브 챔버들로 가스의 전달은 특정한 밸브들이 특정한 시간에 열리고 특정한 시간에 닫히도록 지시함으로써 달성될 수도 있다. 이는 제어기가 가스 전달 타이밍 및 전달된 가스들의 조성 양자를 제어하게 한다. 제어기는 예를 들어, 특정한 주파수/전력 레벨들로 플라즈마 생성기 (예를 들어, ICP 반응 장치의 코일들) 에 전력을 제공하도록 전력 공급부에 지시함으로써 플라즈마 생성 조건들을 제어할 수도 있다. 또한, 제어기는 불활성 가스의 플로우 (및/또는 일부 실시예들에서 반응성 가스) 가 상부 서브 챔버에 들어가도록 지시함으로써, 또는 서브 챔버들의 압력을 제어함으로써, 또는 서브 챔버들의 압력을 제어함으로써, 또는 서브 챔버들의 온도를 제어함으로써, 등으로 플라즈마 생성 조건들을 제어할 수도 있다. 제어기는 플레이트 어셈블리의 플레이트들을 목표된 바대로 운동시키도록 회전 액추에이터 및/또는 병진 액추에이터에 지시함으로써 플레이트들의 회전/병진을 제어할 수도 있다. 일부 경우들에서, 제어기는 기판 상의 중심 대 에지 에칭 조건들을 제어하도록 동심인 플레이트 섹션을 회전 또는 병진시키도록 설계되거나 구성된다. 유사하게, 제어기는 라디컬 플럭스에 대한 이온 플럭스 비를 제어하도록 제1 플레이트와 제2 플레이트의 아퍼처들을 배향하기 위해 적어도 하나의 동심인 플레이트 섹션을 제1 플레이트에 대해 운동시키도록 설계될 수도 있고 또는 구성될 수도 있다. 일 실시예에서, 제어기는 동심인 플라즈마 존들의 플라즈마 생성을 독립적으로 제어하여 기판 상의 중심 대 에지 에칭 조건들을 제어하도록 설계될 수도 있고 또는 구성될 수도 있다. 제어기들은 (예를 들어, 전류, 전류 밀도, 전위, 압력, 등이 특정한 문턱값에 도달할 때의) 센서 출력, 동작 (예를 들어, 프로세스의 특정한 시간에 밸브들을 여는 동작) 의 타이밍, 또는 사용자로부터 수신된 명령들에 기초하여 이들 양태들을 제어할 수도 있다.
상기 기술된 다양한 하드웨어 및 방법 실시예들은, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 (photovoltaic panels), 등을 제조 또는 제작하기 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 일반적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다.
막의 리소그래피 패터닝은 일반적으로 이하의 단계들 중 일부 또는 전부를 포함하고, 단계 각각은 다수의 가능한 툴들로 실행된다: (1) 스핀-온 또는 스프레이-온 툴를 사용하여, 워크 피스 예를 들어, 워크 피스 상에 형성된 질화 실리콘막을 갖는 기판 상에 포토레지스트 적용; (2) 고온의 플레이트 또는 노 또는 다른 적절한 경화 툴를 사용하여 포토레지스트 경화; (3) 웨이퍼 스텝퍼와 같은 툴를 사용하여, 가시 광선 또는 UV 또는 x-선 광에 포토레지스트 노광; (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴를 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트 현상; (5) 건식 에칭 툴 또는 플라즈마-보조 에칭 툴를 사용하여 아래에 놓인 막 또는 워크 피스로 레지스트 패턴을 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼 (stripper) 와 같은 툴를 사용하여 레지스트 제거. 일부 실시예들에서, 애쉬가능한 (ashable) 경질 마스크층 (비정질 탄소층과 같은) 및 다른 적절한 경질 마스크층 (반사방지층과 같은) 이 포토레지스트를 적용하기 전에 증착될 수 있다.
본 명세서에 기술된 구성들 및/또는 접근 방법들은 본질적으로 예시적인 것이고, 이들 구체적인 실시예들 또는 예들은 다양한 변형들이 가능하기 때문에 제한하는 의미로 고려되지 않는다는 것이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 하나 이상의 임의의 수의 프로세싱 전략들을 나타낼 수도 있다. 이와 같이, 예시된 다양한 작용들이 예시된 차례로, 다른 차례로, 병행하여 수행될 수도 있고 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시의 주제는 다양한 프로세스들, 시스템들 및 구성들, 및 다른 피처들의 모든 새롭고 명백하지 않은 조합들 및 하위 조합들, 기능들, 작용들, 및/또는 본 명세서에 개시된 특성들 및 이들의 임의의 등가물들 및 모든 등가물을 포함한다.

Claims (30)

  1. 기판들을 에칭하기 위한 장치에 있어서,
    (a) 반응 챔버,
    (b) 상기 반응 챔버 내에 위치되어 상부 서브 챔버 및 하부 서브 챔버로 상기 반응 챔버를 분할하는 플레이트 어셈블리 (plate assembly) 로서, 상기 플레이트 어셈블리는,
    (i) 제1 플레이트, 및
    (ii) 상기 제1 플레이트에 대해 독립적으로 회전가능한 적어도 2 개의 실질적으로 동심인 (concentric) 플레이트 섹션들을 포함하는 제2 플레이트를 포함하고,
    상기 제1 플레이트 및 상기 제2 플레이트는 플레이트 각각의 두께를 관통하여 연장하는 아퍼처들 (apertures) 을 갖는, 상기 플레이트 어셈블리,
    (c) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구 (inlet),
    (d) 상기 반응 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 반응 챔버로의 하나 이상의 가스 유출구 (outlet), 및
    (e) 상기 상부 서브 챔버 내에 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함하는, 기판들을 에칭하기 위한 장치.
  2. 제1항에 있어서,
    적어도 3 개의 실질적으로 동심인 플레이트 섹션들을 포함하는, 기판들을 에칭하기 위한 장치.
  3. 제1항에 있어서,
    상기 플레이트 어셈블리의 적어도 하나의 플레이트 내의 상기 아퍼처들 중 적어도 일부는 약 0.2 내지 0.4의 애스팩트 비 (aspect ratio) 를 갖는, 기판들을 에칭하기 위한 장치.
  4. 제1항에 있어서,
    상기 플레이트 어셈블리의 적어도 하나의 플레이트는 약 40 내지 60 %의 개방 면적을 갖는, 기판들을 에칭하기 위한 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 제2 플레이트의 상기 플레이트 섹션들은 절연성 재료를 포함하고 상기 제1 플레이트는 도전성 재료를 포함하는, 기판들을 에칭하기 위한 장치.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 상부 서브 챔버는 하나 이상의 절연성 벽체들에 의해 분리된 복수의 동심인 플라즈마 존들로 분할되는, 기판들을 에칭하기 위한 장치.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 기판 상에서 중심 대 에지 에칭 조건들을 제어하기 위해 상기 동심인 플레이트 섹션들 중 하나 이상을 회전시키도록 설계되거나 구성된 제어기를 더 포함하는, 기판들을 에칭하기 위한 장치.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서,
    라디컬 플럭스에 대한 이온 플럭스 비 (flux ratio) 를 제어하기 위해 상기 제1 플레이트 및 상기 제2 플레이트의 상기 아퍼처들을 배향하도록 상기 제1 플레이트에 대해 적어도 제1 동심인 플레이트 섹션을 운동시키도록 설계되거나 구성된 제어기를 더 포함하는, 기판들을 에칭하기 위한 장치.
  9. 기판들을 에칭하기 위한 장치에 있어서,
    (a) 상부 서브 챔버 및 하부 서브 챔버를 포함하는 반응 챔버로서, 상기 상부 서브 챔버는 적어도 2 개의 실질적으로 동심인 플라즈마 존들을 포함하고, 플라즈마 존 각각은 하나 이상의 절연성 벽체들에 의해 다른 플라즈마 존들과 격리되는, 상기 반응 챔버,
    (b) 상기 상부 서브 챔버와 상기 하부 서브 챔버 사이에 위치되고 제1 플레이트 및 제2 플레이트를 포함하는 플레이트 어셈블리로서, 플레이트 각각은 상기 플레이트의 두께를 관통하여 연장하는 아퍼처들을 갖고, 상기 제2 플레이트는 상기 제1 플레이트에 대해 회전가능한, 상기 플레이트 어셈블리,
    (c) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구들,
    (d) 상기 하부 서브 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 하부 서브 챔버로의 하나 이상의 가스 유출구들, 및
    (e) 상기 상부 서브 챔버 내에 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함하는, 기판들을 에칭하기 위한 장치.
  10. 제9항에 있어서,
    상기 제1 플레이트와 상기 제2 플레이트 사이의 거리가 변하도록, 상기 플레이트 어셈블리 중 적어도 하나의 플레이트를 상기 플레이트 어셈블리의 다른 플레이트를 향하여 그리고 상기 플레이트 어셈블리의 다른 플레이트로부터 멀어지게 운동시키도록 설계되거나 구성된 병진 유발 메커니즘 (translation causing mechanism) 을 더 포함하는, 기판들을 에칭하기 위한 장치.
  11. 제9항에 있어서,
    상기 플레이트들 중 적어도 하나는 상기 상부 서브 챔버 또는 상기 하부 서브 챔버로 가스들을 전달하기 위한 샤워헤드로서 작동하도록 설계되거나 구성되는, 기판들을 에칭하기 위한 장치.
  12. 제9항에 있어서,
    상기 제1 플레이트와 상기 제2 플레이트 사이의 거리는 약 1 내지 6 ㎜인, 기판들을 에칭하기 위한 장치.
  13. 제9항에 있어서,
    상기 플레이트 어셈블리의 플레이트들 중 적어도 하나는 약 3 내지 20 ㎜의 두께를 갖는, 기판들을 에칭하기 위한 장치.
  14. 제9항 내지 제13항 중 어느 한 항에 있어서,
    상기 플라즈마 생성 소스는 유도 결합 플라즈마를 생성하도록 설계되거나 구성되는, 기판들을 에칭하기 위한 장치.
  15. 제9항 내지 제13항 중 어느 한 항에 있어서,
    상기 상부 서브 챔버는 적어도 3 개의 실질적으로 동심인 플라즈마 존들을 포함하는, 기판들을 에칭하기 위한 장치.
  16. 제9항 내지 제13항 중 어느 한 항에 있어서,
    상기 동심인 플라즈마 존들 내에서의 플라즈마 생성을 독립적으로 제어하여 상기 기판 상에서 중심 대 에지 에칭 조건들을 제어하도록 설계되거나 구성된 제어기를 더 포함하는, 기판들을 에칭하기 위한 장치.
  17. 제9항 내지 제13항 중 어느 한 항에 있어서,
    라디컬 플럭스에 대한 이온 플럭스 비를 제어하기 위해 상기 제1 플레이트 및 상기 제2 플레이트의 상기 아퍼처들을 배향하도록 상기 제1 플레이트에 대해 적어도 하나의 동심인 플레이트 섹션을 운동시키도록 설계되거나 구성된 제어기를 더 포함하는, 기판들을 에칭하기 위한 장치.
  18. 기판을 에칭하는 방법에 있어서,
    (a) 에칭 장치의 반응 챔버 내에 기판을 수용하는 단계로서, 상기 에칭 장치는,
    (i) 상기 반응 챔버 내에 위치되어 상부 서브 챔버 및 하부 서브 챔버로 상기 반응 챔버를 분할하는 플레이트 어셈블리로서, 상기 플레이트 어셈블리는 제1 플레이트 및 제2 플레이트를 포함하고, 상기 제2 플레이트는 적어도 2 개의 동심인 섹션들을 포함하고, 상기 동심인 섹션들은 상기 제1 플레이트에 대해 독립적으로 회전가능하고, 상기 제1 플레이트 및 상기 제2 플레이트는 플레이트 각각의 두께를 관통하여 연장하는 아퍼처들을 갖는, 상기 플레이트 어셈블리,
    (ii) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구들,
    (iii) 상기 하부 서브 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 하부 서브 챔버로의 하나 이상의 가스 유출구들, 및
    (iv) 상기 상부 서브 챔버에서 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함하는, 상기 기판을 수용하는 단계,
    (b) 상기 상부 서브 챔버로 플라즈마 생성 가스를 유동시키고 플라즈마를 생성하는 단계,
    (c) 상기 플라즈마 내에 존재하는 중성 종들 (neutral species) 을 상기 상부 서브 챔버로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로 유동시키는 단계, 및
    (d) 상기 기판을 에칭하는 단계를 포함하는, 기판을 에칭하는 방법.
  19. 제18항에 있어서,
    이온들이 상기 상부 서브 챔버로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로 유동하도록 상기 플레이트 어셈블리의 상부 플레이트와 하부 플레이트 내의 적어도 일부의 아퍼처들을 정렬시키는 단계를 더 포함하는, 기판을 에칭하는 방법.
  20. 제19항에 있어서,
    상기 플레이트 어셈블리의 제1 부분을 통한 제1 라디컬 플럭스에 대한 이온 플럭스 비는 상기 플레이트 어셈블리의 제2 부분을 통한 제2 라디컬 플럭스에 대한 이온 플럭스 비와 상이한, 기판을 에칭하는 방법.
  21. 제19항에 있어서,
    상기 제2 플레이트의 상기 동심인 섹션들 중 적어도 하나를 회전시킴으로써 상기 플레이트 어셈블리를 통한 라디컬 플럭스에 대한 이온 플럭스 비를 제어하는 단계를 더 포함하는, 기판을 에칭하는 방법.
  22. 제18항 내지 제21항 중 어느 한 항에 있어서,
    상기 하부 서브 챔버 내에 위치된 기판 지지부에 바이어스를 인가하는 단계를 더 포함하는, 기판을 에칭하는 방법.
  23. 제22항에 있어서,
    상기 기판 지지부에 상기 바이어스를 인가하는 단계는 상기 하부 서브 챔버 내에 플라즈마를 생성하는, 기판을 에칭하는 방법.
  24. 제22항에 있어서,
    상기 기판 지지부에 상기 바이어스를 인가하는 단계는 상기 하부 서브 챔버 내에 플라즈마를 생성하지 않는, 기판을 에칭하는 방법.
  25. 제18항 내지 제21항 중 어느 한 항에 있어서,
    상기 기판 상에서 중심 대 에지 에칭 조건들을 제어하도록 동심인 플레이트 섹션들 중 하나 이상을 회전시키는 단계를 더 포함하는, 기판을 에칭하는 방법.
  26. 기판을 에칭하는 방법에 있어서,
    (a) 에칭 장치의 반응 챔버 내에 기판을 수용하는 단계로서, 상기 에칭 장치는,
    (i) 상부 서브 챔버 및 하부 서브 챔버로서, 상기 상부 서브 챔버는 적어도 2 개의 실질적으로 동심인 플라즈마 존들을 포함하고, 플라즈마 존들 각각은 하나 이상의 절연성 벽체들에 의해 다른 플라즈마 존들로부터 격리되는, 상기 상부 서브 챔버 및 상기 하부 서브 챔버,
    (ii) 상기 상부 서브 챔버와 상기 하부 서브 챔버 사이에 위치되고 제1 플레이트 및 제2 플레이트를 포함하는 플레이트 어셈블리로서, 플레이트 각각은 상기 플레이트의 두께를 관통하여 연장하는 아퍼처들을 갖고, 상기 제2 플레이트는 상기 제1 플레이트에 대해 회전가능한, 상기 플레이트 어셈블리,
    (iii) 상기 상부 서브 챔버로의 하나 이상의 가스 유입구들,
    (iv) 상기 하부 서브 챔버로부터 가스를 제거하도록 설계되거나 구성된, 상기 하부 서브 챔버로의 하나 이상의 가스 유출구들, 및
    (v) 상기 상부 서브 챔버 내에 플라즈마를 생성하도록 설계되거나 구성된 플라즈마 생성 소스를 포함하는, 상기 기판을 수용하는 단계,
    (b) 플라즈마 존 각각으로 플라즈마 생성 가스를 유동시키고 플라즈마 존 각각에서 플라즈마를 생성하는 단계,
    (c) 상기 플라즈마들 내에 존재하는 중성 종들들을 상기 플라즈마 존들로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로 유동시키는 단계, 및
    (d) 상기 기판을 에칭하는 단계를 포함하는, 기판을 에칭하는 방법.
  27. 제26항에 있어서,
    단계 (b) 는 제1 조성의 플라즈마 생성 가스를 제1 플라즈마 존으로 유동시키고 제2 조성의 플라즈마 생성 가스를 제2 플라즈마 존으로 유동시키는 단계를 포함하는, 기판을 에칭하는 방법.
  28. 제26항에 있어서,
    단계 (b) 는 제1 플라즈마 존에 제1 플라즈마를 생성하고 제2 플라즈마 존에 제2 플라즈마를 생성하는 단계를 포함하고, 상기 제1 플라즈마 및 상기 제2 플라즈마는 상이한 밀도들을 갖는, 기판을 에칭하는 방법.
  29. 제26항 내지 제28항 중 어느 한 항에 있어서,
    상기 제1 플레이트와 상기 제2 플레이트 사이의 거리를 변경함으로써 상기 플레이트 어셈블리를 통해 중성 종 플럭스에 대한 이온 플럭스 비를 제어하는 단계를 더 포함하는, 기판을 에칭하는 방법.
  30. 제26항 내지 제28항 중 어느 한 항에 있어서,
    제1 플라즈마 존으로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로의 제1 중성 종 플럭스에 대한 이온 플럭스 비는 제2 플라즈마 존으로부터 상기 플레이트 어셈블리를 통해 상기 하부 서브 챔버로의 제2 중성 종 플럭스에 대한 이온 플럭스 비와 상이한, 기판을 에칭하는 방법.
KR20140124911A 2013-09-20 2014-09-19 듀얼 플라즈마 소스 반응 장치를 이용한 웨이퍼 프로세싱을 위한 이온 대 중성 종 제어 KR20150032811A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/033,241 US9793126B2 (en) 2010-08-04 2013-09-20 Ion to neutral control for wafer processing with dual plasma source reactor
US14/033,241 2013-09-20

Publications (1)

Publication Number Publication Date
KR20150032811A true KR20150032811A (ko) 2015-03-30

Family

ID=52690006

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20140124911A KR20150032811A (ko) 2013-09-20 2014-09-19 듀얼 플라즈마 소스 반응 장치를 이용한 웨이퍼 프로세싱을 위한 이온 대 중성 종 제어

Country Status (6)

Country Link
US (2) US9793126B2 (ko)
JP (1) JP6641077B2 (ko)
KR (1) KR20150032811A (ko)
CN (1) CN104465457B (ko)
SG (1) SG10201405549VA (ko)
TW (1) TWI647731B (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190040371A (ko) * 2016-09-12 2019-04-17 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 작업물 프로세싱 장치
KR20190057415A (ko) * 2016-10-17 2019-05-28 램 리써치 코포레이션 통합된 직접 유전체 및 금속 증착
KR20200042439A (ko) * 2017-09-14 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 히터 페디스털용 짐벌 어셈블리
KR20210065755A (ko) * 2019-11-27 2021-06-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220070800A (ko) * 2020-11-23 2022-05-31 세메스 주식회사 전극 제어 유닛 및 이를 구비하는 기판 처리 장치
KR20220070813A (ko) * 2020-11-23 2022-05-31 세메스 주식회사 기판 처리 장치 및 방법
WO2023068698A1 (ko) * 2021-10-20 2023-04-27 (주)아이씨디 축전 결합 플라즈마 기판 처리 장치
US12029133B2 (en) 2020-02-26 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9881807B2 (en) * 2015-03-30 2018-01-30 Tokyo Electron Limited Method for atomic layer etching
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US20170207077A1 (en) * 2016-01-15 2017-07-20 Mattson Technology, Inc. Variable Pattern Separation Grid for Plasma Chamber
JP6625891B2 (ja) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ 真空処理装置
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP6902991B2 (ja) 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11404245B2 (en) * 2018-02-28 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. DC bias in plasma process
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10418550B2 (en) * 2018-05-29 2019-09-17 Nanjing University High temperature resistant memristor based on two-dimensional covalent crystal and preparation method thereof
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US10868237B2 (en) 2018-08-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition
US10516102B1 (en) * 2018-10-16 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple spacer assisted physical etching of sub 60nm MRAM devices
KR20210109640A (ko) * 2019-01-23 2021-09-06 램 리써치 코포레이션 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템
CN110042369A (zh) * 2019-03-26 2019-07-23 云谷(固安)科技有限公司 等离子体增强化学气相沉积的腔室结构及具有其的设备
US11158488B2 (en) 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
JP7441939B2 (ja) * 2019-09-13 2024-03-01 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ
CN110797245B (zh) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 一种半导体加工设备
EP4050641A4 (en) * 2019-11-08 2023-12-13 Tokyo Electron Limited ENGRAVING PROCESS
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
JP6825069B2 (ja) * 2019-11-28 2021-02-03 株式会社日立ハイテク 真空処理装置
WO2021199420A1 (ja) 2020-04-03 2021-10-07 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
CN112234015B (zh) * 2020-10-12 2022-05-13 烟台睿瓷新材料技术有限公司 一种同心圆结构的静电吸盘电极图形结构
CN112593208B (zh) * 2020-11-25 2022-01-11 北京北方华创微电子装备有限公司 半导体工艺设备
JP7500450B2 (ja) * 2021-01-21 2024-06-17 東京エレクトロン株式会社 プラズマ処理装置
KR20230063414A (ko) 2021-11-02 2023-05-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN115547915B (zh) * 2022-11-28 2023-02-14 四川上特科技有限公司 一种晶圆曝光夹具及曝光装置

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH0738384B2 (ja) * 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH10242116A (ja) 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
AU2002366921A1 (en) 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
IL165383A0 (en) 2002-06-21 2006-01-15 Transform Pharmaceuticals Inc Pharmaceutical compositions with improved dissolution
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (ja) * 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
JP2005116865A (ja) * 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
JP2006236772A (ja) * 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
TWI391518B (zh) 2005-09-09 2013-04-01 愛發科股份有限公司 離子源及電漿處理裝置
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
ATE504076T1 (de) 2008-03-20 2011-04-15 Univ Ruhr Bochum Verfahren zur steuerung der ionenenergie in radiofrequenzplasmen
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
EP2333821A4 (en) 2008-09-01 2014-07-30 Japan Science & Tech Agency PLASMA METHOD, PLASMA COUNTER DEVICE AND METHOD FOR PRODUCING A PHOTONIC CRYSTAL
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP5216918B2 (ja) 2009-07-16 2013-06-19 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2534674B1 (en) 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5689980B2 (ja) 2011-10-31 2015-03-25 キヤノンアネルバ株式会社 磁性膜のイオンビームエッチング方法及びイオンビームエッチング装置
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190040371A (ko) * 2016-09-12 2019-04-17 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 작업물 프로세싱 장치
KR20190057415A (ko) * 2016-10-17 2019-05-28 램 리써치 코포레이션 통합된 직접 유전체 및 금속 증착
KR20200042439A (ko) * 2017-09-14 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 히터 페디스털용 짐벌 어셈블리
KR20210065755A (ko) * 2019-11-27 2021-06-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US12029133B2 (en) 2020-02-26 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning
KR20220070800A (ko) * 2020-11-23 2022-05-31 세메스 주식회사 전극 제어 유닛 및 이를 구비하는 기판 처리 장치
KR20220070813A (ko) * 2020-11-23 2022-05-31 세메스 주식회사 기판 처리 장치 및 방법
WO2023068698A1 (ko) * 2021-10-20 2023-04-27 (주)아이씨디 축전 결합 플라즈마 기판 처리 장치
KR20230056228A (ko) * 2021-10-20 2023-04-27 (주)아이씨디 축전 결합 플라즈마 기판 처리 장치

Also Published As

Publication number Publication date
US20180005852A1 (en) 2018-01-04
SG10201405549VA (en) 2015-04-29
JP2015065434A (ja) 2015-04-09
TWI647731B (zh) 2019-01-11
JP6641077B2 (ja) 2020-02-05
TW201528310A (zh) 2015-07-16
US20170213747A9 (en) 2017-07-27
US9793126B2 (en) 2017-10-17
US20150083582A1 (en) 2015-03-26
CN104465457A (zh) 2015-03-25
CN104465457B (zh) 2018-09-14

Similar Documents

Publication Publication Date Title
US20180005852A1 (en) Ion to neutral control for wafer processing with dual plasma source reactor
US10224221B2 (en) Internal plasma grid for semiconductor fabrication
TWI638403B (zh) 離子束蝕刻系統
JP6509495B2 (ja) 半導体製造用の内部プラズマグリッドの適用
US9147581B2 (en) Dual chamber plasma etcher with ion accelerator

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination