JP6863199B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP6863199B2
JP6863199B2 JP2017183356A JP2017183356A JP6863199B2 JP 6863199 B2 JP6863199 B2 JP 6863199B2 JP 2017183356 A JP2017183356 A JP 2017183356A JP 2017183356 A JP2017183356 A JP 2017183356A JP 6863199 B2 JP6863199 B2 JP 6863199B2
Authority
JP
Japan
Prior art keywords
work
peripheral edge
plasma processing
edge portion
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017183356A
Other languages
English (en)
Other versions
JP2019061771A (ja
Inventor
典之 加藤
典之 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toyota Motor Corp
Original Assignee
Toyota Motor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toyota Motor Corp filed Critical Toyota Motor Corp
Priority to JP2017183356A priority Critical patent/JP6863199B2/ja
Priority to DE102018118916.8A priority patent/DE102018118916B4/de
Priority to US16/058,362 priority patent/US11315767B2/en
Priority to CN201811072892.0A priority patent/CN109554688B/zh
Publication of JP2019061771A publication Critical patent/JP2019061771A/ja
Application granted granted Critical
Publication of JP6863199B2 publication Critical patent/JP6863199B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/004Charge control of objects or beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Description

本発明は、導電性のワークにプラズマ処理を行うプラズマ処理装置に関する。
ワークにプラズマ処理を行う装置として、特許文献1には、上下に2分割される成膜容器によってワークを挟み、成膜容器内にプラズマを発生させて成膜を行う装置が記載されている。
特開2009−62579号公報
成膜容器によってワークを挟み、成膜容器内にプラズマを発生させる場合において、成膜容器内で異常放電が発生する場合があった。
本開示は、上述の課題を解決するためになされたものであり、以下の形態として実現することが可能である。
(1)本開示の一形態によれば、導電性を有する平板状のワークにプラズマ処理を行うプラズマ処理装置が提供される。このプラズマ処理装置は、前記ワークの少なくとも片側の処理対象部分が配置される窪み部と、前記窪み部の外側に前記窪み部から連続的に設けられた周縁部と、を有する導電性の真空容器と;前記周縁部に対して、前記ワークを離間しかつ絶縁して保持する保持部材と;前記ワークと前記真空容器との間に電圧を印加する電圧印加部と;前記周縁部のうち、前記ワークと対向する部位を覆う絶縁層と、を備える。
このような形態のプラズマ処理装置であれば、周縁部のうち、ワークと対向する部位は絶縁層で覆われているので、ワークと真空容器との間に電圧を印加してワークに対してプラズマ処理を行いつつ、ワークと周縁部との間で異常放電が発生することを抑制することができる。
(2)上記形態において、前記窪み部は、底部と、前記底部と前記周縁部とを接続する側部と、を備え;前記絶縁層は、さらに、前記側部を覆っていてもよい。
この形態によれば、周縁部に次いでワークに近接する電極部分である側部と、ワークと、の間で異常放電が発生することを抑制することができる。
(3)上記形態において、前記絶縁層は、前記真空容器から取り外し可能であってもよい。
この形態によれば、プラズマ処理時に発生する異物等が絶縁層の表面に付着した場合であっても、絶縁層を真空容器から取り外して交換・清掃することができる。そのため、絶縁層の表面に異物が堆積することによる異常放電の発生を抑制することができる。
(4)上記形態において、前記窪み部は、前記ワークの表側と裏側とに対応して設けられた第1窪み部と第2窪み部と、を有してもよい。
この形態によれば、ワークの表側及び裏側をプラズマ処理しつつ、ワークと周縁部との間で異常放電が発生することを抑制することができる。
本開示は、上述したプラズマ処理装置以外の種々の形態で実現することも可能である。例えば、ワークの一部にプラズマ処理を行う方法等の形態で実現することができる。
第一実施形態におけるプラズマ処理装置の構成を示す概略断面図である。 プラズマ処理装置の分解斜視図である。 プラズマ処理装置の部分拡大断面図である。 プラズマ処理装置の部分拡大断面図である。 プラズマ処理装置によるワークのプラズマ処理方法について示す工程図である。 第2実施形態におけるプラズマ処理装置を示す図である。 第3実施形態におけるプラズマ処理装置を示す図である。 第4実施形態におけるプラズマ処理装置を示す図である。 第5実施形態におけるプラズマ処理装置を示す図である。
・第1実施形態
図1は、第一実施形態におけるプラズマ処理装置200の構成を示す概略断面図である。図2は、プラズマ処理装置200の分解斜視図である。図1及び図2には、相互に略直交するXYZ軸が図示されている。なお、略直交とは、90°±20°の範囲を含む。本実施形態では、Y方向は略鉛直方向であり、X方向は略水平方向である。Z方向は略鉛直方向及び略水平方向に垂直な方向である。このことは、以降の図においても同様である。
プラズマ処理装置200は、導電性を有する平板状のワークWの一部にプラズマ処理を行う装置である。プラズマ処理は、プラズマを用いてワークWに成膜又はエッチングを行う処理である。本実施形態では、ワークWは、処理対象物10とマスキング部材21、22とを含む。本実施形態では、処理対象物10は、燃料電池のセパレータの基材として用いられる平板状の金属であり、例えば、チタンやチタン合金により形成される。プラズマ処理装置200は、処理対象物10の処理対象部分10Aに、例えばプラズマCVD法により導電性の炭素系薄膜を形成する。
プラズマ処理装置200は、真空容器(チャンバー)100と、絶縁部材30と、パレット130と、シール部材61、62と、絶縁層41、42と、電圧印加部70と、を備える。プラズマ処理装置200は、さらに、開閉装置50と、搬送装置55と、ガス供給装置80と、排気装置90と、制御部95と、を備える。なお、図2では、絶縁層41、42と、開閉装置50と、搬送装置55と、電圧印加部70及びその導入部71と、ガス供給装置80及び供給口81と、排気装置90及び排気口91と、制御部95と、は図示を省略している。
本実施形態において、真空容器100は、対向配置される第1の型110及び第2の型120を備える導電性の容器である。本実施形態では、真空容器100は、+Y方向及び−Y方向に分割される。真空容器100は、例えば、ステンレス、アルミニウム、チタン等の金属により形成される。
第1の型110は、ワークWの片側の処理対象部分10Aが配置される第1窪み部114と、第1窪み部114の外側に第1窪み部114から連続的に設けられた第1周縁部111と、を備える。第1窪み部114は、ワークWの表側に対応して設けられている。真空容器100内にワークWが配置された状態において、第1窪み部114はワークWから離間する方向に窪んでおり、本実施形態ではワークWの上面側の処理対象部分10Aから見て上方(+Y方向)に窪んでいる。また、第1窪み部114は、底部113と、底部113と第1周縁部111とを接続する側部112と、を備える。第1周縁部111は、ワークWの処理対象部分10A以外の少なくとも一部と、離間した状態で対向する。本実施形態では、第1周縁部111は、マスキング部材21、22の一部と、離間した状態で対向する。本実施形態では、側部112と第1周縁部111との接続箇所は、処理対象物10の端部と、同一のYZ平面上に位置している。
第2の型120は、第2窪み部124と、第2窪み部124の外側に第2窪み部124から連続的に設けられた第2周縁部121と、を備える。第2窪み部124は、ワークWの裏側に対応して設けられている。真空容器100内にワークWが配置された状態において、第2窪み部124は、ワークWの下面側の処理対象部分10Aから見て下方(−Y方向)に窪んでいる。第2窪み部124は、底部123と、底部123と第2周縁部121とを接続する側部122と、を備える。第2周縁部121は、ワークWの処理対象部分10A以外の少なくとも一部と、離間した状態で対向する。本実施形態では、第2周縁部121は、マスキング部材22の一部と、離間した状態で対向する。第2周縁部121は、第1の型110の第1周縁部111と対応する部分に配置されている。本実施形態では、側部122と第2周縁部121との接続箇所は、処理対象物10の端部と、同一のYZ平面上に位置している。本実施形態において、第1周縁部111及び第2周縁部121は、XZ平面と平行である。
第1の型110及び第2の型120は、真空容器100内にガス供給装置80からガスを供給するための供給口81と、真空容器100内を排気装置90によって排気するための排気口91と、を備える。供給口81及び排気口91には、開閉可能な弁が設けられている。また、第2の型120は、ワークWと真空容器100との間に電圧を印加するための導入部71を備える。第2の型120と導入部71との間は、絶縁部材35によって電気的に絶縁されている。本実施形態において、真空容器100は、アース電位を有している。
図3は、プラズマ処理装置200の部分拡大断面図である。図3には、図1のX1部分が示されている。図3に示すように、第1周縁部111のうち、ワークWと対向する部位は、絶縁層41によって覆われている。本実施形態では、絶縁層41は、第1周縁部111のうち、マスキング部材21、22と対向する部位と、絶縁部材30と対向する部位と、を連続的に覆う。また、本実施形態において、第2周縁部121のうち、ワークWと対向する部位は、絶縁層42で覆われている。本実施形態では、絶縁層42は、第2周縁部121のうち、マスキング部材21、22と対向する部位、絶縁部材30と対向する部位、及び、パレット130の一部と対向する部位を、連続的に覆う。絶縁層41は、第1周縁部111の表面に対する絶縁物のコーティングによって形成されており、絶縁層42は、第2周縁部121の表面に対する絶縁物のコーティングによって形成されている。コーティングは、絶縁物の溶射、塗装、噴射、蒸着等によって行うことができる。本実施形態では、絶縁層41、42のY方向に沿った厚さは、約400μmである。絶縁層41、42のY方向に沿った厚さは、絶縁性を十分に確保する観点から、300μm以上であることが好ましい。また、絶縁層41、42のY方向に沿った厚さは、コーティングによって形成された絶縁層41、42が第1周縁部111、第2周縁部121から剥離することを抑制する観点から、500μm以下であることが好ましい。本実施形態では、絶縁層41、42は高純度の酸化アルミニウムであるホワイトアルミナにより形成されている。絶縁層41、42に用いられる絶縁物は、例えば、酸化アルミニウム、酸化シリコン、酸化ジルコニウム、酸化マグネシウム、酸化チタン、又はこれらを2つ以上用いたものであってもよい。
図1及び図2に戻り、マスキング部材21、22は、処理対象物10の非処理対象部分10Bを覆う部材である。言い換えると、マスキング部材21、22は、処理対象物10の処理対象部分10Aにおいて開口する部材である。本実施形態では、マスキング部材21(上側マスキング部材21)は、処理対象物10の第1の型110側に配置されている。マスキング部材22(下側マスキング部材22)は、処理対象物10の第2の型120側に配置されている。本実施形態において、下側マスキング部材22は、処理対象物10を支持する。本実施形態において、マスキング部材21、22は、一部が第1窪み部114内及び第2窪み部124内に配置され、他の部分が第1周縁部111と第2周縁部121の間に配置されている。マスキング部材21、22は、導電性を有している。マスキング部材21、22は、ワークWと同じ材料によって形成されていてもよい。処理対象物10とマスキング部材21、22とは、接触することにより電気的に接続されている。なお、本実施形態において、図1〜図4に示すように、非処理対象部分10Bは、処理対象物10の外周に位置しており、マスキング部材21、22は処理対象物10の外周部分を覆っているが、例えば、非処理対象部分10Bが処理対象物10の中央部分の一部にある場合には、マスキング部材21、22は当該中央部分の一部を覆う形状であってもよい。
絶縁部材30は、第1周縁部111と第2周縁部121との間に配置され、ワークWのうちの下側マスキング部材22と接触する。絶縁部材30は、下側マスキング部材22に接触して下側マスキング部材22を支持する。絶縁部材30は、例えば、酸化アルミニウム、酸化シリコン、酸化ジルコニウム、酸化マグネシウム、酸化チタン、又はこれらを2つ以上用いたもの等で形成されている。
パレット130は、導電性の板状部材である。パレット130は、ワークWを真空容器100内に搬送する部材でもある。パレット130は、第1周縁部111と第2周縁部121との間に配置される。本実施形態では、パレット130には、絶縁部材30、下側マスキング部材22、処理対象物10及び上側マスキング部材21が、この順に+Y方向に積載されており、パレット130は、絶縁部材30を介してワークWを保持する。本実施形態では、パレット130は、真空容器100が閉じられた状態において真空容器100外に露出する縁部130tを有する。縁部130tは、後述する搬送装置55がパレット130を搬送する際に、パレット130に接触する部分である。本実施形態において、パレット130は、アース電位を有している。パレット130は、例えば、アルミニウム、ステンレスやチタン等により構成される。
シール部材61、62は、第1周縁部111と第2周縁部121との間に配置され、パレット130に接触する。シール部材61、62は、真空容器100内の気密を保つための部材である。シール部材61、62は、絶縁性の部材であり、本実施形態ではゴム製の環状部材である。本実施形態では、シール部材61、62は、オーリングを用いている。本実施形態では、シール部材61は第1の型110に設けられた溝部に嵌め込まれている。シール部材62は、第2の型120に設けられた溝部に嵌め込まれている。本実施形態において、シール部材61、62、パレット130は、第1周縁部111及び第2周縁部121に対してワークWを離間しかつ絶縁して保持する保持部材でもある。
開閉装置50は、真空容器100を開閉するための装置である。本実施形態では、開閉装置50は、第1の型110を+Y方向に移動させて真空容器100を開き、第1の型110を−Y方向に移動させて真空容器100を閉じる。
搬送装置55は、パレット130を真空容器100内へ搬送し、パレット130を真空容器100外へ搬送するための装置である。本実施形態では、搬送装置55は、パレット130の縁部130tに接触して、真空容器100が開いた状態において、パレット130及びパレット130に積載された絶縁部材30、マスキング部材21、22、処理対象物10を真空容器100内に搬送する。また、搬送装置55は、搬送したパレット130を下方に移動させることによってパレット130をシール部材62を介して第2の型120上に設置する。また、搬送装置55は、上方に移動させたパレット130をXZ平面に沿って移動させて真空容器100外へ搬送することも可能である。
電圧印加部70は、ワークWと真空容器100との間に電圧を印加するための装置である。電圧印加部70は、真空容器100内に供給された原料ガスをプラズマ化するための電場を生成する。本実施形態では、導入部71とワークW(処理対象物10及びマスキング部材21、22)は陰極であり、第1の型110、第2の型120及びパレット130は陽極である。本実施形態では、電圧印加部70は、ワークWと真空容器との間にバイアス電圧を印加する。電圧印加部70は、例えば、導入部71を介してワークWと真空容器100との間に−3000Vの電圧を印加することができる。なお、本実施形態では、真空容器100及びパレット130はアース(0V)に接続されている。
ガス供給装置80は、供給口81を介して、真空容器100内にキャリアガス及び原料ガスを供給する。本実施形態では、ガス供給装置80は、キャリアガスとして例えば窒素(N)ガスやアルゴン(Ar)ガスを供給し、原料ガスとして例えばピリジン(CN)ガスを供給する。ガス供給装置80は、異なる種類のガスを貯留するタンクと接続されている。ガス供給装置80は、各タンクと供給口81との間に設けられた切替弁が操作されることにより、供給口81に供給されるガスの種類を切り替えることが可能である。また、ガス供給装置80は、真空容器100内の圧力を、開閉装置50が真空容器100を開くことが可能な程度の圧力に戻すために、プラズマ処理装置200による成膜後やエッチング後に真空容器100内に例えば窒素ガスを供給して真空容器100を復圧する。
排気装置90は、排気口91を介して、真空容器100内を排気する。排気装置90は、例えば、ロータリポンプや拡散ポンプ、ターボ分子ポンプ等により構成される。
制御部95は、プラズマ処理装置200全体の動作を制御する。制御部95は、CPUとメモリーとを含む。CPUは、メモリーに格納されたプログラムを実行することによって、プラズマ処理装置200の制御を行う。このプログラムは、各種記録媒体に記録されていてもよい。例えば、制御部95は、開閉装置50を制御して真空容器100を開き、搬送装置55を制御してパレット130を搬送する。真空容器100内にパレット130が搬送された後、制御部95が真空容器100を閉じると、シール部材61、62がパレット130に接触することによって、第1周縁部111及び第2周縁部121に対してワークWが離間される。また、制御部95は、排気装置90を制御して真空容器100内を排気し、ガス供給装置80を制御して真空容器100内にガスを供給し、電圧印加部70を制御してワークWと真空容器100との間に電圧を印加する。
図4は、プラズマ処理装置200の部分拡大断面図である。図4には、図1に破線で示したX1部分が示されている。説明の便宜上、図4においては、図3で示した絶縁層41、42は図示を省略している。図4には、ワークWと絶縁部材30とが接触する箇所(接触点P1)と、ワークWと絶縁部材30とが接触する箇所(接触点P2)と、が示されている。接触点P1は、ワークWと絶縁部材30とが接触する箇所のうち、第1周縁部111に対向する箇所である。接触点P1は、プラズマ処理装置200の断面(図4)において、ワークWと絶縁部材30とが接触する箇所のうち、第1周縁部111に最も近い接触箇所である。接触点P2は、ワークWと絶縁部材30とが接触する箇所のうち、第2周縁部121に対向する箇所である。接触点P2は、プラズマ処理装置200の断面(図4)において、ワークWと絶縁部材30とが接触する箇所のうち、第2周縁部121に最も近い接触箇所である。図4にはさらに、接触点P1と第1周縁部111との距離A1と、ワークWと第1窪み部114の底部113との距離B1と、が示されている。距離A1は、ワークWと絶縁部材30との接触箇所と、第1周縁部111との最短距離である。距離B1は、第1窪み部114と対向するワークWと、第1窪み部114の底部113との距離であり、第1窪み部114の底部113とワークWとの最短距離である。また、図4には、接触点P2と第2周縁部121との距離A2と、ワークWと第2窪み部124の底部123との距離B2と、が示されている。距離A2は、ワークWと絶縁部材30との接触箇所と、第2周縁部121との最短距離である。距離B2は、第2窪み部124と対向するワークWと、第2窪み部124の底部123との距離であり、第2窪み部124の底部123とワークWとの最短距離である。プラズマ処理装置200において、距離A1は距離B1よりも小さい。言い換えると、ワークWと第1周縁部111とで形成される空間は、ワークWと第1窪み部114とで形成される空間よりも小さい。また、本実施形態では、距離A2は、距離B2よりも小さい。言い換えると、ワークWと第2周縁部121とで形成される空間は、ワークWと第2窪み部124とで形成される空間よりも小さい。
距離A1及び距離A2は、ワークWと真空容器100との間に電圧を印加した場合に、ワークWと真空容器100(第1周縁部111、第2周縁部121)との間に形成されるシースの距離よりも短い。本実施形態では、距離A1及び距離A2は、2.0mm以下である。なお、真空容器100とワークWとの絶縁性を十分に保つ観点から、距離A1及び距離A2は、0.5mmよりも大きいことが好ましい。
図4には、さらに、第1窪み部114と第1周縁部111との接続箇所Q1及び第2窪み部124と第2周縁部121との接続箇所Q2から接触点P1、P2までのX軸に沿った最短距離Cが示されている。距離Cは、第1窪み部114の側部112及び第2窪み部124の側部122から、接触点P1、P2までのX軸に沿った最短距離でもある。本実施形態では、距離Cは、0(ゼロ)よりも大きい。本実施形態では、距離Cは、10mm以上である。
図5は、プラズマ処理装置200によるワークWのプラズマ処理方法について示す工程図である。以下では、プラズマ処理装置200により処理対象物10の処理対象部分10Aに成膜を行う方法を例に挙げて説明する。プラズマ処理装置200による成膜では、まず、ワークWが真空容器100内に搬送される搬送工程が行われる(ステップS10)。本実施形態では、パレット130上に、絶縁部材30、下側マスキング部材22、処理対象物10が積載され、さらに、処理対象物10の上に上側マスキング部材21が積載される。こうすることによって、処理対象物10の非処理対象部分10Bが、マスキング部材21、22によって覆われる。その後、真空容器100の第1の型110が開閉装置50によって+Y方向に移動され、絶縁部材30、マスキング部材21、22及び処理対象物10が積載されたパレット130が、搬送装置55によって真空容器100内に搬送される。搬送されたパレット130は、シール部材62を介して第2の型120上に配置される。搬送工程では、パレット130が第2の型120上に配置されると、真空容器100が閉じられる。本実施形態では、開閉装置50によって第1の型110が−Y方向に移動される。真空容器100が閉じられると、シール部材61、62がパレット130に接触し、ワークWと第1周縁部111及び第2周縁部121が離間される。こうすることによって、ワークWと第1周縁部111との間に隙間が形成され、ワークWと第2周縁部121との間に隙間が形成される。また、接触点P1と第1周縁部111との距離A1は、ワークWと第1窪み部114との距離B1よりも小さくなる。接触点P2と第2周縁部121との距離A2は、ワークWと第2窪み部124との距離B2よりも小さくなる。
次に、真空容器100内のガスが排気される排気工程が行われる(ステップS20)。本実施形態では、プラズマ処理装置200は、例えば、窒素ガス雰囲気に設置されている。排気工程では、排気装置90によって排気口91を介して真空容器100内の窒素ガスが排気され、真空容器100内が真空化される。
次に、電圧印加工程が行われる(ステップS30)。電圧印加工程では、ガス供給装置80により供給口81を介して真空容器100の内部にガスが供給されるとともに、電圧印加部70によりワークWと真空容器100との間に電圧が印加されて、第1窪み部114内及び第2窪み部124内にプラズマが発生する。電圧印加工程では、真空容器100内が高温化する。
本実施形態では、電圧印加工程は、昇温・エッチング工程と、第1層形成工程と、堆積工程と、を含む。昇温・エッチング工程は、ワークWを昇温させるとともに、ワークWに付着した水分等を除去する工程である。第1層形成工程は、昇温・エッチング工程の後に、成膜速度が比較的遅くなるように、電圧印加部70、ガス供給装置80を制御してワークWに緻密な層を形成するための工程である。堆積工程は、第1層の上に、第1層の形成よりも早い成膜速度で膜を堆積させる工程である。例えば、昇温・エッチング工程では、アルゴンガスが供給される。第1層形成工程及び堆積工程では、キャリアガスとして、例えば、水素ガス及びアルゴンガスが供給され、原料ガスとして、窒素ガス及びピリジンガスが供給されて、処理対象物10の処理対象部分10Aに薄膜が形成される。電圧印加工程が終了すると、ガスの供給と電圧の印加とが停止される。
次に、真空容器100内の圧力が調整される復圧工程が行われる(ステップS40)。本実施形態では、真空容器100内の圧力を、開閉装置50によって真空容器100を開くことが可能な程度の圧力に戻すために、ガス供給装置80によって真空容器100内に窒素ガスが供給される。なお、真空容器100内の圧力が調整されると、第1の型110が開閉装置50によって+Y方向に移動され、搬送装置55によって絶縁部材30、マスキング部材21、22及び処理対象物10が積載されたパレット130が、真空容器100から搬出される。以上のようにしてプラズマ処理装置200による一連のプラズマ処理が終了する。
プラズマ処理装置200では、真空容器100とワークWとを電極として、真空容器100とワークWとの間に電圧を印加するので、真空容器100内に別途電極を配置せずに、第1窪み部114内及び第2窪み部124内で、ワークWの処理対象部分10Aを成膜又はエッチングすることができる。電極である第1周縁部111とワークWとの距離は、第1周縁部111とワークWとの間で火花放電やグロー放電といった異常放電の発生を抑制可能な距離に調整されることが好ましい。第1周縁部111とワークWとの間で異常放電が発生すると、ワークWに対する成膜やエッチングが正常に行われないためである。しかし、異常放電の発生を抑制可能な距離は、プラズマ処理時の圧力、電圧により異なる。また、プラズマ処理時に真空容器100内が高温化することにより、真空容器100やワークWに歪みが生じる場合がある。そのため、異常放電の発生を抑制可能なように真空容器100を設計することが困難な場合がある。同様の問題は、第2周縁部121とワークWとの間でも生じ得る。
本実施形態のプラズマ処理装置200によれば、第1周縁部111のうち、ワークWと対向する部位は、絶縁層41で覆われているので、ワークWと真空容器100との間に電圧を印加してワークWにプラズマ処理を行いつつ、第1周縁部111とワークWとの間で異常放電が発生することを抑制することができる。同様に、第2周縁部121のうち、ワークWと対向する部位は、絶縁層42で覆われているので、ワークWと真空容器100との間に電圧を印加してワークWにプラズマ処理を行いつつ、第2周縁部121とワークWとの間で異常放電が発生することを抑制することができる。
また、本実施形態のプラズマ処理装置200によれば、第1窪み部114及び第2窪み部124を備えるので、ワークWの表側及び裏側をプラズマ処理しつつ、ワークWと第1周縁部111及びワークWと第2周縁部121との間で異常放電が発生することを抑制することができる。
また、本実施形態のプラズマ処理装置200では、真空容器100が閉じられた状態において、ワークWと接触する絶縁部材30は第1の型110の第1周縁部111と第2の型120の第2周縁部121との間に配置され、ワークWと絶縁部材30との接触点(接触箇所)P1と、第1周縁部111と、の距離A1は、ワークWと第1窪み部114の底部113との距離B1よりも小さいため、ワークWと第1周縁部111とで形成される空間に第1窪み部114や第2窪み部124からプラズマが侵入することが抑制される。そのため、接触点P1におけるプラズマの量が低減されるので、ワークWと絶縁部材30との接触箇所における異常放電の発生を抑制することができる。
同様に、ワークWと絶縁部材30との接触点(接触箇所)P2と、第2周縁部121と、の距離A2は、ワークWと第2窪み部124の底部123との距離B2よりも小さいため、ワークWと第2周縁部121とで形成される空間に第2窪み部124や第1窪み部114からプラズマが侵入することが抑制される。そのため、接触点P2におけるプラズマの量が低減されるので、異常放電の発生を抑制することができる。
また、第1窪み部114と第1周縁部111との接続箇所Q1及び第2窪み部124と第2周縁部121との接続箇所Q2から、絶縁部材30までのX軸に沿った距離Cは0(ゼロ)よりも大きいため、第1窪み部114及び第2窪み部124で形成されるプラズマが発生する空間と、ワークWと絶縁部材30との接触点P1、P2とが離れている。そのため、接触点P1、P2におけるプラズマの量がより低減されるので、異常放電の発生をより抑制することができる。
また、プラズマ処理装置200において、ワークWの処理対象部分10Aは第1窪み部114内の空間及び第2窪み部124内の空間に向けられており、ワークWの端部(マスキング部材22の端部)とは、第1周縁部111と第2周縁部121との間に位置している。そのため、ワークW全体をプラズマが発生する空間内に収容する場合と比較して、プラズマ処理装置200を小型化することができる。また、プラズマ処理装置200では、プラズマ処理のために排気が行われる空間が小さいので、排気に要する時間を短くすることができ、ワークWにプラズマ処理を行うために要する時間を短くすることができる。
・第2実施形態
図6は、第2実施形態におけるプラズマ処理装置200aの部分拡大断面図である。図6には、プラズマ処理装置200aにおいて、図1に示すX1に対応する箇所が示されている。第2実施形態のプラズマ処理装置200aが第1実施形態のプラズマ処理装置200と異なる点は、絶縁層41aが、第1周縁部111のうちワークWと対向する部位に加え、側部112の表面を覆う点である。また、絶縁層42aが、第2周縁部121のうちワークWと対向する部位に加え、側部122の表面を覆う点である。絶縁層41aは、第1周縁部111及び側部112に対する絶縁物のコーティングによって形成されており、絶縁層42aは、第2周縁部121及び側部122に対する絶縁物のコーティングによって形成されている。プラズマ処理装置200aのその他の構成は、第1実施形態のプラズマ処理装置200と同様であるため、説明を省略する。
本実施形態のプラズマ処理装置200aによれば、第1実施形態の効果を奏するのに加え、第1周縁部111に次いでワークWに近接する電極部分である側部112と、ワークWと、の間で異常放電が発生することを抑制することができる。同様に、第2周縁部121に次いでワークWに近接する電極部分である側部122と、ワークWと、の間で異常放電が発生することを抑制することができる。
なお、図6に示すように、本実施形態では、絶縁層41aは、側部112の表面全体を覆っているが、絶縁層41aは、側部112の表面のうち一部を覆っていてもよい。この場合には、絶縁層41aは、少なくとも第1周縁部111との接続箇所、すなわち、角部を覆っていることが好ましい。絶縁層41aは、底部113に近い側(+Y方向側)を覆っていなくともよい。同様に、絶縁層42aは、側部122の表面のうち一部を覆っていてもよく、この場合には、絶縁層42aは、少なくとも第2周縁部121との接続箇所、すなわち、角部を覆っていることが好ましい。このようにすれば、角部で発生する異常放電を抑制することができる。絶縁層42aは、底部123に近い側(−Y方向側)に配置されていなくともよい。このようにすれば、側部112、122とワークWとの間の異常放電の発生を抑制しつつ、電極面積を確保することができる。側部112、122のうち、絶縁層41a、42aで覆われる箇所は、プラズマ処理によるワークWの異常放電痕の発生の有無と、絶縁層41a、42aの配置箇所と、の関係を実験やシミュレーションにより求めることで決定されてもよい。
また、第1周縁部111を覆う絶縁層と、側部112を覆う絶縁層と、は異なる絶縁材料により構成されていてもよい。同様に、第2周縁部121を覆う絶縁層と、側部122を覆う絶縁層と、は異なる絶縁材料により構成されていてもよい。
・第3実施形態
以下、第3実施形態から第5実施形態では、プラズマ処理装置の他の構成について説明する。図7は、第3実施形態におけるプラズマ処理装置200mを示す図である。本実施形態においても、第1実施形態と同様に第1周縁部111m、第2周縁部121mのうち、ワークWと対向する部位は、絶縁層41、42で覆われている。第3実施形態のプラズマ処理装置200mが第1実施形態のプラズマ処理装置200と異なる点は、第1の型110mの第1窪み部114mと第1周縁部111mとの接続箇所Q1及び第2の型120mの第2窪み部124mと第2周縁部121mとの接続箇所Q2から、ワークWと絶縁部材30との接触点P1、P2までの第1周縁部111mに沿った最短距離が、0(ゼロ)である点である。本実施形態では、真空容器100mにおける接続箇所Q2と接触点P2とは、同一のYZ平面に位置している。また、上述の第1実施形態と同様に、接触点P1と第1周縁部111mとの距離は、ワークWと第1窪み部114mの底部113mとの距離よりも小さい。また、接触点P2と第2周縁部121mとの距離は、ワークWと第2窪み部124mの底部123mとの距離よりも小さい。本実施形態のプラズマ処理装置200mによっても、上述の第1実施形態と同様に、異常放電の発生を抑制することができる。
・第4実施形態
図8は、第4実施形態におけるプラズマ処理装置200bを示す図である。本実施形態のプラズマ処理装置200bは、第1実施形態のプラズマ処理装置200とは異なり、ワークWの片側のみにプラズマ処理を行う。真空容器100bは、第2の型120を備えておらず、パレット130b上に絶縁部材30bが接触し、絶縁部材30b上に下側マスキング部材22bが接触し、下側マスキング部材22b上に処理対象物10の裏側の全面が接触し、処理対象物10の表側の非処理対象部分10B上に上側マスキング部材21bが接触する。プラズマ処理装置200bでは、絶縁性のシール部材61が保持部材に相当する。本実施形態においても、第1実施形態と同様に、第1周縁部111のうち、ワークWと対向する部位は絶縁層41bで覆われている。また、本実施形態においても、ワークWと絶縁部材30bとの接触箇所P1bと、第1周縁部111と、の距離は、ワークWと第1窪み部114の底部113との距離よりも小さい。第4実施形態におけるプラズマ処理装置200bのその他の構成は第1実施形態と同様である。本実施形態のプラズマ処理装置200bによっても、上述の第1実施形態と同様に、異常放電の発生を抑制することができる。
・第5実施形態
図9は、第5実施形態におけるプラズマ処理装置200nを示す図である。プラズマ処理装置200nは、パレット130、絶縁部材30を備えておらず、ワークWが搬送装置55によって真空容器100内に搬送される。本実施形態では、ワークWは処理対象物10nであり、上述の実施形態と異なりマスキング部材21、22を含んでいない。処理対象部分10nAは、第1窪み部114内及び第2窪み部124内に配置され、非処理対象部分10nBは、第1周縁部111と第2周縁部121との間に配置される。プラズマ処理装置200nでは、絶縁性のシール部材61n、62nが、第1周縁部111と第2周縁部121との間でワークWに接触し、ワークWを第1周縁部111と第2周縁部121から離間しつつ絶縁して保持する。プラズマ処理装置200nでは、シール部材61n、62nが保持部材に相当する。シール部材61nは、第1の型110の第1周縁部111及び処理対象物10nの非処理対象部分10nBに接触している。シール部材62nは、第2の型120の第2周縁部121及び非処理対象部分10nBに接触している。本実施形態においても、第1実施形態と同様に第1周縁部111のうち、ワークWと対向する部位は、絶縁層41nで覆われており、第2周縁部121のうち、ワークWと対向する部位は、絶縁層42nで覆われている。また、図9には、ワークWとシール部材61nとの接触点P1nと、ワークWとシール部材62nとの接触点P2nと、が示されている。本実施形態においても、上述の実施形態と同様に、接触点P1nと第1周縁部111との距離は、ワークWと第1窪み部114の底部113との距離よりも小さい。また、接触点P2nと第2周縁部121との距離は、ワークWと第2窪み部124の底部123との距離よりも小さい。本実施形態のプラズマ処理装置200nによっても、上述の第1実施形態と同様に異常放電の発生を抑制することができる。
・第6実施形態
上述の種々の実施形態における、絶縁層41、42、41a、42a、41n、42nは、真空容器100、100b、100mから取り外し可能なように構成されていてもよい。例えば、凸部を有し、焼結された絶縁体を用意する。この絶縁体の凸部が嵌め込み可能なように、第1周縁部111、111m、第2周縁部121、121mに凹部を設けてもよい。こうすることによって、ワークWのうち、第1周縁部111、111m、第2周縁部121、121mと対向する部位が、絶縁層で覆われるようにしてもよい。側部112、122についても、絶縁体の凸部が嵌め込み可能な凹部を設けてもよい。また、絶縁体と、第1周縁部111、111m、第2周縁部121、121mと、に固定用の螺旋穴を設け、焼結された絶縁体と、第1周縁部111、111m、第2周縁部121、121mとを、絶縁性の螺旋で固定するようにしてもよい。同様に、絶縁体と側部112、122とに固定用の螺旋穴を儲け、焼結された絶縁体と、側部112、122とを、絶縁性の螺旋で固定するようにしてもよい。
このようにすれば、プラズマ処理時に発生する異物等が絶縁層の表面に付着した場合であっても、真空容器100、100b、100mから絶縁層を取り外して交換・清掃することができる。そのため、絶縁層の表面に異物が堆積することによる異常放電の発生を抑制することができる。
なお、第2実施形態の絶縁層41a、42aを、真空容器100から取り外し可能なように構成する場合には、第1周縁部111を覆う絶縁層と、側部112を覆う絶縁層と、は一体に構成されていなくともよく、第1周縁部111を覆う絶縁層と、側部112を覆う絶縁層と、は分離可能であってもよい。同様に、第2周縁部121を覆う絶縁層と、側部122を覆う絶縁層と、は一体に構成されていなくともよく、第2周縁部121を覆う絶縁層と、側部122を覆う絶縁層と、は分離可能であってもよい。
・その他の実施形態
上述の第1実施形態から第4実施形態において、絶縁層41、41bは、第1周縁部111、111mのうち、ワークWと対向する部位に形成されていればよく、絶縁部材30、30bに対向する部位に形成されていなくともよい。同様に、絶縁層42は、第2周縁部121、121mのうち、ワークWと対向する部位に形成されていればよく、絶縁部材30やパレット130に対向する部位に形成されていなくともよい。このようにしても、ワークWと真空容器100、100mとの間に電圧を印加してワークWをプラズマ処理しつつ、ワークWと第1周縁部111、111mとの間で異常放電が発生することを抑制することができる。また、ワークWと第2周縁部121、121mとの間で異常放電が発生することを抑制することができる。
上述の実施形態では、真空容器100、100b、100m、及びパレット130はアース電位であるが、真空容器100、100b、100m、及びパレット130はアース電位でなくてもよい。電圧印加部70は真空容器100、100b、100m、とワークWとの間に、ワークWをプラズマ処理するための電圧を印加できればよく、原料ガスによっては、ワークWと真空容器100との間に正(プラス)の電圧を印加してもよい。
上述の実施形態において、処理対象物10、10nは、セパレータに限らず、燃料電池に用いられる集電板や、他の導電性部材であってもよい。プラズマ処理装置200、200a、200b、200m、200nは、炭素系薄膜以外の他の種類の薄膜をワークWに成膜してもよく、例えば、金や、白金、タンタルなどの金属元素の薄膜を成膜してもよい。また、プラズマ処理装置200、200a、200b、200m、200nは、例えば、アルゴンガスや、塩素ガスを用いて、ワークWにエッチングを行ってもよい。
上述の実施形態において、第1窪み部114、114m、第2窪み部124、124mは、側部と、底部とが区分されていなくともよい。第1窪み部114、114m、第2窪み部124、124mは、例えば、半球状であってもよい。この場合には、窪み部のうち、周縁部と接続する箇所及びその近傍が連続的に絶縁層で覆われていてもよい。
本開示は、上述の実施形態や変形例に限られるものではなく、その趣旨を逸脱しない範囲において種々の構成で実現することができる。例えば、発明の概要の欄に記載した各形態中の技術的特徴に対応する実施形態や変形例中の技術的特徴は、上述の課題の一部又は全部を解決するために、あるいは、上述の効果の一部又は全部を達成するために、適宜、差し替えや、組合せを行うことが可能である。また、前述した実施形態及び各変形例における構成要素の中の、独立請求項で記載された要素以外の要素は、付加的な要素であり、適宜省略可能である。
10、10n…処理対象物
10A、10nA…処理対象部分
10B、10nB…非処理対象部分
21、22、21b、22b…マスキング部材
30、30b絶縁部材
35…絶縁部材
41、42、41a、42a、41b、41n、42n…絶縁層
50…開閉装置
55…搬送装置
61、61n、62、62n…シール部材
70…電圧印加部
71…導入部
80…ガス供給装置
81…供給口
90…排気装置
91…排気口
95…制御部
100、100b、100m…真空容器
110、110m…第1の型
111、111m…第1周縁部
112…側部
113、113m…底部
114、114m…第1窪み部
120、120m…第2の型
121、121m…第2周縁部
122…側部
123、123m…底部
124、124m…第2窪み部
130、130b…パレット
130t…縁部
200、200a、200b、200m、200n…プラズマ処理装置
A1、A2、B1、B2、C…距離
P1、P1b、P1n、P2、P2n…接触点
Q2…接続箇所
W…ワーク

Claims (4)

  1. 導電性を有する平板状のワークにプラズマ処理を行うプラズマ処理装置であって、
    前記ワークの少なくとも片側の処理対象部分が配置される窪み部と、前記窪み部の外側に前記窪み部から連続的に設けられた周縁部と、を有する導電性の真空容器と、
    前記周縁部に対して、前記ワークを離間しかつ絶縁して保持する保持部材と、
    前記ワークと前記真空容器との間に電圧を印加する電圧印加部と、
    前記周縁部のうち、前記ワークと対向する部位を覆う絶縁層と、を備える、
    プラズマ処理装置。
  2. 請求項1に記載のプラズマ処理装置であって、
    前記窪み部は、底部と、前記底部と前記周縁部とを接続する側部と、を備え、
    前記絶縁層は、さらに、前記側部を覆う、プラズマ処理装置。
  3. 請求項1又は請求項2に記載のプラズマ処理装置であって、
    前記絶縁層は、前記真空容器から取り外し可能である、プラズマ処理装置。
  4. 請求項1から請求項3までのいずれか一項に記載のプラズマ処理装置であって、
    前記窪み部は、前記ワークの表側と裏側とに対応して設けられた第1窪み部と第2窪み部と、を有する、プラズマ処理装置。
JP2017183356A 2017-09-25 2017-09-25 プラズマ処理装置 Active JP6863199B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2017183356A JP6863199B2 (ja) 2017-09-25 2017-09-25 プラズマ処理装置
DE102018118916.8A DE102018118916B4 (de) 2017-09-25 2018-08-03 Plasmaprozessgerät
US16/058,362 US11315767B2 (en) 2017-09-25 2018-08-08 Plasma processing apparatus
CN201811072892.0A CN109554688B (zh) 2017-09-25 2018-09-14 等离子处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017183356A JP6863199B2 (ja) 2017-09-25 2017-09-25 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2019061771A JP2019061771A (ja) 2019-04-18
JP6863199B2 true JP6863199B2 (ja) 2021-04-21

Family

ID=65638261

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017183356A Active JP6863199B2 (ja) 2017-09-25 2017-09-25 プラズマ処理装置

Country Status (4)

Country Link
US (1) US11315767B2 (ja)
JP (1) JP6863199B2 (ja)
CN (1) CN109554688B (ja)
DE (1) DE102018118916B4 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170309455A1 (en) * 2016-04-25 2017-10-26 Toyota Jidosha Kabushiki Kaisha Plasma apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
JP7387718B2 (ja) 2019-03-27 2023-11-28 住友建機株式会社 建設機械、支援システム

Family Cites Families (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389970A (en) 1981-03-16 1983-06-28 Energy Conversion Devices, Inc. Apparatus for regulating substrate temperature in a continuous plasma deposition process
US4379181A (en) 1981-03-16 1983-04-05 Energy Conversion Devices, Inc. Method for plasma deposition of amorphous materials
US4542711A (en) 1981-03-16 1985-09-24 Sovonics Solar Systems Continuous system for depositing amorphous semiconductor material
US4369730A (en) 1981-03-16 1983-01-25 Energy Conversion Devices, Inc. Cathode for generating a plasma
JPS57204547A (en) 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
US4718340A (en) 1982-08-09 1988-01-12 Milliken Research Corporation Printing method
JPS60196942A (ja) 1984-03-21 1985-10-05 Hitachi Ltd フオトマスク欠陥修正方法
US4915057A (en) 1985-10-23 1990-04-10 Gte Products Corporation Apparatus and method for registration of shadow masked thin-film patterns
US4920917A (en) 1987-03-18 1990-05-01 Teijin Limited Reactor for depositing a layer on a moving substrate
JPH06459Y2 (ja) 1988-06-15 1994-01-05 新日本無線株式会社 縦型エピタキシャル成長装置
US5063951A (en) 1990-07-19 1991-11-12 International Business Machines Corporation Fluid treatment device
JPH05163575A (ja) * 1991-12-12 1993-06-29 Nec Corp 薄膜の形成方法
DE4301189C2 (de) 1993-01-19 2000-12-14 Leybold Ag Vorrichtung zum Beschichten von Substraten
US5354413A (en) 1993-03-18 1994-10-11 Advanced Micro Devices, Inc. Electrode position controller for a semiconductor etching device
JPH07199450A (ja) 1993-12-28 1995-08-04 Kyodo Printing Co Ltd 小型の金属薄膜形成装置
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US6004617A (en) 1994-10-18 1999-12-21 The Regents Of The University Of California Combinatorial synthesis of novel materials
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH09190899A (ja) * 1996-01-11 1997-07-22 Hitachi Ltd プラズマ処理方法及びその装置
JPH1021586A (ja) 1996-07-02 1998-01-23 Sony Corp Dcスパッタリング装置
JP3113836B2 (ja) * 1997-03-17 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
EP1209721B1 (en) * 1997-10-10 2007-12-05 European Community Inductive type plasma processing chamber
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
WO2001066817A1 (en) 2000-03-09 2001-09-13 Semix Incorporated Wafer processing apparatus and method
US7141757B2 (en) 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
JP4439665B2 (ja) 2000-03-29 2010-03-24 株式会社半導体エネルギー研究所 プラズマcvd装置
WO2001076326A1 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
US6432577B1 (en) 2000-06-29 2002-08-13 Sandia Corporation Apparatus and method for fabricating a microbattery
WO2002007184A2 (en) 2000-07-13 2002-01-24 Tokyo Electron Limited Adjustable segmented electrode apparatus and method
DE10034083C1 (de) 2000-07-13 2002-03-14 Infineon Technologies Ag Halbleiterspeicher mit wahlfreiem Zugeriff mit reduziertem Signalüberkoppeln
AU2001279189A1 (en) 2000-08-08 2002-02-18 Tokyo Electron Limited Plasma processing method and apparatus
TW533503B (en) 2000-09-14 2003-05-21 Nec Electronics Corp Processing apparatus having particle counter and cleaning device, cleaning method, cleanliness diagnosis method and semiconductor fabricating apparatus using the same
TWI221625B (en) 2000-10-30 2004-10-01 Hitachi Ltd Control apparatus for machine using plasma
US6706142B2 (en) 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
JP2002208563A (ja) 2001-01-09 2002-07-26 Ebara Corp 被加工物の加工装置及び加工方法
JP3890258B2 (ja) 2001-05-28 2007-03-07 キヤノン株式会社 電子源の製造方法、および、電子源の製造装置
KR100848972B1 (ko) 2001-08-24 2008-07-30 다이니폰 인사츠 가부시키가이샤 진공증착용 다면부착 마스크장치
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US20030168009A1 (en) 2002-03-08 2003-09-11 Denes Ferencz S. Plasma processing within low-dimension cavities
JP4020679B2 (ja) 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
US7927424B2 (en) 2002-04-22 2011-04-19 Stmicroelectronics, Inc. Padded clamp ring with edge exclusion for deposition of thick AlCu/AlSiCu/Cu metal alloy layers
AU2003234287A1 (en) 2002-04-26 2003-11-10 Phifer Smith Corporation Method and apparatus for treating a substrate with an ozone-solvent solution iii
AU2003242104A1 (en) 2002-06-10 2003-12-22 Tokyo Electron Limited Processing device and processing method
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7183716B2 (en) 2003-02-04 2007-02-27 Veeco Instruments, Inc. Charged particle source and operation thereof
WO2004100247A1 (ja) 2003-05-12 2004-11-18 Sosul Co., Ltd. プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステム
KR100585089B1 (ko) 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4418193B2 (ja) * 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US20050133166A1 (en) 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
JP4527431B2 (ja) 2004-04-08 2010-08-18 東京エレクトロン株式会社 プラズマ処理装置
KR100532354B1 (ko) 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
CN101048531A (zh) 2004-07-07 2007-10-03 通用电气公司 基材上的保护涂层及其制备方法
US20060086321A1 (en) 2004-10-22 2006-04-27 Advantech Global, Ltd Substrate-to-mask alignment and securing system with temperature control for use in an automated shadow mask vacuum deposition process
WO2006054663A1 (ja) 2004-11-22 2006-05-26 Sharp Kabushiki Kaisha 基板保持装置および基板処理装置ならびに液晶表示装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
KR20060117794A (ko) 2005-05-13 2006-11-17 엘지전자 주식회사 플라즈마 디스플레이 패널 제조용 열처리 장치
KR100761687B1 (ko) 2005-06-10 2007-09-28 주식회사 뉴파워 프라즈마 용량결합형 플라즈마소스 및 수직형 듀얼 프로세스챔버를구비한 플라즈마처리장치
JP4845447B2 (ja) 2005-07-29 2011-12-28 トヨタ自動車株式会社 はんだ付け装置およびはんだ付けされた装置の製造方法
US20070034228A1 (en) 2005-08-02 2007-02-15 Devitt Andrew J Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP5161450B2 (ja) 2005-09-30 2013-03-13 財団法人高知県産業振興センター プラズマcvd装置及びプラズマ表面処理方法
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US8815014B2 (en) 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP5070716B2 (ja) 2006-03-09 2012-11-14 トヨタ自動車株式会社 セパレータ製造方法およびセパレータ
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US9184043B2 (en) 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7829815B2 (en) 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
US8101052B2 (en) 2006-11-27 2012-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable anode assembly for a substrate wet processing apparatus
US20100075506A1 (en) 2006-12-01 2010-03-25 Sharp Kabushiki Kaisha Apparatus and method for manufacturing semiconductor element and semiconductor element manufactured by the method
WO2008069259A1 (en) 2006-12-05 2008-06-12 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, film formation method, manufacturing apparatus, and method for manufacturing light-emitting device
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
DE102007022431A1 (de) 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
JP4241859B2 (ja) 2007-07-19 2009-03-18 トヨタ自動車株式会社 パワーモジュールの製造方法、パワーモジュール、車両用インバータ、及び車両
JP5077748B2 (ja) 2007-09-06 2012-11-21 富士電機株式会社 成膜装置
JP5329099B2 (ja) 2008-01-22 2013-10-30 株式会社日立ハイテクノロジーズ プラズマ処理装置及びその運転方法
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
TWI501704B (zh) 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8609545B2 (en) 2008-02-14 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve mask critical dimension uniformity (CDU)
US7767986B2 (en) 2008-06-20 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for controlling beam current uniformity in an ion implanter
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US20100123502A1 (en) 2008-07-09 2010-05-20 Bhutta Imran A System for providing a substantially uniform potential profile
US8702902B2 (en) 2008-08-20 2014-04-22 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
EP2159304A1 (en) 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US9099513B2 (en) * 2008-09-08 2015-08-04 Shibaura Mechatronics Corporation Substrate processing apparatus, and substrate processing method
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
DE102009020436A1 (de) 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
KR101191691B1 (ko) 2008-11-14 2012-10-16 가부시키가이샤 알박 유기 박막 증착 장치, 유기 el 소자 제조 장치 및 유기 박막 증착 방법
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8262923B2 (en) 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
CN102272893A (zh) * 2009-01-09 2011-12-07 株式会社爱发科 等离子体处理装置
US8747963B2 (en) 2009-01-23 2014-06-10 Lockheed Martin Corporation Apparatus and method for diamond film growth
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US20100221426A1 (en) 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP5146402B2 (ja) 2009-05-19 2013-02-20 トヨタ自動車株式会社 炭素粒子含有被膜の成膜方法、伝熱部材、パワーモジュール、及び車両用インバータ
WO2010134354A1 (ja) 2009-05-22 2010-11-25 昭和電工Hdシンガポール ピーティイー リミテッド 炭素膜の形成方法、磁気記録媒体の製造方法及び炭素膜の形成装置
US8659335B2 (en) 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
US20110076848A1 (en) 2009-06-30 2011-03-31 Amitava Datta Semiconductor process chamber and seal
US10049859B2 (en) 2009-07-08 2018-08-14 Aixtron Se Plasma generating units for processing a substrate
EP2281921A1 (en) 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US8967082B2 (en) 2009-09-17 2015-03-03 Tokyo Electron Limited Plasma processing apparatus and gas supply device for plasma processing apparatus
JP5589329B2 (ja) 2009-09-24 2014-09-17 豊田合成株式会社 Iii族窒化物半導体からなる半導体装置、電力変換装置
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US20110143019A1 (en) 2009-12-14 2011-06-16 Amprius, Inc. Apparatus for Deposition on Two Sides of the Web
JP5420530B2 (ja) 2009-12-25 2014-02-19 株式会社豊田中央研究所 燃料電池用セパレータおよびその製造方法
JP5321576B2 (ja) 2009-12-25 2013-10-23 株式会社豊田中央研究所 配向性非晶質炭素膜およびその形成方法
JP5606063B2 (ja) 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
EP2362001A1 (en) 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8314561B2 (en) 2010-04-02 2012-11-20 Mks Instruments, Inc. Multi-channel radio frequency generator
WO2011141979A1 (ja) 2010-05-10 2011-11-17 トヨタ自動車株式会社 マスキング治具、基板加熱装置、及び成膜方法
CN103069619B (zh) 2010-08-05 2015-06-03 丰田自动车株式会社 二次电池
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
EP2481832A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481830A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
EP2481833A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
US20140037853A1 (en) 2011-02-18 2014-02-06 Veeco Ald Inc. Depositing thin layer of material on permeable substrate
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
NL2007114C2 (en) 2011-07-14 2013-01-15 Levitech B V Floating substrate monitoring and control device, and method for the same.
CN103094038B (zh) 2011-10-27 2017-01-11 松下知识产权经营株式会社 等离子体处理装置以及等离子体处理方法
EP3029453B1 (en) 2011-11-01 2018-11-14 Sumitomo Rubber Industries, Ltd. Method for evaluating rebound resilience of polymer material
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
TW201332871A (zh) 2011-12-07 2013-08-16 Intevac Inc 高載量太陽能晶圓裝載裝置
US20130153536A1 (en) 2011-12-16 2013-06-20 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US20130176691A1 (en) 2012-01-10 2013-07-11 Hzo, Inc. Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods
FI123320B (en) 2012-02-17 2013-02-28 Beneq Oy Nozzle and nozzle head
KR101623677B1 (ko) 2012-02-23 2016-05-23 도요타 지도샤(주) 금속 피막의 성막 장치 및 성막 방법
JP2013206652A (ja) 2012-03-28 2013-10-07 Nissin Electric Co Ltd アンテナ装置、それを備えるプラズマ処理装置およびスパッタリング装置
NL2008592C2 (en) 2012-04-03 2013-10-07 Solaytec B V Method for producing a photocell.
US10679883B2 (en) 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
TWI518839B (zh) 2012-04-19 2016-01-21 因特瓦克公司 製造太陽能電池使用之雙遮罩配置
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
KR102072872B1 (ko) 2012-04-26 2020-02-03 인테벡, 인코포레이티드 진공 처리용 시스템 아키텍처
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US20130337657A1 (en) 2012-06-19 2013-12-19 Plasmasi, Inc. Apparatus and method for forming thin protective and optical layers on substrates
KR20130142869A (ko) 2012-06-20 2013-12-30 주식회사 엠티에스나노테크 원자층 증착 장치 및 방법
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
AT513190B9 (de) 2012-08-08 2014-05-15 Berndorf Hueck Band Und Pressblechtechnik Gmbh Vorrichtung und Verfahren zur Plasmabeschichtung eines Substrats, insbesondere eines Pressblechs
DE102012016690A1 (de) 2012-08-24 2014-02-27 Mankiewicz Gebr. & Co. Gmbh & Co. Kg Elektronenstrahlhärtbare Inkjet-Tinten und deren Verwendung in Inkjet-Druckverfahren
US20140175055A1 (en) 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. Adjustable coil for inductively coupled plasma
US11326255B2 (en) 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
JP5432396B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
SG10201705205WA (en) 2013-03-14 2017-07-28 Mks Instr Inc Toroidal plasma abatement apparatus and method
NL2010893C2 (en) 2013-05-30 2014-12-02 Solaytec B V Injector head for atomic layer deposition.
US9581741B1 (en) 2013-05-31 2017-02-28 Itn Energy Systems, Inc. Infrared control coating of thin film devices
JP5995205B2 (ja) * 2013-06-26 2016-09-21 パナソニックIpマネジメント株式会社 プラズマ処理装置、および、プラズマ処理方法
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
JP5895929B2 (ja) 2013-12-25 2016-03-30 ウシオ電機株式会社 光照射装置
KR102330725B1 (ko) 2014-01-21 2021-11-23 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
JP6286215B2 (ja) 2014-01-28 2018-02-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10269573B2 (en) 2014-03-31 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Device and method for manufacturing a semiconductor structure
JP5995906B2 (ja) 2014-05-19 2016-09-21 株式会社豊田中央研究所 隔膜の製造方法、及び金属被膜の製造方法
JP6119707B2 (ja) 2014-09-25 2017-04-26 トヨタ自動車株式会社 燃料電池および燃料電池の製造方法
JP6354539B2 (ja) 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
JP6050860B1 (ja) 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
KR102380156B1 (ko) 2015-06-30 2022-03-29 삼성디스플레이 주식회사 플라즈마 화학 기상 증착 장치
US9721758B2 (en) 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
KR102420015B1 (ko) 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US9515633B1 (en) 2016-01-11 2016-12-06 Lam Research Corporation Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers
JP6288116B2 (ja) 2016-01-21 2018-03-07 トヨタ自動車株式会社 シリンダヘッドの製造方法
JP6384493B2 (ja) 2016-01-21 2018-09-05 トヨタ自動車株式会社 シリンダヘッドの製造方法
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
JP6519802B2 (ja) 2016-03-18 2019-05-29 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
TWI647760B (zh) 2016-03-22 2019-01-11 日商東京威力科創股份有限公司 電漿處理系統中之溫度控制用系統及方法
JP6455480B2 (ja) 2016-04-25 2019-01-23 トヨタ自動車株式会社 成膜装置及び成膜方法
US20170309455A1 (en) 2016-04-25 2017-10-26 Toyota Jidosha Kabushiki Kaisha Plasma apparatus
JP6455481B2 (ja) 2016-04-25 2019-01-23 トヨタ自動車株式会社 成膜方法及び成膜装置
JP6394641B2 (ja) 2016-04-25 2018-09-26 トヨタ自動車株式会社 プラズマ装置
US20170335459A1 (en) * 2016-05-17 2017-11-23 Applied Materials, Inc. Non-shadow frame plasma processing chamber
CZ306980B6 (cs) 2016-09-27 2017-10-25 Fyzikální ústav AV ČR, v.v.i. Způsob řízení rychlosti depozice tenkých vrstev ve vakuovém vícetryskovém plazmovém systému a zařízení k provádění tohoto způsobu
US11251019B2 (en) 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
DE102018118916A1 (de) 2019-03-28
CN109554688B (zh) 2020-10-23
US20190096640A1 (en) 2019-03-28
CN109554688A (zh) 2019-04-02
US11315767B2 (en) 2022-04-26
JP2019061771A (ja) 2019-04-18
DE102018118916B4 (de) 2024-03-21

Similar Documents

Publication Publication Date Title
JP6863199B2 (ja) プラズマ処理装置
KR101406524B1 (ko) 플라즈마 생성용 전극 및 플라즈마 처리 장치
KR101986004B1 (ko) 성막 장치 및 성막 방법
CN107385413B (zh) 成膜方法及成膜装置
JP6891788B2 (ja) プラズマ装置
KR101895162B1 (ko) 플라스마 장치
US20170309455A1 (en) Plasma apparatus
JP6939169B2 (ja) 処理装置
JP6859748B2 (ja) 処理装置
JP2019031723A (ja) プラズマ処理装置
JP6969373B2 (ja) プラズマ処理装置
JP2019035105A (ja) プラズマ処理装置
JP2018181458A (ja) プラズマ装置及びセパレータ
JP2018095936A (ja) 処理装置
JP2018090846A (ja) 処理装置
JP2018048351A (ja) 成膜装置
JP2018095938A (ja) プラズマ装置
JP6631450B2 (ja) 処理装置
JP2023131658A (ja) 成膜装置
JP2018141200A (ja) プラズマ装置
JP2017197780A (ja) 成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210315

R151 Written notification of patent or utility model registration

Ref document number: 6863199

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151