JP2014239210A5 - - Google Patents

Download PDF

Info

Publication number
JP2014239210A5
JP2014239210A5 JP2014076598A JP2014076598A JP2014239210A5 JP 2014239210 A5 JP2014239210 A5 JP 2014239210A5 JP 2014076598 A JP2014076598 A JP 2014076598A JP 2014076598 A JP2014076598 A JP 2014076598A JP 2014239210 A5 JP2014239210 A5 JP 2014239210A5
Authority
JP
Japan
Prior art keywords
grid
grid assembly
grids
plasma
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014076598A
Other languages
English (en)
Other versions
JP6506915B2 (ja
JP2014239210A (ja
Filing date
Publication date
Priority claimed from US13/916,318 external-priority patent/US9245761B2/en
Application filed filed Critical
Publication of JP2014239210A publication Critical patent/JP2014239210A/ja
Publication of JP2014239210A5 publication Critical patent/JP2014239210A5/ja
Application granted granted Critical
Publication of JP6506915B2 publication Critical patent/JP6506915B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

様々な実験によって、プラズマグリッドを使用した結果、極めて良好な選択性、プロファイル角、I/Dローディング、中心からエッジへの均一性を伴うエッチングプロセスが得られることが示された。いくつかの例において、選択性(すなわち、Siのエッチング速度:酸化物のエッチング速度)は、約10より大きいか、または約100よりも大きい。実際に、いくつかの例では、プラズマグリッドを用いることで、無限大の選択性が得られる場合がある。このような場合、酸化物材料がエッチングされることは実質的になく、むしろ、酸化物表面に少量の堆積が生じることがある。多くのケースにおいて得られるプロファイル角は、略垂直(例えば、約89度超)である。いくつかの実現形態において、I/Dローディングは、約2度未満であることが示された。また、いくつかの実現形態における中心からエッジへの均一性は、約2nm未満であった
この他、本発明は、以下の適用例としても実施可能である。
[適用例1]基板上のフィーチャをエッチングするための装置であって、
プラズマを施すことが可能な内部を画成するチェンバと、
エッチング中に前記チェンバ内で基板を保持するための基板ホルダと、
前記チェンバ内でプラズマを生成するためのプラズマ発生器と、
前記プラズマチェンバの内部を、前記プラズマ発生器に近接した上部サブチェンバと、前記基板ホルダに近接した下部サブチェンバと、に分割するグリッドアセンブリと、
を備え、
前記上部サブチェンバは、前記下部サブチェンバの高さの少なくとも約1/6の高さを有し、
前記グリッドアセンブリは、2つ以上のグリッドを含み、それらは、前記チェンバ内でプラズマが生成されるときに該グリッドに誘導電流が発生することを実質的に防止する複数のスロットを有する
装置。
[適用例2]前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させるように設計または構成されたコントローラをさらに備え、
前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
前記下部ゾーン・プラズマの電子密度は、約5×10 cm −3 以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
適用例1に記載の装置。
[適用例3]前記コントローラは、さらに、前記下部サブチェンバ内でイオン・イオンプラズマを発生させるように設計または構成される適用例2に記載の装置。
[適用例4]前記グリッドアセンブリの少なくとも1つのグリッドは、約1〜50mmの間の平均厚さを有する適用例1に記載の装置。
[適用例5]前記グリッドアセンブリの前記グリッドの少なくとも1つにおける前記スロットは、幅に対する高さのアスペクト比が、約0.01〜5の間である適用例1に記載の装置。
[適用例6]前記スロットは、方位隣接スロットから約60度以下によって隔てられている適用例1に記載の装置。
[適用例7]前記グリッドアセンブリは、第1のグリッドと第2のグリッドとを含む適用例1に記載の装置。
[適用例8]前記第1と第2のグリッドは、略同一のスロットパターンを有する適用例7に記載の装置。
[適用例9]前記第1と第2のグリッドは、相互に異なるスロットパターンを有する適用例7に記載の装置。
[適用例10]前記第1と第2のグリッドのうち少なくとも一方は、前記基板ホルダの上面に垂直な軸に関して回転可能である適用例7に記載の装置。
[適用例11]前記第1のグリッドおよび前記第2のグリッドは、前記下部サブチェンバにおけるプラズマ条件を径方向に調整することを可能にするスロットパターンを有する適用例10に記載の装置。
[適用例12]前記第1のグリッドと前記第2のグリッドとの間の距離を可変とするように、前記第1と第2のグリッドのうち少なくとも一方は可動である適用例7に記載の装置。
[適用例13]前記グリッドアセンブリの少なくとも1つのグリッドは、ガスを供給するための1つまたは複数の入口を有する適用例1に記載の装置。
[適用例14]基板上のフィーチャをエッチングする方法であって、
プラズマ発生器とグリッドアセンブリとを備えるチェンバであって、前記グリッドアセンブリは、該プラズマチェンバの内部を前記プラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割し、少なくとも2つのグリッドを有するものであって、前記上部サブチェンバは、前記下部サブチェンバの高さの少なくとも約1/6の高さを有する、チェンバ内の、前記基板ホルダに基板を供給し、
前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させ、
前記下部ゾーン・プラズマと前記基板との相互作用によって、前記基板のフィーチャをエッチングし、
前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
前記下部ゾーン・プラズマの電子密度は、約5×10 cm −3 以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
方法。
[適用例15]前記グリッドアセンブリにバイアスを印加することをさらに含む適用例14に記載の方法。
[適用例16]前記基板ホルダにバイアスを印加することをさらに含む適用例14に記載の方法。
[適用例17]前記下部ゾーン・プラズマは、イオン・イオンプラズマである適用例14に記載の方法。
[適用例18]前記グリッドアセンブリの少なくとも1つのグリッドを、前記基板ホルダの上面に垂直な軸に関して回転させることをさらに含む適用例14に記載の方法。
[適用例19]前記グリッドアセンブリにおける前記グリッド間の距離を変更することをさらに含む適用例14に記載の方法。
[適用例20]前記上部サブチェンバと前記下部サブチェンバには、異なる処理ガスが供給される適用例14に記載の方法。
[適用例21]前記コントローラは、さらに、前記グリッドアセンブリにバイアスを印加するように設計または構成される適用例1から適用例13のいずれか一項に記載の装置。
[適用例22]前記コントローラは、さらに、前記基板ホルダにバイアスを印加するように設計または構成される適用例1から適用例13のいずれか一項に記載の装置。
[適用例23]前記コントローラは、さらに、前記チェンバにエッチャントガスを供給するように設計または構成される適用例1から適用例13のいずれか一項に記載の装置。
[適用例24]前記コントローラは、さらに、前記プラズマにより前記基板をエッチングする間、前記チェンバ内の圧力を約2000ミリトール未満とするように設計または構成される適用例1から適用例13のいずれか一項に記載の装置。
[適用例25]前記グリッドアセンブリの各グリッドの厚さの和は、約2〜50mmの間である適用例4に記載の装置。
[適用例26]前記グリッドアセンブリの前記グリッドのうち少なくとも1つにおける前記複数のスロットは、径方向に向いているか、または略径方向に向いている適用例1から適用例13のいずれか一項に記載の装置。
[適用例27]前記スロットは、方位隣接スロットから少なくとも約15度で隔てられている適用例6に記載の装置。
[適用例28]前記基板ホルダは、静電チャックである適用例1から適用例13のいずれか一項に記載の装置。
[適用例29]処理ガス注入口をさらに備える適用例1から適用例13のいずれか一項に記載の装置。
[適用例30]前記プラズマを発生させる際に、前記グリッドアセンブリに電流は実質的に生じない適用例14から適用例20のいずれか一項に記載の方法。
[適用例31]前記チェンバにエッチャントガスを供給することをさらに含む適用例14から適用例20のいずれか一項に記載の方法。
[適用例32]前記エッチングは、約2000ミリトール未満のチェンバ圧力で実施される適用例14から適用例20のいずれか一項に記載の方法。
[適用例33]前記グリッドアセンブリの少なくとも1つのグリッドを、前記グリッドアセンブリの少なくとも1つの他のグリッドに対して動かすことをさらに含み、前記少なくとも1つのグリッドを動かすことによって、前記グリッドアセンブリを通過して前記下部サブチェンバに入るイオンおよび中性種の相対量を変更する適用例14から適用例20のいずれか一項に記載の方法。

Claims (40)

  1. 基板上のフィーチャをエッチングするための装置であって、
    プラズマを施すことが可能な内部を画成するチェンバと、
    エッチング中に前記チェンバ内で基板を保持するための基板ホルダと、
    前記チェンバ内でプラズマを生成するためのプラズマ発生器と、
    前記チェンバの内部を、前記プラズマ発生器に近接した上部サブチェンバと、前記基板ホルダに近接した下部サブチェンバと、に分割するグリッドアセンブリと、
    前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内でイオン・イオンプラズマである下部ゾーン・プラズマを生成するために前記グリッドアセンブリを用いるという条件で、前記チェンバ内で前記プラズマを生成するように構成されるコントローラと、
    を備え、
    記グリッドアセンブリは、少なくとも第1のグリッドおよび第2のグリッドを含み、各グリッドは、前記チェンバ内でプラズマが生成されるときに該グリッドに誘導電流が発生することを実質的に防止する複数のスロットを有するし、
    前記グリッドアセンブリの前記第1および第2のグリッドのうち少なくとも1つにおける前記複数のスロットのうち少なくとも1つは、約0.5〜1の間の幅に対する高さのアスペクト比を有する
    装置。
  2. 記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
    前記下部ゾーン・プラズマの電子密度は、約5×10cm−3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
    請求項1に記載の装置。
  3. 前記グリッドアセンブリの少なくとも1つのグリッドは、約1〜50mmの間の平均厚さを有する請求項1に記載の装置。
  4. 前記スロットは、方位隣接スロットから約60度以下によって隔てられている請求項1に記載の装置。
  5. 前記第1と第2のグリッドは、略同一のスロットパターンを有する請求項1に記載の装置。
  6. 前記第1と第2のグリッドは、相互に異なるスロットパターンを有する請求項1に記載の装置。
  7. 前記第1と第2のグリッドのうち少なくとも一方は、前記基板ホルダの上面に垂直な軸に関して回転可能である請求項1に記載の装置。
  8. 前記第1のグリッドおよび前記第2のグリッドは、前記下部サブチェンバにおけるプラズマ条件を径方向に調整することを可能にするスロットパターンを有する請求項7に記載の装置。
  9. 前記第1のグリッドと前記第2のグリッドとの間の距離を可変とするように、前記第1と第2のグリッドのうち少なくとも一方は可動である請求項1に記載の装置。
  10. 前記グリッドアセンブリの少なくとも1つのグリッドは、ガスを供給するための1つまたは複数の入口を有する請求項1に記載の装置。
  11. 基板上のフィーチャをエッチングする方法であって、
    プラズマ発生器とグリッドアセンブリとを備えるチェンバであって、前記グリッドアセンブリは、該チェンバの内部を前記プラズマ発生器に近接した上部サブチェンバと基板ホルダに近接した下部サブチェンバとに分割し、少なくとも2つのグリッドを有するものであって、チェンバ内の、前記基板ホルダに基板を供給し、
    前記上部サブチェンバ内で上部ゾーン・プラズマを生成し、前記下部サブチェンバ内で下部ゾーン・プラズマを生成するという条件で、前記チェンバ内でプラズマを発生させ、
    前記下部ゾーン・プラズマと前記基板との相互作用によって、前記基板のフィーチャをエッチングし、
    前記下部ゾーン・プラズマの実効電子温度は、約1eV以下であって、前記上部ゾーン・プラズマの実効電子温度よりも低く、
    前記下部ゾーン・プラズマの電子密度は、約5×10cm−3以下であって、前記上部ゾーン・プラズマの電子密度よりも低い
    方法。
  12. 前記グリッドアセンブリにバイアスを印加することをさらに含む請求項11に記載の方法。
  13. 前記基板ホルダにバイアスを印加することをさらに含む請求項11に記載の方法。
  14. 前記下部ゾーン・プラズマは、イオン・イオンプラズマである請求項11に記載の方法。
  15. 前記グリッドアセンブリの少なくとも1つのグリッドを、前記基板ホルダの上面に垂直な軸に関して回転させることをさらに含む請求項11に記載の方法。
  16. 前記グリッドアセンブリにおける前記グリッド間の距離を変更することをさらに含む請求項11に記載の方法。
  17. 前記上部サブチェンバと前記下部サブチェンバには、異なる処理ガスが供給される請求項11に記載の方法。
  18. 前記グリッドアセンブリの各グリッドは、プラズマが前記チェンバ内で生成されるときに該グリッドに誘導電流が発生することを実質的に防止する複数のスロットを有し、
    前記グリッドアセンブリの第1および第2のグリッドのうち少なくとも1つにおける前記複数のスロットのうち少なくとも1つは、約0.5〜1の間の幅に対する高さのアスペクト比を有する請求項14に記載の方法。
  19. 上方にプラズマ源を、下方に基板支持部を備える、プラズマ処理を実施するように構成される反応チェンバ用のグリッドアセンブリであって、
    前記グリッドアセンブリの上方で生成されたイオンが通過することを可能にする穿孔部を有する第1のグリッドと、
    前記グリッドアセンブリの上方で生成されたイオンが通過することを可能にする穿孔部を有する第2のグリッドと、を備え、
    前記第1および第2のグリッドは、前記反応チェンバ内で用いられるように構成され、
    前記第1および第2のグリッドは、前記反応チェンバに設置されたときに前記第1のグリッドが前記第2のグリッドの上方になるように、相互に略平行および略垂直に位置が揃えられ、相互に対して可動であり、
    前記第1および第2のグリッドが第1の相対位置に配置されるときは、前記グリッドアセンブリは、第1のグリッドアセンブリ開口領域を有し、前記第1および第2のグリッドが第2の相対位置に配置されるときは、前記グリッドアセンブリは、第2のグリッドアセンブリ開口領域を有し、
    前記グリッドアセンブリの上方で生成されたプラズマは、前記第1および第2のグリッドが前記第1の相対位置に配置されるときは、前記第1のグリッドアセンブリ開口領域を通る第1のイオン束分布をもたらし、前記第1および第2のグリッドが前記第2の相対位置に配置されるときは、前記第2のグリッドアセンブリ開口領域を通る第2のイオン束分布をもたらし、前記第2のイオン束分布は、前記第1のイオン束分布に比べて、前記グリッドアセンブリの中心とは対照的に、前記グリッドアセンブリの周囲付近に比較的より集中する、グリッドアセンブリ。
  20. 前記グリッドアセンブリの前記第1および/または第2のグリッドは、前記第1の相対位置と前記第2の相対位置との間で相互に対して回転するように構成される請求項19に記載のグリッドアセンブリ。
  21. 前記第1および第2のグリッドのうち少なくとも1つは、Y 2 3 、YF 3 、YAG、窒化チタン、またはCeO 2 の層で被覆される請求項19に記載のグリッドアセンブリ。
  22. 前記第1および第2のグリッドのうち少なくとも1つの表面は、陽極酸化される請求項19に記載のグリッドアセンブリ。
  23. 前記第1および第2のグリッドのうち少なくとも1つの表面は、不動態化される請求項19に記載のグリッドアセンブリ。
  24. 前記第1および第2のグリッド間の距離は、約5mm以下である請求項19に記載のグリッドアセンブリ。
  25. 前記第1および第2のグリッド間の距離は、約2mm以下である請求項24に記載のグリッドアセンブリ。
  26. 前記第1および第2のグリッドのうち少なくとも1つは、非平面状である請求項19に記載のグリッドアセンブリ。
  27. 前記第1および第2のグリッドが第3の相対位置に配置されるときは、前記第1および第2のグリッドの前記穿孔部は、グリッドアセンブリ開口領域がないように位置がずらされる請求項19に記載のグリッドアセンブリ。
  28. 前記第1および第2のグリッドのうち少なくとも1つの前記穿孔部は、前記第1および/または第2のグリッド内で誘導電流が発生することを防止する、径方向に向いているスロットを含む請求項19に記載のグリッドアセンブリ。
  29. 前記第1および第2のグリッドと垂直に位置が揃う第3のグリッドをさらに備える請求項19に記載のグリッドアセンブリ。
  30. 上方にプラズマ源を、下方に基板支持部を備える、プラズマ処理を実施するように構成される反応チェンバ用のグリッドアセンブリであって、
    前記グリッドアセンブリの上方で生成されたイオンが通過することを可能にする穿孔部を有する第1のグリッドと、
    前記グリッドアセンブリの上方で生成されたイオンが通過することを可能にする穿孔部を有する第2のグリッドと、を備え、
    前記第1および第2のグリッドは、前記反応チェンバ内で用いられるように構成され、
    前記第1および第2のグリッドは、前記反応チェンバに設置されたときに前記第1のグリッドが前記第2のグリッドの上方になるように相互に垂直に位置が揃えられ、前記第1および第2のグリッドのうち少なくとも1つは、横から見たときに非平面状の断面を有する、グリッドアセンブリ。
  31. 前記非平面状の断面は、ドーム型または平板型を含む請求項30に記載のグリッドアセンブリ。
  32. 前記非平面状の断面は、正弦波形状を含む請求項30に記載のグリッドアセンブリ。
  33. 前記非平面状の断面は、矩形波形状を含む請求項30に記載のグリッドアセンブリ。
  34. 前記非平面状の断面は、山形状を含む請求項30に記載のグリッドアセンブリ。
  35. 前記グリッドアセンブリがプラズマ処理を実施するように構成された前記反応チェンバ内に配置されるときに、前記第1および第2のグリッドのうち少なくとも1つは、プラズマ処理を実施するように構成された前記反応チェンバ内で処理されている基板に対して傾斜される請求項30に記載のグリッドアセンブリ。
  36. 前記第1および第2のグリッドのうち少なくとも1つは、Y 2 3 、YF 3 、YAG、窒化チタン、またはCeO 2 の層で被覆される請求項30に記載のグリッドアセンブリ。
  37. 前記第1および第2のグリッドのうち少なくとも1つの表面は、陽極酸化される請求項30に記載のグリッドアセンブリ。
  38. 前記第1および第2のグリッドのうち少なくとも1つの表面は、不動態化される請求項30に記載のグリッドアセンブリ。
  39. 前記第1および第2のグリッド間の距離は、約5mm以下である請求項30に記載のグリッドアセンブリ。
  40. 前記第1および第2のグリッドと垂直に位置が揃う第3のグリッドをさらに備える請求項30に記載のグリッドアセンブリ。
JP2014076598A 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド Active JP6506915B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361809246P 2013-04-05 2013-04-05
US61/809,246 2013-04-05
US13/916,318 US9245761B2 (en) 2013-04-05 2013-06-12 Internal plasma grid for semiconductor fabrication
US13/916,318 2013-06-12

Publications (3)

Publication Number Publication Date
JP2014239210A JP2014239210A (ja) 2014-12-18
JP2014239210A5 true JP2014239210A5 (ja) 2017-06-08
JP6506915B2 JP6506915B2 (ja) 2019-04-24

Family

ID=51654740

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014076868A Active JP6461482B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド
JP2014076598A Active JP6506915B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014076868A Active JP6461482B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッド

Country Status (6)

Country Link
US (5) US9245761B2 (ja)
JP (2) JP6461482B2 (ja)
KR (2) KR102284325B1 (ja)
CN (3) CN104103477B (ja)
SG (3) SG10201401112YA (ja)
TW (3) TWI665709B (ja)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI659853B (zh) * 2014-04-25 2019-05-21 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) * 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
CN107109645B (zh) * 2015-01-02 2021-02-26 应用材料公司 处理腔室
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
CN106676532B (zh) * 2015-11-10 2019-04-05 江苏鲁汶仪器有限公司 金属刻蚀装置及方法
KR102227879B1 (ko) * 2016-01-15 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 가변 패턴 분리 그리드
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP2018078515A (ja) * 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018183243A1 (en) * 2017-03-31 2018-10-04 Mattson Technology, Inc. Pedestal assembly for plasma processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108878242B (zh) * 2017-05-10 2021-01-29 北京北方华创微电子装备有限公司 一种等离子体装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
ES2773989T3 (es) * 2017-05-19 2020-07-16 Total Sa Aparato y método para el procesamiento de texturizado
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102133279B1 (ko) * 2018-06-20 2020-07-13 주식회사 엘지화학 회절 격자 도광판용 몰드의 제조방법 및 회절 격자 도광판의 제조방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111146334A (zh) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 一种磁隧道结制作方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
EP3899617B1 (en) 2018-12-17 2024-04-10 Applied Materials, Inc. Methods of optical device fabrication using an electron beam apparatus
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11039527B2 (en) 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
CN114258436A (zh) 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
CN111243991B (zh) * 2020-01-15 2022-12-09 北京北方华创微电子装备有限公司 内衬及半导体加工设备
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN117690774B (zh) * 2024-02-04 2024-04-16 上海邦芯半导体科技有限公司 一种降低刻蚀不均匀度的icp装置及调节方法

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH0738384B2 (ja) 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
JP2552701B2 (ja) 1988-02-29 1996-11-13 日本電信電話株式会社 イオン源
JPH01302645A (ja) 1988-02-08 1989-12-06 Anelva Corp 放電装置
US5015331A (en) 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02131550U (ja) 1989-03-31 1990-11-01
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JPH07335618A (ja) 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> プラズマプロセスの方法及びプラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) * 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP4043089B2 (ja) 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH10242116A (ja) 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH10270429A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
JPH1154717A (ja) 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) * 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6238527B1 (en) * 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2002503031A (ja) 1998-02-09 2002-01-29 アプライド マテリアルズ インコーポレイテッド 種密度を個別制御するプラズマアシスト処理チャンバ
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP3948857B2 (ja) 1999-07-14 2007-07-25 株式会社荏原製作所 ビーム源
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6646223B2 (en) * 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
JP3510174B2 (ja) 2000-03-01 2004-03-22 住友重機械工業株式会社 イオン発生装置及び成膜装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2001332534A (ja) 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
WO2001092611A1 (fr) * 2000-05-29 2001-12-06 Tohoku Techno Arch Co., Ltd. Procede d'elaboration de diamant de haute qualite et dispositif correspondant
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP2004248505A (ja) * 2001-09-21 2004-09-09 Norio Nakatsuji 移植抗原の一部または全てを欠除したes細胞由来の未分化な体細胞融合細胞およびその製造
KR20030046189A (ko) * 2001-12-05 2003-06-12 변홍식 플라즈마 발생장치
AU2002366921A1 (en) 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
WO2004000284A1 (en) 2002-06-21 2003-12-31 Transform Pharmaceuticals, Inc. Pharmaceutical compositions with improved dissolution
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR100810794B1 (ko) * 2002-11-20 2008-03-07 동경 엘렉트론 주식회사 플라즈마 처리 방법
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
OA13160A (en) * 2003-04-24 2006-12-13 Univ Vanderbilt Compositions and methods for controlling insects.
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (ja) * 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP2005116865A (ja) 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005276931A (ja) 2004-03-23 2005-10-06 Toshiba Corp 半導体装置およびその製造方法
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP2006020032A (ja) * 2004-07-01 2006-01-19 Canon Inc 画像処理装置及び画像処理方法
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
JP2006236772A (ja) 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
CN101189708A (zh) * 2005-05-31 2008-05-28 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2007035728A (ja) 2005-07-22 2007-02-08 Renesas Technology Corp 半導体装置及びその製造方法
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
RU2414766C2 (ru) 2005-09-09 2011-03-20 Улвак, Инк. Источник ионов и устройство для плазменной обработки
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
JP2007149788A (ja) * 2005-11-24 2007-06-14 Aqua Science Kk リモートプラズマ装置
US7335602B2 (en) 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
CH696456A5 (de) * 2006-05-02 2007-06-29 Fostag Holding Ag Stapelbares Besteckteil.
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP5463536B2 (ja) 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
TWI320237B (en) * 2006-07-24 2010-02-01 Si-substrate and structure of opto-electronic package having the same
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
KR100869359B1 (ko) 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7875555B2 (en) 2007-11-29 2011-01-25 Tokyo Electron Limited Method for plasma processing over wide pressure range
US20090162262A1 (en) 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8187948B2 (en) 2008-02-18 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gap-fill approach for STI formation
WO2009115135A1 (en) 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US7732759B2 (en) 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
CN101971314B (zh) * 2008-06-10 2013-10-09 库力索法工业公司 用于在引线接合操作中降低氧化的输气系统
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
JP5100840B2 (ja) 2008-09-01 2012-12-19 独立行政法人科学技術振興機構 プラズマエッチング方法、プラズマエッチング装置及びフォトニック結晶製造方法
JPWO2010064306A1 (ja) 2008-12-03 2012-05-10 富士通株式会社 半導体装置の製造方法
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010192197A (ja) 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20120104274A1 (en) 2009-07-16 2012-05-03 Canon Anelva Corporation Ion beam generating apparatus, substrate processing apparatus and method of manufacturing electronic device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2814051A1 (en) 2010-02-09 2014-12-17 Intevac, Inc. Shadow mask implantation system
WO2011106703A2 (en) * 2010-02-26 2011-09-01 Anchor Therapeutics, Inc. Cxcr4 receptor compounds
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP2012054304A (ja) 2010-08-31 2012-03-15 Tokyo Electron Ltd エッチング方法及びエッチング装置
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5689980B2 (ja) 2011-10-31 2015-03-25 キヤノンアネルバ株式会社 磁性膜のイオンビームエッチング方法及びイオンビームエッチング装置
KR20140092892A (ko) 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 개선된 증착 균일성을 위한 전구체 분배 피처들
US8461554B1 (en) 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
TWI467625B (zh) 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Similar Documents

Publication Publication Date Title
JP2014239210A5 (ja)
TWI670783B (zh) 增進製程均勻性的方法及系統
CN107924838B (zh) 处理衬底的装置与系统及蚀刻衬底的方法
TWI647757B (zh) 具有離子加速器之雙腔室電漿蝕刻器
JP2015019064A5 (ja)
TWI781309B (zh) 被加工物之處理方法
TWI502619B (zh) 用於電漿處理設備之電極、電漿處理設備、以及使用電漿處理設備產生電漿的方法
JP2015019065A5 (ja)
TWI686863B (zh) 蝕刻有機膜之方法
JP2014239210A (ja) 半導体製造用の内部プラズマグリッド
TW201528310A (zh) 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制
US10515788B2 (en) Systems and methods for integrated resputtering in a physical vapor deposition chamber
US20140299273A1 (en) Multi-segment electrode assembly and methods therefor
US20170352574A1 (en) Apparatus and method for treating wafer
US10927450B2 (en) Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
JP2020502366A (ja) ジグを用いた半導体製造用部品の製造方法及び製造装置
KR102644783B1 (ko) 빔 프로세싱 시스템에서 빔 스캔 크기 및 빔 위치를 사용하여 높은 처리량을 위한 방법
JP2013008731A (ja) 加工方法