SG10201601331PA - Plasma-enhanced etching in an augmented plasma processing system - Google Patents
Plasma-enhanced etching in an augmented plasma processing systemInfo
- Publication number
- SG10201601331PA SG10201601331PA SG10201601331PA SG10201601331PA SG10201601331PA SG 10201601331P A SG10201601331P A SG 10201601331PA SG 10201601331P A SG10201601331P A SG 10201601331PA SG 10201601331P A SG10201601331P A SG 10201601331PA SG 10201601331P A SG10201601331P A SG 10201601331PA
- Authority
- SG
- Singapore
- Prior art keywords
- plasma
- processing system
- augmented
- enhanced etching
- plasma processing
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261693382P | 2012-08-27 | 2012-08-27 | |
US13/626,793 US9039911B2 (en) | 2012-08-27 | 2012-09-25 | Plasma-enhanced etching in an augmented plasma processing system |
Publications (1)
Publication Number | Publication Date |
---|---|
SG10201601331PA true SG10201601331PA (en) | 2016-03-30 |
Family
ID=50147080
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201601331PA SG10201601331PA (en) | 2012-08-27 | 2013-08-27 | Plasma-enhanced etching in an augmented plasma processing system |
SG2013065065A SG2013065065A (en) | 2012-08-27 | 2013-08-27 | Plasma-enhanced etching in an augmented plasma processing system |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG2013065065A SG2013065065A (en) | 2012-08-27 | 2013-08-27 | Plasma-enhanced etching in an augmented plasma processing system |
Country Status (6)
Country | Link |
---|---|
US (2) | US9039911B2 (en) |
JP (1) | JP2014057057A (en) |
KR (1) | KR102280914B1 (en) |
CN (2) | CN107424900A (en) |
SG (2) | SG10201601331PA (en) |
TW (2) | TWI621186B (en) |
Families Citing this family (85)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
KR101971312B1 (en) * | 2011-11-23 | 2019-04-22 | 램 리써치 코포레이션 | Multi zone gas injection upper electrode system |
KR101495288B1 (en) * | 2012-06-04 | 2015-02-24 | 피에스케이 주식회사 | An apparatus and a method for treating a substrate |
US10283615B2 (en) | 2012-07-02 | 2019-05-07 | Novellus Systems, Inc. | Ultrahigh selective polysilicon etch with high throughput |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9165783B2 (en) * | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US10032609B1 (en) * | 2013-12-18 | 2018-07-24 | Surfx Technologies Llc | Low temperature atmospheric pressure plasma applications |
US10800092B1 (en) | 2013-12-18 | 2020-10-13 | Surfx Technologies Llc | Low temperature atmospheric pressure plasma for cleaning and activating metals |
US9558928B2 (en) * | 2014-08-29 | 2017-01-31 | Lam Research Corporation | Contact clean in high-aspect ratio structures |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9449796B2 (en) | 2014-10-24 | 2016-09-20 | Applied Materials, Inc. | Plasma processing system including a symmetrical remote plasma source for minimal ion energy |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9922840B2 (en) * | 2015-07-07 | 2018-03-20 | Applied Materials, Inc. | Adjustable remote dissociation |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) * | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10032604B2 (en) | 2015-09-25 | 2018-07-24 | Applied Materials, Inc. | Remote plasma and electron beam generation system for a plasma reactor |
US10504746B2 (en) | 2016-04-12 | 2019-12-10 | Applied Materials, Inc. | HKMG integration |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
KR20190038945A (en) * | 2016-08-29 | 2019-04-09 | 도쿄엘렉트론가부시키가이샤 | Semi-atomic layer etching method of silicon nitride |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
JP6764771B2 (en) * | 2016-11-28 | 2020-10-07 | 東京エレクトロン株式会社 | Substrate processing equipment and heat shield |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
TWI756367B (en) | 2017-02-23 | 2022-03-01 | 日商東京威力科創股份有限公司 | Method of quasi-atomic layer etching of silicon nitride |
US10446405B2 (en) | 2017-02-23 | 2019-10-15 | Tokyo Electron Limited | Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (en) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872761B2 (en) * | 2018-06-25 | 2020-12-22 | Mattson Technology Inc. | Post etch defluorination process |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR20200015264A (en) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | Wafer to wafer bonding method and wafer to wafer bonding system |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP2020149859A (en) * | 2019-03-13 | 2020-09-17 | 東京エレクトロン株式会社 | Plasma processing apparatus |
US11217454B2 (en) * | 2019-04-22 | 2022-01-04 | Hitachi High-Tech Corporation | Plasma processing method and etching apparatus |
Family Cites Families (65)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4600464A (en) | 1985-05-01 | 1986-07-15 | International Business Machines Corporation | Plasma etching reactor with reduced plasma potential |
JP2604684B2 (en) | 1994-02-22 | 1997-04-30 | 木下 治久 | Plasma process equipment |
JP3360461B2 (en) | 1995-01-31 | 2002-12-24 | ソニー株式会社 | Pretreatment method for metal film formation process |
US6007673A (en) | 1996-10-02 | 1999-12-28 | Matsushita Electronics Corporation | Apparatus and method of producing an electronic device |
JP3317209B2 (en) | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | Plasma processing apparatus and plasma processing method |
JP3364675B2 (en) | 1997-09-30 | 2003-01-08 | 東京エレクトロンエイ・ティー株式会社 | Plasma processing equipment |
WO1999040609A1 (en) * | 1998-02-09 | 1999-08-12 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
JP2001274143A (en) | 2000-03-28 | 2001-10-05 | Tdk Corp | Dry etching method, micromachining method and mask for dry etching |
DE10024883A1 (en) * | 2000-05-19 | 2001-11-29 | Bosch Gmbh Robert | Plasma etching system |
JP4371543B2 (en) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | Remote plasma CVD apparatus and film forming method |
AU2001288232A1 (en) | 2000-08-10 | 2002-02-25 | Tokyo Electron Limited | Method and apparatus for tuning a plasma reactor chamber |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US6949450B2 (en) * | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6461972B1 (en) * | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
US6962644B2 (en) * | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
CN100360117C (en) | 2002-06-21 | 2008-01-09 | 转化医药公司 | Pharmaceutical compositions with improved dissolution |
US7976673B2 (en) | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
JP4111274B2 (en) | 2003-07-24 | 2008-07-02 | キヤノンアネルバ株式会社 | Magnetic material dry etching method |
US7838430B2 (en) | 2003-10-28 | 2010-11-23 | Applied Materials, Inc. | Plasma control using dual cathode frequency mixing |
US20050211546A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US20050211171A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US20050211547A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
JP2006013190A (en) * | 2004-06-28 | 2006-01-12 | Rohm Co Ltd | Method of manufacturing semiconductor device |
US8349128B2 (en) * | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7138067B2 (en) * | 2004-09-27 | 2006-11-21 | Lam Research Corporation | Methods and apparatus for tuning a set of plasma processing steps |
US7396431B2 (en) * | 2004-09-30 | 2008-07-08 | Tokyo Electron Limited | Plasma processing system for treating a substrate |
KR100663351B1 (en) | 2004-11-12 | 2007-01-02 | 삼성전자주식회사 | Plasma processing apparatus |
DE112006002412T5 (en) | 2005-09-09 | 2008-07-17 | ULVAC, Inc., Chigasaki | Ion source and plasma processing device |
KR100653073B1 (en) | 2005-09-28 | 2006-12-01 | 삼성전자주식회사 | Apparatus for treating substrate and method of treating substrate |
US7358484B2 (en) * | 2005-09-29 | 2008-04-15 | Tokyo Electron Limited | Hyperthermal neutral beam source and method of operating |
US7645357B2 (en) | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US7520999B2 (en) | 2006-05-03 | 2009-04-21 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another |
US7998307B2 (en) * | 2006-09-12 | 2011-08-16 | Tokyo Electron Limited | Electron beam enhanced surface wave plasma source |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080193673A1 (en) * | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US7611936B2 (en) | 2007-05-11 | 2009-11-03 | Freescale Semiconductor, Inc. | Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method |
US20090084501A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Processing system for producing a negative ion plasma |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
WO2010023925A1 (en) | 2008-09-01 | 2010-03-04 | 独立行政法人科学技術振興機構 | Plasma etching method, plasma etching device and photonic crystal manufacturing method |
US8475673B2 (en) | 2009-04-24 | 2013-07-02 | Lam Research Company | Method and apparatus for high aspect ratio dielectric etch |
US8749053B2 (en) | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
JP5216918B2 (en) | 2009-07-16 | 2013-06-19 | キヤノンアネルバ株式会社 | Ion beam generator, substrate processing apparatus, and electronic device manufacturing method |
US20110177694A1 (en) * | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
SG183267A1 (en) | 2010-02-09 | 2012-09-27 | Intevac Inc | An adjustable shadow mask assembly for use in solar cell fabrications |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US20120097330A1 (en) * | 2010-10-20 | 2012-04-26 | Applied Materials, Inc. | Dual delivery chamber design |
US9490106B2 (en) | 2011-04-28 | 2016-11-08 | Lam Research Corporation | Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil |
WO2012173699A1 (en) | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
TWI525698B (en) | 2011-10-31 | 2016-03-11 | Canon Anelva Corp | Magnetic film ion beam etching method and ion beam etching device |
US20130168352A1 (en) | 2011-12-28 | 2013-07-04 | Andreas Fischer | Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
-
2012
- 2012-09-25 US US13/626,793 patent/US9039911B2/en active Active
-
2013
- 2013-08-26 JP JP2013174444A patent/JP2014057057A/en active Pending
- 2013-08-27 TW TW105142615A patent/TWI621186B/en active
- 2013-08-27 SG SG10201601331PA patent/SG10201601331PA/en unknown
- 2013-08-27 KR KR1020130102027A patent/KR102280914B1/en active IP Right Grant
- 2013-08-27 SG SG2013065065A patent/SG2013065065A/en unknown
- 2013-08-27 TW TW102130651A patent/TWI578408B/en active
- 2013-08-27 CN CN201710574814.XA patent/CN107424900A/en active Pending
- 2013-08-27 CN CN201310379780.0A patent/CN103632954B/en active Active
-
2015
- 2015-04-01 US US14/676,711 patent/US9418859B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
TW201711110A (en) | 2017-03-16 |
US20140054269A1 (en) | 2014-02-27 |
KR20140027895A (en) | 2014-03-07 |
US9039911B2 (en) | 2015-05-26 |
US9418859B2 (en) | 2016-08-16 |
CN107424900A (en) | 2017-12-01 |
KR102280914B1 (en) | 2021-07-23 |
TWI621186B (en) | 2018-04-11 |
CN103632954B (en) | 2017-08-11 |
US20150206775A1 (en) | 2015-07-23 |
CN103632954A (en) | 2014-03-12 |
JP2014057057A (en) | 2014-03-27 |
TWI578408B (en) | 2017-04-11 |
SG2013065065A (en) | 2014-03-28 |
TW201415560A (en) | 2014-04-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG10201601331PA (en) | Plasma-enhanced etching in an augmented plasma processing system | |
SG11201401750SA (en) | Hybrid pulsing plasma processing systems | |
SG11201403634TA (en) | Mixed mode pulsing etching in plasma processing systems | |
SG10201608391VA (en) | Inert-dominant pulsing in plasma processing | |
SG11201406893XA (en) | System architecture for vacuum processing | |
EP2931919A4 (en) | Methods and systems for processing polynucleotides | |
GB2494903B (en) | Graphics processing systems | |
TWI562188B (en) | Plasma processing apparatus | |
HK1206300A1 (en) | Plasma separation system and method for plasma separation | |
EP2739765A4 (en) | Systems and methods for processing vapor | |
HK1207203A1 (en) | Substrate processing system and method | |
SG11201403609QA (en) | System architecture for combined static and pass-by processing | |
EP2940183A4 (en) | In-line plasma cvd device | |
EP3032925A4 (en) | Plasma processing device and plasma processing method | |
SG2013062112A (en) | Defect reduction in plasma processing | |
EP2755230A4 (en) | Plasma etching method | |
EP2615889A4 (en) | Plasma processing apparatus | |
EP2879166A4 (en) | Plasma processing method and plasma processing device | |
EP2615888A4 (en) | Plasma processing apparatus | |
TWI562685B (en) | Plasma processing device | |
EP2541590A4 (en) | Plasma processing device | |
SG11201400356UA (en) | An electronic payment processing system | |
GB201217712D0 (en) | methods of plasma etching | |
GB201417376D0 (en) | Plasma processing and substrate processing system | |
EP3056069A4 (en) | Low-cost plasma reactor |