JP2014057057A - 増強プラズマ処理システム内でのプラズマ強化エッチング - Google Patents

増強プラズマ処理システム内でのプラズマ強化エッチング Download PDF

Info

Publication number
JP2014057057A
JP2014057057A JP2013174444A JP2013174444A JP2014057057A JP 2014057057 A JP2014057057 A JP 2014057057A JP 2013174444 A JP2013174444 A JP 2013174444A JP 2013174444 A JP2013174444 A JP 2013174444A JP 2014057057 A JP2014057057 A JP 2014057057A
Authority
JP
Japan
Prior art keywords
plasma
primary
feed gas
generation region
plasma generation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013174444A
Other languages
English (en)
Other versions
JP2014057057A5 (ja
Inventor
Eric Hudson
エリック・ハドソン
D Bailey Andrew Iii
サード アンドリュー・ディ.・ベイリー・ザ
Dhindsa Rajinder
ラジンダー・ディンドサ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014057057A publication Critical patent/JP2014057057A/ja
Publication of JP2014057057A5 publication Critical patent/JP2014057057A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】一次プラズマ生成領域とセミバリア構造によって一次プラズマ生成領域から分離された二次プラズマ生成領域とを少なくとも有するプラズマ処理チャンバ内で基板をエッチングするための方法を提供する。
【解決手段】一次プラズマ生成領域内で一次フィードガスから一次プラズマを生成する工程、さらに、二次プラズマ生成領域内で二次フィードガスから二次プラズマを生成して、二次プラズマ由来の少なくとも一部の化学種が一次プラズマ生成領域内に移動することを可能にする工程、さらに、一次プラズマが二次プラズマ由来の移動された化学種で増強された後に、一次プラズマで基板をエッチングする工程を備える誘電体エッチング方法。
【選択図】図1

Description

優先権の主張
本願は、米国特許法第119条(e)の下、Eric A.Hudsonによって2012年8月27日に出願された本願の権利者が所有する米国仮特許出願第61/693,382号「Plasma−Enhanced Etching in an Augmented Plasma Processing System」の優先権を主張し、この仮出願は参照によってすべてが本明細書に組み込まれる。
基板を集積回路ダイに処理するために、長い間、プラズマ強化処理が利用されてきた。集積回路ダイは、様々な電子デバイスで用いる集積回路にさらに処理されうる。プラズマ強化処理は、例えば、プラズマ強化エッチング、プラズマ強化蒸着、プラズマ強化洗浄などを含む。
プラズマ強化エッチングの分野では、通例、異なる構成ガスを含みうるエッチングフィードガスからプラズマが生成される。フィードガスは、エネルギ源によって励起され、基板の表面をエッチングするためのプラズマを形成する。様々なマスクを用いることにより、異なるパターンが基板の様々な層の上に形成されうる。プラズマ自体は、例えば、誘導結合プラズマ、容量結合プラズマ、マイクロ波プラズマなど、1または複数のプラズマ生成技術を用いて生成されてよい。
誘電体ウエハ薄膜をエッチングするための市販のプラズマチャンバは、主として、平行板容量結合プラズマ(CCP)に基づいている。このタイプのチャンバでは、1または複数のRF周波数でのRF励起が、1または複数のRF源から1または複数の電極に印加され、供給されたエッチングソース(フィード)ガスからエッチングプラズマを生成する。チャンバのエッチング特性は、例えば、圧力、フィードガスの選択、各フィードガスの流量、RF源のための電力などを含む多くの入力パラメータを変化させることによって制御される。
これらの多くの制御パラメータを用いても、プラズマの化学的特性および物理的特性は、相互に依存しているために、独立して制御することが困難であることが知られている。換言すると、1つの入力パラメータ(RF電力または圧力など)を変化させると、複数のプラズマパラメータおよび/または複数のエッチング結果パラメータが変化する傾向がある。様々なプラズマ特性および/または様々なウエハエッチング結果の間での相互依存は、現在の誘電体エッチング用途で用いられるタイプの狭ギャップ容量結合プラズマ処理チャンバで増幅される傾向がある。
詳述するために、CF4フィードガスおよび単一のRF励起周波数のみに基づいた単純なエッチング処理の例を考える。RF電力が増大されるにつれて、プラズマと表面との相互作用である重合の程度が変化し、通例、最大値まで増大した後に減少する。この挙動は、より低いRF電力でCF4が分解して、重合ラジカル種(CF2など)を形成することを反映している。より高いRF電力では、これらのラジカルの二次分解により、より小さい重合種(C+Fなど)が形成される。この現象により、RF電力設定を用いてプラズマ内での重合度を或る程度制御できる。
しかしながら、入力RF電力の変化は、プラズマの物理的特性(例えば、プラズマ密度、イオン束、および、イオンエネルギ)にも影響する。これは、プラズマの化学的特性(重合など)の制御が、プラズマの物理的特性(プラズマ密度など)を制御するのと同じパラメータ(RF電力など)の影響を受ける結果、化学的特性および物理的特性が強く相互依存するからである。
1または複数の入力パラメータが操作された時に、プラズマ特性への影響を分離することができれば、ウエハエッチング結果のより正確な制御と、より広いプロセスウィンドウが可能になりうる。例えば、特定の重合種の密度がイオン束または電子温度から独立して(すなわち、分離されて)制御可能であれば、ウエハエッチング結果のより正確な制御と、より広いプロセスウィンドウが達成されうる。
現在および将来のエッチング仕様を満たすようにエッチングを最適化するために、プラズマ特性の分離および/またはプロセスエッチング結果を改善することが、本発明の様々な実施形態の多くの目標のひとつである。
添付の図面では、限定ではなく例示を目的として本発明を図示する。なお、これらの添付図面においては、同様の構成要素には同様の符号が付されている。
本発明の一実施形態に従って、2つのプラズマ生成領域を備えた増強プラズマ処理チャンバを示す図。
本発明の一実施形態に従って、増強プラズマ処理チャンバを用いて誘電体エッチングを実行するための一般的な方法を示す図。
本発明の様々な実施形態に従って、増強プラズマ処理チャンバを用いて誘電体エッチングを実行するための様々な組み合わせのパラメータを示す図。
以下では、添付図面に例示されたいくつかの実施形態を参照しつつ、本発明の詳細な説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本発明が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
以下では、方法および技術を含め、様々な実施形態について説明する。本発明は、本発明の技術の実施形態を実行するためのコンピュータ読み取り可能な命令を格納するコンピュータ読み取り可能な媒体を含む製品も含みうることに留意されたい。コンピュータ読み取り可能な媒体としては、例えば、コンピュータ読み取り可能な暗号を格納するための半導体、磁気、光磁気、光学、または、その他の形態のコンピュータ読み取り可能な媒体が挙げられる。さらに、本発明は、本発明の実施形態を実施するための装置も含んでよい。かかる装置は、本発明の実施形態に関するタスクを実行するために、専用および/またはプログラム可能な回路を備えてよい。かかる装置の例は、汎用コンピュータおよび/または適切にプログラムされた専用コンピュータデバイスを含み、本発明の実施形態に関する様々なタスクに適合したコンピュータ/コンピュータデバイスおよび専用/プログラム可能回路を組み合わせたものを含んでもよい。
一般的に、チャンバにおけるエッチング処理の最適化は、入力パラメータが処理結果パラメータに対するそれらの影響について関連性を持つことから、トレードオフを含む傾向にある。1つのエッチング結果パラメータ(例えば、エッチング速度)に関するエッチング仕様を達成するようにエッチング処理を調整すると、しばしば、別のエッチング結果パラメータ(エッチング選択比など)の変化につながり、しばしば、有害な変化を引き起こすいくつかの例では、許容可能なトレードオフを見いだすことができず、与えられたレシピで所望のエッチングを全く達成できない。別の例では、様々なエッチング結果パラメータの間の許容可能なトレードオフを達成する適切な組み合わせの入力パラメータを見いだすために、時間のかかる反復最適化工程が必要になる。
本発明の実施形態は、選択的エッチング結果パラメータの間の相互依存を低減しようとするものである。処理結果パラメータへの影響に関する特定の入力パラメータの間の結びつきを小さくすることによって、他の処理結果パラメータから、より独立した方法で、個々の処理結果パラメータを調整することができる。換言すると、本発明の実施形態は、特定の入力パラメータを変化させることによって、他の処理結果パラメータから実質的に独立して、または、より独立して、特定の個々の処理結果パラメータに影響を与えようとするものである。この分離の正味の効果は、所望のセットの目標処理結果パラメータを備えた処理結果を達成するための入力パラメータ(すなわち、制御パラメータ)の調整が速くなることである。1または複数の実施形態では、より広いプロセスウィンドウが、処理結果パラメータの分離によって達成される。これらの例では、1または複数の入力パラメータが変更された時の処理結果パラメータの結びつきがより強い従来技術のチャンバにおいて以前は利用できなかったレジームなど、以前には利用できなかった新しい基板処理条件が実現されうる。
1または複数の実施形態において、二次プラズマが生成され、基板のエッチングを主に行う一次プラズマに影響を与えるために用いられる。誘電体エッチングの具体例において、ハードウェアの改良は、別個のプラズマ生成領域で生成された二次プラズマで平行板容量結合チャンバを増強することを含む。二次プラズマは、化学的および/または物理的に励起された中性種を一次ウエハ処理プラズマ領域に注入して、基板を処理するための一次プラズマの増強を引き起こす。
1または複数の実施形態において、一次プラズマ(ウエハ表面の直上のウエハ処理プラズマ領域に配置される)および二次プラズマ(別個の二次プラズマ生成領域で生成されウエハ処理プラズマ領域に注入される)は、セミバリア構造によって電気的および物理的に隔てられており、セミバリア構造は、中性種が二次プラズマ生成領域から一次プラズマ生成領域に通過することを許容するが、一次プラズマ生成領域から二次プラズマ生成領域への荷電粒子、プラズマ、および/または、電界の相当量の移行を妨げる。
1または複数の実施形態において、二次プラズマは、独立した励起源(RF電源またはマイクロ波源など)を有しており、励起源は、一次プラズマ生成領域内の荷電粒子または電界に直接的な影響も有意な影響も与えることなく、二次プラズマに影響するように制御されうる。二次プラズマを生成するために用いられる技術は、任意の特定のプラズマ生成技術に限定されず、例えば、誘導結合プラズマ、容量結合プラズマ、マイクロ波プラズマ、ECR(電子サイクロトロンプラズマ)などを含みうる。
一実施形態において、一次プラズマ生成領域内の一次プラズマ(すなわち、基板表面をエッチングするために基板表面の上方に配置されたプラズマ)は、容量結合メカニズムによって平行板構成を用いて生成される。この実施形態において、基板は、1または複数のRF信号を印加されたチャック上に配置される。この一次プラズマ生成領域の上側電極は接地されており、1または複数の閉じ込めリングが、一次プラズマをさらに閉じ込めるため、および/または、排出速度を制御するために用いられてよい。一次プラズマは、自身の入力パラメータのセットと一次フィードガスとを用いて生成され、フィードガスは、一次プラズマを形成するための1組の構成フィードガスを含みうる。このCCP(容量結合プラズマ)構成は、おおよそ容量結合プラズマ処理チャンバの典型例である。
一次プラズマに加えて、二次プラズマが、異なるセットの制御パラメータと、二次プラズマを生成するための1組の構成フィードガスを含みうる二次フィードガスとを用いて、別個の二次プラズマ生成領域で生成される。中性種が、上述のセミバリアを通して二次プラズマから一次プラズマへと移され、基板における処理条件に影響を与え、処理結果を変化させる。1または複数の実施形態において、システムは、二次プラズマに対する一次プラズマ特性の影響を最小化しつつ、一次プラズマに対する二次プラズマ特性の影響を最大化するよう設計される。
二次プラズマのプラズマ特性が、一次プラズマに影響する制御パラメータから実質的に分離されるので、二次プラズマを生成するために導入される新たな制御パラメータは、一次プラズマ制御パラメータと密接な結びつきがないさらなる処理制御パラメータである。
このように、本発明の実施形態は、制御パラメータの分離において大幅な改善を達成し、個々の処理結果を独立的に調整する能力を高める。これにより、トレードオフの制約が小さくなり、1組の処理結果(ここでは、より分離されている)を達成するために、より速くエッチングを最適化できるようになる。さらに、本発明は、従来の方法では実現できない一次プラズマ特性のレジームを切り開いて、新たな処理能力を提供しうる。
さらに、1または複数の具体的な実施形態において、二次プラズマに関連する制御パラメータは、二次フィードガスの解離の制御に重点を置いており、一次プラズマに関連する制御パラメータは、一次フィードガスの電離の制御に重点を置いている。解離を制御するためのメカニズムが、電離を制御するためのメカニズムから分離されるので、処理の最適化が改善されると共に、新たな動作ウィンドウが達成されうる。
本発明の実施形態の特長および利点は、図面と以下の説明を参照すれば、よりよく理解できる。
図1は、本発明の一実施形態に従って、2つの別個のプラズマ生成領域を有する増強プラズマチャンバ100を示す。図1には、一次プラズマ領域102が示されており、その下端を基板104によって、上端を上側電極アセンブリ106によって規定されている。任意選択的に、1組の閉じ込めリング(従来のリング、図示せず)が、一次プラズマ生成領域の外側で望ましくないプラズマ点火が起きるのを防ぐため、および/または、一次プラズマ生成領域102からの副生成排出ガスの排出速度を制御するために、一次プラズマ領域102を囲むように用いられてもよい。
図1の実施形態において、基板104は、チャック108上に載置されており、チャック108は、一次プラズマ生成領域102のための電力供給された電極として機能する。チャック108は、RF電源110によって1または複数のRF信号を印加される。上側電極アセンブリ106の下面106Aは接地されており、一次プラズマ生成領域102内の一次プラズマのための第2の電極として機能する。一次プラズマは、一次プラズマを形成するための1または複数の構成ガスを含む一次フィードガスから、平行板容量結合プラズマメカニズムを用いて生成される。一次フィードガスは、図1の一次フィードガス供給システム152から一次プラズマ領域102に直接供給される。
図1の例において、二次プラズマ領域120は、下端を上側電極アセンブリ106の上面106Bによって、上端を最上部電極アセンブリ132によって規定された別の平行板容量結合構成である。図1の例において、二次プラズマ領域120は、チャンバ壁134によって規定されているが、任意選択的に、1組の閉じ込めリング(従来のリング、図示せず)が、二次プラズマ生成領域の外側で望ましくないプラズマ点火が起きるのを防ぐため、および/または、二次プラズマ生成領域120からの副生成排出ガスの排出速度を制御するために、二次プラズマ領域120を囲むように用いられてもよい。最上部電極アセンブリ132は、二次プラズマ生成領域の体積および/またはガス滞留時間を制御するために、移動可能であってよい。ガス滞留時間は、副生成物の排出速度を制御することによって制御されてもよい。
上側電極アセンブリ106の上面106Bは接地されており、二次プラズマ生成領域120内の二次プラズマのための1つの電極として機能する。最上部電極アセンブリ132は、別個のRF電源136によって電力供給され、RF電源136は、最上部電極アセンブリ132に1または複数のRF信号を供給しうる。二次プラズマは、二次プラズマを形成するための1または複数の構成ガスを含む二次フィードガスから生成される。二次フィードガスは、図1の二次フィードガス供給システム134から二次プラズマ領域120に直接供給される。
二次プラズマは、平行板容量結合プラズマ生成構成を用いて生成されているが、本発明の実施形態は、二次プラズマを生成するためのこのプラズマ生成技術に限定されないことに注意されたい。二次プラズマは、例えば、1または複数のRFコイルまたはアンテナを用いてRFエネルギを二次フィードガスに誘導結合する誘導結合プラズマ生成メカニズムを用いて生成されてもよい。代替的または追加的に、二次プラズマは、例えば、マイクロ波源および適切なマイクロ波プラズマ生成チャンバを用いて生成されてもよい。実際、任意の適切なプラズマ生成技術が、二次プラズマを独立的に生成するために用いられてよい。
上述のように、上側電極アセンブリ106は、一次プラズマ生成領域102および二次プラズマ生成領域120の両方のための接地電極として機能する。さらに、1または複数の実施形態において、上側電極アセンブリ106は、一次フィードガスを一次プラズマ生成領域102に供給するガス供給機能を奏する。例えば、上側電極アセンブリ106は、一次フィードガスを一次プラズマ生成領域に供給するためのシャワーヘッド型の構成または注入噴射口型の構成を含みうる。必要であれば、上側電極アセンブリは、上側電極アセンブリ106内に組み込まれた流路を通して適切な加熱/冷却流体を循環させる加熱/冷却コイルを設けることによって温度制御されてもよい。
上述のように、二次プラズマ生成領域120内の二次プラズマは、一次フィードガスとは異なる構成(例えば、流量および/または構成ガスおよび/または滞留時間)を有する異なる二次フィードガスを用いて生成される。より重要なことに、二次プラズマからの中性種が二次プラズマ生成領域120から一次プラズマ生成領域102へ移動することは許容されるが、荷電種が一次プラズマ生成領域102から二次プラズマ生成領域120へ移動することは防止されるのが望ましい。そのために、以下の革新の内の1または複数が用いられてよい。
例えば、二次プラズマ領域における動作条件が、電離よりも二次フィードガスの解離に重点を置くように設定されてよい。詳述すると、解離とは、一般に、化学結合を破壊して中性反応種を生成する電子と中性分子との相互作用を指す。例えば、H2は2つの水素原子に解離され、両方の原子が中性でありうる。一方、電離とは、一般に、陽イオンおよび電子を生成する電子と中性分子との相互作用を指す。H2を電離させると、例えば、H2 +イオンおよび電子が生成される。電離よりも二次フィードガスの解離に重点を置くように二次プラズマ生成用の入力パラメータを設定することによって、より多くの解離された中性種が、二次プラズマ生成領域で得られうる。
別の代替的または追加的な革新として、二次プラズマ生成領域120から一次プラズマ生成領域102への解離した中性種の移動を促すために、二次プラズマ生成領域120内の圧力が、一次プラズマ生成領域102内の圧力より高く設定されてもよい。二次プラズマ生成領域内の圧力は、1または複数の実施形態において、中性種の再結合を妨げるように設定されてもよい。
別の代替的または追加的な革新として、二次プラズマ生成領域102から一次プラズマ生成領域120への解離した中性種の移動を可能にするために上側電極アセンブリ106に配置された穴またはスロット150が、穴におけるプラズマ形成を妨げるために高アスペクト比を有してよい。穴またはスロットは、代替的または追加的に、一次プラズマ生成領域102から二次プラズマ生成領域120への中性種の移動を防止するための中性種拡散バリアとして機能するよう設計されてもよい。等しく重要なことは、移動した中性種が一次プラズマ生成領域内で均一に拡散するよう配慮することである。そのため、移動した中性種が基板上で比較的均一に分布するようにして基板にわたる処理の均一性を最適化するために、基板表面の上方に均一または広く分布された穴またはスロットを含む穴またはスロットの配列を用いることが望ましい。
別の代替的または追加的な革新として、二次プラズマ生成領域120内の圧力および/または一次プラズマ生成領域102内の圧力は、穴またはスロットにおける層流を促すよう設定されてもよい。層流条件は、移動中の中性種と穴またはスロットの壁との間の衝突回数を減らすことによって、一次プラズマ生成領域102に供給される前に起こる中性種の再結合を低減する。
より重要なことに、二次プラズマの解離を制御する入力パラメータは、一次プラズマを生成するために用いられる入力パラメータから独立して制御されうる。このように、解離および電離は、より分離された方法で調整されうるため、解離および電離のメカニズムが高度に相互依存して結びついた従来技術のチャンバではこれまで利用できなかった処理条件など、より効率的な処理の調整およびより広い動作条件が実現される。
本発明の実施形態によると、増強プラズマ処理チャンバにおける誘電体エッチングは、一次フィードガスとは構成の異なる二次フィードガスの利用を含む。本発明者は、本明細書において、2つのそれぞれのプラズマ生成領域内でのプラズマ生成のために、二次フィードガス/一次フィードガスの様々な組み合わせを提案する。提案した二次フィードガスから解離された中性種は、一次プラズマ生成領域内での一次プラズマの生成を支配する制御メカニズムから切り離された方法で制御されうる。
二次プラズマ生成領域(プラズマ生成のためにCCP型のメカニズムを用いうる)への二次フィードガスおよび一次プラズマ生成領域(これも、プラズマ生成のためにCCP型のメカニズムを用いうる)への一次フィードガスの様々な独自の組み合わせについて以下で説明する。別の実施形態において、別のプラズマ生成メカニズム(誘電結合プラズマまたはECRまたはマイクロ波など)が、二次プラズマおよび/または一次プラズマを生成するために用いられてもよいことを理解されたい。また、両方のプラズマ生成領域に同じプラズマ生成技術/メカニズムを用いなければならない要件もない。
1または複数の実施形態において、二次フィードガスは、一次フィードガスが含む1セットの構成ガスとは異なるセットの構成ガスを含む。1または複数の実施形態において、これらの構成ガスは、或る構成ガスが一次フィードガス内に存在する場合にその構成ガスは二次フィードガスに利用されず、逆の場合も同様であるという点で、相互排他的である。別の実施形態において、構成ガスは、所与の構成ガス(アルゴンなど)が一次フィードガスおよび二次フィードガスの両方に存在しうる点で、相互排他的ではない。
1または複数の実施形態では、二次プラズマ生成領域から一次プラズマ生成領域に中性種を移動させるのに用いられる穴またはスロット内にポリマが堆積するのを避けるために、フルオロカーボンガス(例えば、CF4、C48、CHF3)の内の1または複数など、ポリマ蒸着ガスを二次フィードガスに利用することができない。別の実施形態では、フルオロカーボンガス(例えば、CF4、C48、CHF3)の内の1または複数などのポリマ蒸着ガスが二次フィードガスに利用されてもよく、二次プラズマ生成領域から一次プラズマ生成領域へ中性種を移動させるのに用いられる穴またはスロットで生じる任意のポリマの堆積は、ウエハレス自動洗浄などの洗浄技術で対処されうる。
図2は、本発明の一実施形態に従って、フィードガスの電離の制御から解離の制御を実質的に切り離した増強プラズマ処理チャンバを用いて誘電体エッチングを実行するための一般的な方法を示す。一般に、本発明の実施形態は、構成ガスを2つの異なるフィードガス(すなわち、二次プラズマ生成領域に供給される二次フィードガスおよび一次プラズマ生成領域に供給される一次フィードガス)に分けることにより、特定の構成ガスの選択的解離を可能にしつつ、その他の構成ガスの解離を許容しない。さらに、二次プラズマ生成領域内でのプラズマ生成および/または解離を制御する入力パラメータは、一次プラズマ生成領域内でのプラズマ生成を制御する入力パラメータから独立している。このように、各プラズマが独立して調整されうるため、一方のプラズマが調整された時、2つのプラズマ間のプラズマ結果の影響または相互依存性またはつながりが、低減、最小化、または、排除される。
図2によると、工程202において、二次フィードガスが、二次プラズマ生成領域に供給される。工程204において、一次フィードガスが、一次プラズマ生成領域に供給される。工程206において、二次プラズマが、二次フィードガスから生成される。同時に、工程208において、一次プラズマが、一次フィードガスから生成される。
工程206および208の間、処理条件(二次プラズマ生成領域内の圧力を高くすること、および/または、二次プラズマ生成領域内での解離に重点を置く処理レジーム、および、一次プラズマ生成領域内での電離に重点を置く処理レジームなど)が、二次プラズマ生成領域内の二次プラズマから一次プラズマ生成領域内の一次プラズマへの反応性中性種の移動を引き起こす。
二次フィードガスの解離は、二次プラズマ生成領域への1組の入力パラメータによって独立的に制御される。二次プラズマ生成領域内でのラジカル種の生成は、二次プラズマを生成するために用いられるRF電力レベル(例えば、最上部電極132へのRF電力など)、二次フィードガスの流量、二次フィードガスの滞留時間、および/または、RF周波数を変化させることによって調整されてよい。
一次プラズマ生成領域内での一次プラズマの生成は、一次プラズマ生成領域への1組の入力パラメータによって独立的に制御される。このように、これらの2つのプラズマは、独立的に生成および調整される。
次いで、二次プラズマから解離された中性種は、一次プラズマを増強するために用いられる。次いで、一次プラズマ生成領域内の増強されたプラズマは、ウエハ表面上の誘電体層をエッチングするために用いられる(工程210)。
1または複数の実施形態において、フルオロカーボン(FC)またはハイドロフルオロカーボン(HFC)が、二次ガスフィード混合物の一部としてのH2と組み合わせて、一次ガスフィード混合物で用いられる。これらの組み合わせは、図3に示す表1の行1および行2に挙げられており、フッ素を用いたエッチング用途(例えば、SiOx、SiCOH、SiNx、SiCNなどのエッチング)で有用でありうる。ここで、二次プラズマは、一次プラズマ内および/または基板表面上での重合度を高めるために利用される。
FCまたはHFCの混合物に加えられた少量のH2が、より多くのプラズマ重合を引き起こしうることは周知である。1または複数の実施形態によると、二次プラズマはHラジカルを生成し、それらのラジカルは、一次プラズマに移送され、ウエハ処理領域(すなわち、一次プラズマ生成領域)内できわめて独立的に重合度に影響を与える。
より低い流束のHが重合を増大させ、その後、(例えば、二次プラズマにおける電力または圧力を高めることによって)Hラジカルの流束が増大するにつれて、最終的に、Hラジカルは、ポリマをエッチングしうるため重合を減少させ始めると考えられる。二次プラズマから注入されたHラジカルの効果は、一次ガス混合物にH2ソースガスを単に追加するのとは質的に異なると予想される。
1または複数の実施形態において、表1の行1に示した組み合わせは、ARC(反射防止膜)オープンなどの用途に有用でありうる。ARC層は、いくつかの応用例において、例えば、BARCとしても知られる下部ARC、または、SiARCとしても知られるシリコン含有ARCであってよい。表1の行1に示したこの組み合わせは、基板表面上のホールまたはビアのCD(クリティカルディメンション)シュリンクにも有用でありうる。この用途では、通例、非常に低い電力(表1の行1に示す電力レジームを参照)が、フィーチャのファセッティングを制御するために必要であるため、十分なポリマを生成するのが難しい。表1の行1の例において二次プラズマ生成領域内でH2を事前に解離することにより、ポリマ形成の制御が、一次プラズマ生成領域内のイオン束にもイオンエネルギレベルにも左右されることなく改善される。
あるいは、Si系の材料(表1の行2)の一般エッチングのために、H2が二次プラズマ生成領域に入力されてよく、構成ガスCF4、Cxy、および/または、CHxy(xおよびyは整数値)が、一次プラズマ領域に入力されてよい。一次プラズマ生成領域に対する電力レジームは、任意の適切な電力レジーム(表1の行2を参照)でありうる。表1の行2の例において二次プラズマ生成領域内でH2を事前に解離することにより、ポリマ形成の分離された制御のために、さらなる独立した制御ノブが提供される。
これらの実施形態の1つの利点は、H2の大部分が二次プラズマ内で解離されるので、H2が一次ガス混合物の一部である場合に比べて、H2分子による真空紫外(VUV)放射束がウエハ処理領域(すなわち、基板表面の直上の一次プラズマ生成領域)において大きく低減されうることである。H2誘導VUV放射は強烈であり低誘電率材料を損傷することが知られているため、基板にとって利点がある。
同様の理由で、低誘電率材料の存在下でのフォトレジスト剥離処理が、損傷を起こすH2誘導VUV放射を大幅に低減しつつ有利なHラジカルを含むように設計されうる。Hラジカルは、Oラジカルよりも低誘電率材料に対して引き起こす損傷が少ないことが知られているが、通常、一次ガス混合物内にH2ソースガスを用いることなしにHを生成することは困難である。本発明の例では、H2は、二次プラズマを形成するための二次ガス混合物の一部であり、一次プラズマに注入されるHラジカルを供給するが、一次プラズマにH2をほとんど供給しない。一次プラズマ用のソースガスは、例えば、プラズマを維持するためのN2もしくはその他のほとんど害のないおよび/または不活性のガスを含むと共に、衝撃用のイオンを供給しうる。
二次プラズマに重合化学物質を導入するのが望ましい場合、二次ガス混合物は、必要であれば、重合する構成ガスの一部または全部を含んでよい。この場合、重合ガスの一部または全部は、一次プラズマ生成領域に入る前に、二次プラズマ生成領域内で事前に解離されてよい。例えば、典型的な酸化物エッチング剤には、C48、O2、および、Arが含まれうる。1または複数の実施形態では、C48の一部または全部ならびに/もしくはArの一部または全部が、事前解離のために二次プラズマ生成領域に入力されてよい。この実施形態では、一次プラズマ生成領域のみにO2が入力されてよい。逆に、別の実施形態では、O2が事前解離のために二次プラズマ生成領域に入力されて、C48およびArが一次プラズマ生成領域に入力されてもよい。
別のカテゴリの用途は、フッ素を用いたエッチング用途(例えば、SiOx、SiCOH、SiNx、SiCNなどのエッチング)であり、二次プラズマは、一次プラズマにおける重合度を減少させるために用いられる。これは、二次処理ガス混合物の一部としてのポリマ抑制ガス(O2、N2、NF3、など)と組み合わせて、一次処理ガス混合物の一部としてフルオロカーボン(FC)またはハイドロフルオロカーボン(HFC)を選択することによって実現される。一般的な用途には、トレンチエッチング、マスクオープン、バリアオープンなど、フルオロカーボンを用いた誘導体エッチングが含まれる。これらの用途は、表1の行3に示されており、一次プラズマ生成領域のための電力レジームが低バイアスである。
FCまたはHFC混合物に加えられた少量のO2、N2、NF3が、ポリマをエッチングするように作用するので、プラズマ重合を減少させることが周知である。1または複数の実施形態において、二次プラズマは、一次プラズマに移送されるO、N、F、および/または、NFxのラジカルを生成して、ポリマ抑制ガスを一次処理ガス混合物に単に加えることによる従来技術では達成しえない程度の制御および分離をもって、一次プラズマ内での重合度に影響を与える(エッチャントまたは酸化剤の制御によってポリマ除去の程度に影響を与えることによる)。
1または複数の実施形態において、NF3およびArが事前解離のために二次プラズマ生成領域に入力され、フルオロカーボンガスおよびO2が一次プラズマ生成領域に入力される。一実施形態では、シリコン製の最上部電極が、二次プラズマ生成領域で用いられており、二次プラズマ生成領域内でエッチング反応から化学的に生成されたSiFx(例えば、SiF、SiF2、SiF3、SiF4など)が、二次プラズマ生成領域から一次プラズマ生成領域へ注入されてもよい。このアプローチは、SiFx注入のより良好および/またはより独立した制御を可能にしうるものであり、例えば、セルエッチング用途に有用でありうる。
別のカテゴリの用途は、二次プラズマの別の役割を利用したものである。前のカテゴリのように化学的に活性化されたラジカル種を注入する代わりに、これらの実施形態における二次プラズマの役割は、準安定励起電子状態の原子および分子ならびに高度に励起された振動状態の分子など、超高温の高エネルギ種を注入することである。これらの用途は、表1の行4および行5に示されている。
例えば、He、Ne、Ar、Kr、および/または、Xeを二次処理ガス混合物に含めて、準安定状態のこれらの原子をウエハ処理プラズマに注入してよい。代替的または追加的に、N2は、典型的なプラズマ条件下で、かなりの密度の準安定分子および振動励起状態を形成して、比較的低い解離度を有することが知られているので、N2を二次処理ガス混合物に含めてもよい。これらの高エネルギ種と一次プラズマとの相互作用は、基底電子状態および熱運動化された振動状態で導入された一次処理ガス混合物内の原子および分子と比較すると異なっている。特に、高エネルギに励起された原子および分子は、より低いイオン化閾値を有しており、一次プラズマの電子温度(Te)を低下および/またはプラズマ密度を増大させる傾向がある。
RF電力および圧力など、二次プラズマの制御パラメータが、ウエハ処理一次プラズマへの高エネルギ種の注入を独立して変化させるために利用されうる。これらの二次制御パラメータは、一次制御パラメータからかなり分離された結果を生成するように一次プラズマ条件に影響を与えることが好ましい。さらに、プラズマ密度を犠牲にすることなく、より低い電子温度の一次プラズマを生成できるため、従来技術のハードウエアおよび方法で通常は利用できない高イオン束/低解離プラズマのレジームを可能にすることが好ましい。用途には、高アスペクト比コンタクト(HARC)エッチングまたはセルエッチングが含まれ、これらの用途での電力レジームは、一次プラズマ生成領域内に高密度プラズマを伴う高バイアスでありうる。
別の用途は、低損傷フォトレジスト剥離を含み(表1の行6を参照)、フォトレジスト剥離を実行しつつ、低誘電率材料(ビアの側壁または底部などの材料)への損傷を防ぐことが望ましい。この用途では、H2および/またはN2が、水素ラジカル(H2と比較して低誘電体損傷VUV放射を生成する傾向が低い)および/または窒素ラジカルを形成するために事前解離に向けて二次プラズマ生成領域へ入力されてよい。さらに、水素ラジカルおよび/または窒素ラジカルは、一次プラズマ生成領域内でイオン束を増加させることなく増加されうる。イオン束が増加すると低誘電率材料を劣化させる傾向があるので、低誘電率材料への損傷を避けるのに有利である。必要であれば、例えば、一次プラズマ生成領域にCO2が入力されてもよい。一次プラズマ生成領域における好ましい電力レジームは、一実施形態では、低バイアスである。
別のカテゴリの用途は、二次プラズマのみが電力供給される点でいくぶん異なる。このカテゴリの用途の一例が、表1の行7に示されており、完全プラズマ処理がマルチステップ処理シーケンスの一部の工程にのみ必要である状況で有用である。これらの実施形態では、特定の工程について、ダウンストリームプラズマ条件(例えば、ダウンストリーム剥離またはダウンストリームエッチング)でウエハを処理するのが望ましく、そうすれば、活性化された中性種(ラジカルなど)が基板に到達するが、直接的なプラズマの接触はない。これは、一次プラズマからのイオン衝撃も電子衝撃もない非常に化学的な処理レジームを達成する。この処理レジームは、例えば、他のエッチングに用いられるのと同じツール内で、ポリマを硬化させる(マスク硬化)、エッチングされたフィーチャを脱フッ素化して空気中での金属腐食を防ぐ、または、フォトレジストを剥離する、などに有用でありうる。このように、従来技術のハードウェアの場合のようにダウンストリーム剥離/ダウンストリームエッチングのために別のチャンバを利用する必要なく、エッチングおよびダウンストリーム剥離/ダウンストリームエッチングの両方に、同じエッチングチャンバを利用できる。
本発明の1または複数の実施形態は、プロセスパラメータを調整して目標のプロセスパラメータ結果を達成するための単純な移行経路に関する。二次プラズマ生成領域へのRF電力がオフにされ、二次フィードガスが二次プラズマ生成領域に注入されて、単に一次プラズマ生成領域に拡散または移動することを許容されている(または、すべてのフィードガスが一次プラズマ生成領域に入力される)場合、この状況は、すべての構成ガスが単一のプラズマ生成領域に注入される従来技術のチャンバを用いたシナリオに類似する。これは、チャンバのオペレータが最適化処理を開始するための便利で使いやすい開始点を提供する。二次プラズマ生成領域へのRF電力をオンにすることにより、従来技術では利用できなかった方法で二次フィードガスの解離を制御するための独立した制御ノブが提供される。二次プラズマ生成領域へのRF電力をオンにすることは、分離された処理調整への漸進的な導入をチャンバのオペレータに提供し、改良された調整処理の中断のない漸進的な性質と、最適化が周知の従来技術に類似した開始点から始まるという事実とによりトレーニングが簡単になる。
上記からわかるように、本発明の実施形態は、入力パラメータが処理結果への影響につてより関連しないようにすることによってプロセスウィンドウを開く。したがって、相互依存した処理結果の間のトレードオフが少なくなり、処理結果がより分離されている場合には、1つの処理結果を目標とした変化が別の処理結果に与える影響が小さくなるので、一連の所望の処理結果を達成するために、より迅速に処理調整を実行することができる。誘電体エッチング用途において、解離と電離とを切り離すことは、より迅速な処理調整によって高水準の処理結果を達成することを可能にする、または、従来技術の誘電体エッチングチャンバでは利用できないウエハ処理条件の利用を可能にする。様々なエッチング用途または様々なエッチング効果を改善するために、様々な組み合わせの一次および二次フィードガスを提案した。
さらに、本発明の実施形態は、既存の処理最適化方法へ漸進的に移行するのを容易にする。ツールのオペレータは、二次プラズマ生成領域への電力をオフにすることによって現行のレシピを開始点として開始し、二次プラズマ生成領域への電力を徐々に供給することおよび/または他の入力パラメータを変化させることによって本発明の実施形態の分離の利点を漸進的に利用することができる。これは、ツールオペレータにとって選択のリスクが高くなる傾向のあるより中断的なアプローチに比べて、トレーニングおよび利用に関して際立った利点がある。
以上、いくつかの好ましい実施形態を参照しつつ本発明を説明したが、本発明の範囲内で、種々の代替物、置換物、および、等価物が可能である。本明細書では様々な例を提供したが、これらの例は、例示を目的としたものであり、本発明を限定するものではない。また、発明の名称および発明の概要は、便宜上、本明細書で提供されているものであり、特許請求の範囲を解釈するために用いられるべきものではない。さらに、要約書は、非常に簡潔に書かれており、便宜上提供されているものであるため、特許請求の範囲に記載された発明全体を解釈または限定するために用いられるべきではない。「セット(組)」という用語が用いられている場合には、かかる用語は、一般的に理解される数学的な意味を持ち、0、1、または、2以上の要素を網羅するよう意図されている。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。したがって、以下に示す特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替物、置換物、および、等価物の全てを網羅するものとして解釈される。

Claims (21)

  1. 一次プラズマ生成領域と、セミバリア構造によって前記一次プラズマ生成領域から分離された二次プラズマ生成領域とを少なくとも有するプラズマ処理チャンバ内で基板をエッチングするための方法であって、
    一次フィードガスを前記一次プラズマ生成領域内に供給する工程と、
    前記一次フィードガスとは異なる二次フィードガスを前記二次プラズマ生成領域内に供給する工程と、
    前記一次フィードガスから一次プラズマを生成する工程と、
    前記二次フィードガスから二次プラズマを生成する工程と、
    前記一次プラズマと前記二次プラズマ由来の中性種とを少なくとも用いて前記基板をエッチングする工程であって、前記中性種は、前記セミバリア構造を越えて前記二次プラズマ生成領域から前記一次プラズマ生成領域に移動する、工程と、
    を備える、方法。
  2. 請求項1の方法であって、
    前記エッチングは誘電体エッチングである、方法。
  3. 請求項1の方法であって、
    前記二次プラズマ生成領域内の圧力は前記一次プラズマ生成領域内の圧力より大きい、方法。
  4. 請求項1の方法であって、
    前記二次フィードガスは非重合性ガスである、方法。
  5. 請求項1の方法であって、さらに、
    前記二次フィードガスの解離に重点を置くように前記二次プラズマ生成領域のための入力パラメータを設定する工程を備え、
    前記二次フィードガスはH2を含む、方法。
  6. 請求項5の方法であって、
    前記一次フィードガスは、CF4、Cxy、および、CHxyの内の少なくとも1つを含み、
    xおよびyは整数値である、方法。
  7. 請求項1の方法であって、さらに、
    前記二次フィードガスの解離に重点を置くように前記二次プラズマ生成領域のための入力パラメータを設定する工程を備え、
    前記二次フィードガスは、O2、N2、および、NF3の内の少なくとも1つを含む、方法。
  8. 請求項7の方法であって、
    前記一次フィードガスは、アルゴンおよびフルオロカーボン含有ガスの内の少なくとも1つを含む、方法。
  9. 請求項1の方法であって、さらに、
    前記二次フィードガスの解離に重点を置くように前記二次プラズマ生成領域のための入力パラメータを設定する工程を備え、
    前記二次フィードガスは、アルゴンおよびN2の内の少なくとも1つを含む、方法。
  10. 請求項9の方法であって、
    前記一次フィードガスは、アルゴン、O2、および、フルオロカーボン含有ガスの内の少なくとも1つを含む、方法。
  11. 請求項1の方法であって、さらに、
    前記二次フィードガスの解離に重点を置くように前記二次プラズマ生成領域のための入力パラメータを設定する工程を備え、
    前記二次フィードガスはN2を含む、方法。
  12. 請求項9の方法であって、
    前記一次フィードガスはCO2を含む、方法。
  13. 請求項1の方法であって、さらに、
    前記二次フィードガスの解離に重点を置くように前記二次プラズマ生成領域のための入力パラメータを設定する工程を備え、
    前記二次フィードガスはH2を含み、前記一次フォードガスはN2を含む、方法。
  14. 一次プラズマ生成領域と、セミバリア構造によって前記一次プラズマ生成領域から分離された二次プラズマ生成領域とを少なくとも有するプラズマ処理チャンバ内で基板をエッチングするための方法であって、
    一次フィードガスを前記一次プラズマ生成領域内に供給する工程と、
    前記一次フィードガスとは異なる二次フィードガスを前記二次プラズマ生成領域内に供給する工程と、
    前記一次フィードガスから一次プラズマを生成する工程と、
    前記二次フィードガスから二次プラズマを生成する工程と、
    前記一次プラズマと前記二次プラズマ由来の中性種とを少なくとも用いて、前記基板をエッチングする工程であって、前記中性種は、前記バリア構造を越えて前記二次プラズマ生成領域から前記一次プラズマ生成領域に移動する、工程と、
    その後、前記一次プラズマ生成領域への電源をオフにすることによって、前記一次プラズマの形成を抑制する工程と、
    別の二次フィードガスを前記二次プラズマ生成領域内に供給して、別の二次プラズマを生成する工程と、
    その後、前記別の二次プラズマからの化学種が前記バリア構造を越えて移動した後に、前記別の二次プラズマからの前記化学種を用いて前記基板にダウンストリームプラズマ処理を実行する工程と、
    を備える、方法。
  15. 請求項14の方法であって、
    前記エッチングは誘電体エッチングである、方法。
  16. 請求項15の方法であって、
    前記別の二次フィードガスはH2を含む、方法。
  17. 請求項14の方法であって、さらに、
    前記二次フィードガスおよび前記別の二次フィードガスの内の少なくとも一方の解離に重点を置くように前記二次プラズマ生成領域のための入力パラメータを設定する工程を備える、方法。
  18. 請求項14に記載の方法であって、
    前記ダウンストリームプラズマ処理は脱フッ素化である、方法。
  19. 一次プラズマ生成領域と、セミバリア構造によって前記一次プラズマ生成領域から分離された二次プラズマ生成領域とを少なくとも有するプラズマ処理チャンバ内で基板をエッチングするための方法であって、
    一次フィードガスを前記一次プラズマ生成領域内に供給する工程と、
    前記一次フィードガスとは異なる二次フィードガスを前記二次プラズマ生成領域内に供給する工程と、
    容量結合メカニズムを用いて、前記一次フィードガスから一次プラズマを生成する工程と、
    前記二次フィードガスから二次プラズマを生成する工程と、
    前記一次プラズマと前記二次プラズマ由来の中性種とを少なくとも用いて前記基板をエッチングする工程であって、前記中性種は、前記セミバリア構造を越えて前記二次プラズマ生成領域から前記一次プラズマ生成領域に移動する、工程と、
    を備える、方法。
  20. 請求項19の方法であって、
    前記二次プラズマを生成する工程は、容量結合メカニズムを用いる、方法。
  21. 請求項19の方法であって、
    前記セミバリア構造は、1組の穴を備え、前記1組の穴に含まれる各穴は、前記各穴の中でのプラズマの形成を阻害するよう構成された高アスペクト比を有する、方法。
JP2013174444A 2012-08-27 2013-08-26 増強プラズマ処理システム内でのプラズマ強化エッチング Pending JP2014057057A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261693382P 2012-08-27 2012-08-27
US61/693,382 2012-08-27
US13/626,793 US9039911B2 (en) 2012-08-27 2012-09-25 Plasma-enhanced etching in an augmented plasma processing system
US13/626,793 2012-09-25

Publications (2)

Publication Number Publication Date
JP2014057057A true JP2014057057A (ja) 2014-03-27
JP2014057057A5 JP2014057057A5 (ja) 2016-10-13

Family

ID=50147080

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013174444A Pending JP2014057057A (ja) 2012-08-27 2013-08-26 増強プラズマ処理システム内でのプラズマ強化エッチング

Country Status (6)

Country Link
US (2) US9039911B2 (ja)
JP (1) JP2014057057A (ja)
KR (1) KR102280914B1 (ja)
CN (2) CN107424900A (ja)
SG (2) SG10201601331PA (ja)
TW (2) TWI578408B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021530102A (ja) * 2018-06-25 2021-11-04 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. エッチング後の脱フッ素化プロセス

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10800092B1 (en) 2013-12-18 2020-10-13 Surfx Technologies Llc Low temperature atmospheric pressure plasma for cleaning and activating metals
US10032609B1 (en) * 2013-12-18 2018-07-24 Surfx Technologies Llc Low temperature atmospheric pressure plasma applications
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR20190038945A (ko) 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2018156975A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
KR102537742B1 (ko) 2017-02-23 2023-05-26 도쿄엘렉트론가부시키가이샤 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020149859A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP6963097B2 (ja) * 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002503031A (ja) * 1998-02-09 2002-01-29 アプライド マテリアルズ インコーポレイテッド 種密度を個別制御するプラズマアシスト処理チャンバ
US20020179248A1 (en) * 2000-12-22 2002-12-05 Alex Kabansky Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2003533878A (ja) * 2000-05-19 2003-11-11 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング プラズマエッチング装置
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
CA2489984A1 (en) 2002-06-21 2003-12-31 Transform Pharmaceuticals, Inc. Pharmaceutical compositions with improved dissolution
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
US8356575B2 (en) 2005-09-09 2013-01-22 Ulvac, Inc. Ion source and plasma processing apparatus
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8986558B2 (en) 2008-09-01 2015-03-24 Japan Science And Technology Agency Plasma etching method, plasma etching device, and method for producing photonic crystal
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20120104274A1 (en) 2009-07-16 2012-05-03 Canon Anelva Corporation Ion beam generating apparatus, substrate processing apparatus and method of manufacturing electronic device
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP2814051A1 (en) 2010-02-09 2014-12-17 Intevac, Inc. Shadow mask implantation system
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
TWI525698B (zh) 2011-10-31 2016-03-11 Canon Anelva Corp 磁性膜之離子束蝕刻方法及離子束蝕刻裝置
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002503031A (ja) * 1998-02-09 2002-01-29 アプライド マテリアルズ インコーポレイテッド 種密度を個別制御するプラズマアシスト処理チャンバ
JP2003533878A (ja) * 2000-05-19 2003-11-11 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング プラズマエッチング装置
US20020179248A1 (en) * 2000-12-22 2002-12-05 Alex Kabansky Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021530102A (ja) * 2018-06-25 2021-11-04 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. エッチング後の脱フッ素化プロセス

Also Published As

Publication number Publication date
SG10201601331PA (en) 2016-03-30
US9418859B2 (en) 2016-08-16
US20150206775A1 (en) 2015-07-23
US20140054269A1 (en) 2014-02-27
TW201711110A (zh) 2017-03-16
KR102280914B1 (ko) 2021-07-23
TW201415560A (zh) 2014-04-16
SG2013065065A (en) 2014-03-28
KR20140027895A (ko) 2014-03-07
TWI621186B (zh) 2018-04-11
CN103632954B (zh) 2017-08-11
CN107424900A (zh) 2017-12-01
US9039911B2 (en) 2015-05-26
TWI578408B (zh) 2017-04-11
CN103632954A (zh) 2014-03-12

Similar Documents

Publication Publication Date Title
US9418859B2 (en) Plasma-enhanced etching in an augmented plasma processing system
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
US10483127B2 (en) Methods for high precision plasma etching of substrates
US8323521B2 (en) Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US8877080B2 (en) Using vacuum ultra-violet (VUV) data in microwave sources
KR101223819B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US20160358784A1 (en) Plasma-enhanced etching in an augmented plasma processing system
KR20170000340A (ko) 에칭 방법
KR101679371B1 (ko) 드라이 에칭 방법
KR20150104043A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US9418863B2 (en) Method for etching etching target layer
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
KR20150038172A (ko) 플라즈마를 이용한 객체 처리 장치
US20220165546A1 (en) Plasma etch tool for high aspect ratio etching
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
CN109075068B (zh) 蚀刻方法
KR20190098922A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160825

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160825

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170908

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180206