TWI813187B - 蝕刻方法 - Google Patents

蝕刻方法 Download PDF

Info

Publication number
TWI813187B
TWI813187B TW111107747A TW111107747A TWI813187B TW I813187 B TWI813187 B TW I813187B TW 111107747 A TW111107747 A TW 111107747A TW 111107747 A TW111107747 A TW 111107747A TW I813187 B TWI813187 B TW I813187B
Authority
TW
Taiwan
Prior art keywords
etching
wafer
gas
silicon oxide
film
Prior art date
Application number
TW111107747A
Other languages
English (en)
Other versions
TW202236422A (zh
Inventor
服部孝司
山田将貴
麥可 渥克
凱瑟琳 金
大竹浩人
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202236422A publication Critical patent/TW202236422A/zh
Application granted granted Critical
Publication of TWI813187B publication Critical patent/TWI813187B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

[課題]提供兼顧氧化矽膜之高蝕刻率和氮化矽膜之低蝕刻率,相對於氮化矽膜,以高選擇比高精度地蝕刻氧化矽的方法。 [解決手段]其係對處理室內供給處理用之氣體而不使用電漿對膜構造進行蝕刻的乾蝕刻方法,該膜構造係事先被形成在被配置於上述處理室內之晶圓上的膜層之端部構成溝或孔的側壁,且該膜層係氧化矽膜被夾在氮化矽膜之上下而被層疊,該乾蝕刻方法之特徵在於,供給氟化氫氣體,將上述晶圓冷卻至-30℃以下的低溫,較佳為-30℃~ -60℃,從上述端部在橫向蝕刻上述氧化矽膜。

Description

蝕刻方法
本發明係涉及對由複數膜層構成的膜構造之處理對象的膜層進行處理的蝕刻方法,且該複數膜層係事先被形成在被配置於處理室內之半導體晶圓等之基板狀之試料的表面,以作為製造半導體裝置之工程,尤其關於在以處理對象之氧化矽膜和氮化矽膜被層疊者,作為試料上面之膜構造時,朝處理室內供給氣體之粒子而蝕刻並去除氧化矽膜的蝕刻方法。
在半導體裝置中,為由於對低消耗電力化和增加記憶容量的需求,朝向更微細化及裝置構造之三次元化發展。在三次元構造之裝置之製造中,由於構造立體性地複雜,故除了以往相對於晶圓面在垂直方向進行蝕刻「垂直性(各向異性)蝕刻」外,廣泛使用也能在橫向蝕刻的「各向同性蝕刻」。以往,各向同性之蝕刻係藉由使用藥液的濕處理而進行,但是由於微細化之發展,藥液之表面張力所致的圖案崩塌或微細的間隙之蝕刻殘留的問題顯著化。而且,也有需要進行大量的藥液處理之問題。因此,在各向同性蝕刻中,產生必須從以往的使用藥液的濕處理變更為不使用藥液的乾處理。 在半導體裝置中,由於廣泛使用氧化矽膜,故其乾蝕刻製程也從以前就有很多的習知例。例如,在日本特開平07-169738號公報(專利文獻1)中,記載藉由乙醇和CF系之氣體,在0℃以下使用電漿蝕刻氧化矽系材料層的技術。再者,在日本特開2013-074200號公報(專利文獻2)中,記載使用氟化氫和甲醇之混合氣體,不使用電漿,在30℃以下蝕刻去除堆積物之技術。 另一方面,在製造半導體裝置之工程中,在作為三次元構造之半導體元件的3D-NAND快閃記憶體之層疊膜加工或Fin型FET之閘極周圍之加工中,需要相對於多晶矽膜或氮化矽膜高選擇且各向同性以原子層等級之控制性蝕刻氧化膜的技術。其中,在3D-NAND構造中,多數的氧化矽膜(SiO 2膜)和氮化矽膜(SiN)被交替層疊,由於形成有深孔形狀或溝形狀的構造,故要需要選擇性地且各向同性地在橫向少量蝕刻氧化矽膜。 即使針對如此之課題,在日本特開2016-025195號公報(專利文獻3)、美國US09613823B號公報(專利文獻4)、日本特開平07-153737號公報(專利文獻5)、美國US05571375B號公報(專利文獻6)中,也記載藉由氟化氫和乙醇,不使用電漿,在如0~30℃,或室溫~40℃般之溫度,蝕刻氧化矽膜之技術。而且,在上述專利文獻3、4、5中有與氮化矽相關的記載。 此外,在日本特開2005-161493號公報(專利文獻7)中,記載藉由從氟化氫和乙醇生成的HF 2 -,不使用電漿,蝕刻具有被形成在氮化矽膜上之氧化矽膜之構造體之點,及之後加熱進一步冷卻該構造體之技術。再者,在美國US10319603B號公報(專利文獻8),揭示在-20℃以下,使用含氧的前驅體和含氟的前驅體,從氮化矽膜和氧化矽膜層疊的結構,在橫向選擇性地蝕刻氮化矽膜的技術。 而且,在美國US09431268B號公報(專利文獻9)中,揭示以使含OH物種吸附於氧化矽膜之表面並使活化之後,藉由無水HF進行蝕刻的方法,從基板之表面加熱並去除在反應產生的水,依此控制蝕刻的技術。 [先前技術文獻] [專利文獻] [專利文獻1]日本特開平07-169738號公報 [專利文獻2]日本特開2013-074200號公報 [專利文獻3]日本特開2016-025195號公報 [專利文獻4]美國 US09613823B號公報 [專利文獻5]日本特開平07-153737號公報 [專利文獻6]美國 US05571375B號公報 [專利文獻7]日本特開2005-161493號公報 [專利文獻8]美國 US10319603B號公報 [專利文獻9]美國 US09431268B號公報
[發明所欲解決之課題] 但是,在上述以往技術中,因針對以下之問題點考慮不充分,故產生問題。 即是,如先前技術所載般,在以往的氫氟酸水溶液或緩衝氫氟酸水溶液所致的濕蝕刻中,存在微細的間隙之蝕刻殘留的問題,或蝕刻之控制性差之問題。另一方面,在乾蝕刻之情況,難以兼顧氧化矽膜之高蝕刻率和氮化矽膜之低蝕刻率,有相對於氮化矽膜,以高選擇比蝕刻氧化矽膜的問題。 因此,在以往的技術中,在上下方向層疊氧化矽之膜層和氮化矽之膜層的膜構造中蝕刻氧化矽膜的工程中,針對無法獲得加工之精度低且所期望的加工後之膜構造的形狀,使得處理之良率受損的問題,並無充分考慮到。 本發明係鑑於上述課題而創作出者,提供兼顧氧化矽膜之高蝕刻率和氮化矽膜之低蝕刻率,相對於氮化矽膜,以高選擇比且高精度地蝕刻氧化矽的方法。 [用以解決課題之手段] 本發明之蝕刻方法係對處理室內供給處理用之氣體而對膜構造進行蝕刻的乾蝕刻方法,該膜構造係事先被形成在被配置於上述處理室內之晶圓上的膜層之端部構成溝或孔的側壁,且該膜層係氧化矽膜被夾在氮化矽膜之上下而被層疊,該乾蝕刻方法之特徵在於,供給氟化氫氣體,將上述晶圓冷卻至-30℃以下的低溫,較佳為-30~-60℃,從上述端部在橫向蝕刻上述氧化矽膜。 [發明之效果] 可以兼顧以高蝕刻率蝕刻氧化矽膜,和以低蝕刻率蝕刻氮化矽膜,其結果,相對於氮化矽膜,能夠以高選擇比且高精度地蝕刻氧化矽膜。
發明者們針對藉由使用電漿的CVD(chemical vapor deposition)被形成在晶圓表面的氧化矽膜(SiO 2膜)及氮化矽膜(SiN)之各者的單層膜,進行不使用電漿的蝕刻之研究。更具體而言,單獨以氟化氫(HF)氣體,或混合氟化氫氣體和氬Ar等之惰性氣體而供給至配置有晶圓的處理室內之情況的上述單層膜之蝕刻,詳細地進行研究。其結果,使用圖7如後述般,研究出在蝕刻中之壓力300Pa之條件中,在將調節為了冷卻保持晶圓之平台而進行循環的冷媒之溫度的冷卻器之設定溫度,設為高於-30℃之值的情況,氧化矽膜和氮化矽膜的蝕刻完全不發生,對此,在設為-30℃以下,尤其設為-50℃以上之溫度的情況,氧化矽膜的蝕刻率急劇增加,成為20nm/min以上。 對此,可知氮化矽膜之蝕刻率即使在-30℃以下之低溫,也保持在較小的1nm/min以下而不會變化。而且,可知在低於-40℃之溫度之範圍的情況,溫度越低氧化矽膜之蝕刻率逐漸下降。其結果,可知氧化矽膜對氮化矽膜的蝕刻率之選擇比在-35℃至-55℃之範圍中,比起在其前後的值相對較高。 然而,氧化矽膜在合併使用氟化氫和乙醇或水之氣體之情況下被蝕刻之情事眾所周知。此時之氧化矽膜之反應式於上述專利文獻7也有揭示,如下述所示。 在此,M係表示H、CH 3、CH 2CH 3等之原子或分子。 例如,在使用氟化氫氣體和甲醇(乙醇)之情況,氟化氫(HF)2分子和甲醇(CH 3OH)產生反應,依照式1生成作為活性種而生成HF 2 -。該HF 2 -與SiO 2反應,生成SiF 4(沸點  -94.8℃),該SiF 4揮發而發生蝕刻。在以上述式2表示的反應中,HF 2 -負責該反應,另外一方面,甲醇在從氟化氫2分子中抽取H +,而發揮生成HF 2 -之作用。 對此,在本發明者研究出的氟化氫氣體單獨或混合供給氟化氫氣體和Ar等之惰性氣體,同時不使用電漿之氧化矽膜之蝕刻中,不進行從處理室之外部對處理室內供給乙醇或水。但是,由於氧化矽膜的蝕刻速率大到某種程度,因此認為HF 2 -成為活性種而發生氧化矽膜的蝕刻。發明者們認為在不從處理室外供給乙醇或水分之條件下,產生HF 2 -之原因,係因為存在於氧化矽膜之表面的微量水分(H 2O)之故。 如上述(式1)所示般,生成作為反應之活性種的HF 2 -,需要MOH,在此為M=H的水(H 2O)。但是,如上述(式2)所示般,水也係反應生成物,認為在促進反應上必須去除水。當水存在過多時,如專利文獻9記載般,產生以下(式3)所示的反應而再生成作為氧化矽的SiO 2,生成氫矽氟酸H 2SiF 6因此,為了抑制作為氧化矽之SiO 2之再生成,必須快速地去除水。在本發明中,利用在-30℃以下之低溫下氧化矽膜之蝕刻率急遽地變大之情形。 即是,-35℃是接近飽和溶液之50%之濃度的氫氟酸水溶液的熔點。發明者們從該情形想到在氧化矽膜的表面藉由反應所生成的水,與所供給的氟化氫之氣體混合,成為接近飽和溶液的氫氟酸,且此成為固體,依此成為水從氧化矽膜表面被去除之狀態,且產生蝕刻之反應。另一方面,認為在較-30℃更高溫之情況,氫氟酸以維持液體之狀態下存在而成為水不被去除之狀態,發生以(式3)表示的氧化矽所產生的反應而不進行蝕刻。 另外,當進行例如從外部的加熱等,完全去除在反應所產生的水時,由於生成作為活性種HF 2 -的(式1)的反應不連續性地產生,因此蝕刻不連續進行。另一方面,如上述般,如-35℃的溫度是氫氟酸在氧化矽表面成為固體的溫度,藉由在水以某程度持續存在於氧化矽表面的狀態下,而且成為固體,水就會被去除而發生蝕刻。在本實施型態中,藉由使上述水固體化,不產生(式3)之反應,從與蝕刻相關之反應的合作中去除,促進氧化矽膜的蝕刻。 如上述般,若藉由本實施型態時,藉由在將晶圓的溫度維持在-30℃以下-60℃以上,較佳為溫度-35℃~-50℃之狀態下,單獨使用氟化氫氣體,或混合氟化氫氣體和Ar等之惰性氣體而供給至晶圓表面,可以兼顧晶圓表面之氧化矽膜之高蝕刻率和氮化矽之低蝕刻率,相對於氮化矽膜可以高選擇比且高精度地蝕刻氧化矽膜。 以下,根據圖面,詳細說明本發明之實施例。 [實施例1] [蝕刻處理裝置] 使用圖1,說明本發明之實施例所涉及之蝕刻處理裝置100之構成。圖1為示意性地表示本發明之實施例所涉及之蝕刻處理裝置之構成之全體的縱剖面圖。 在本圖中,蝕刻處理裝置100具備:下部單元57,該下部單元57具備在上下方向具有中心軸之圓筒形之金屬製之作為真空器的基底腔室11;和上部單元58,該上部單元58係被載置於基底腔室11之上方而構成基底腔室11之圓形之頂面。而且,在下方,配置包含排氣並減壓基底腔室11內部的排氣泵的真空排氣部63。 在基底腔室11之內部配置內側被減壓的處理室1,該處理室1係被其側壁和底部包圍的空間。在具有圓筒形之處理室1之內部,配置在其上面載置晶圓2之具有圓筒形之作為支持台的晶圓台3。在晶圓台3之外周側的基底腔室11之底部,至少配置一個連通理室1之內外的貫通孔,該些貫通孔之開口構成排出處理室1之內部之氣體或粒子的排氣口。 而且,在處理室1之頂面之中心部且夾著被導入用以處理晶圓2表面之膜層之氣體的空間而在晶圓台3之上面上方,與該上面相向配置石英等之介電質製的作為圓板的噴淋板23,作為上部單元58而構成處理室1之頂面。在噴淋板23具備複數貫通孔,處理氣體通過該貫通孔而被供給至處理室1內。本實施例之處理氣體係混合複數種類之元素的混合氣體,該複數種類之元素包含與事先被配置在晶圓2的表面之處理對象之膜層反應的至少1種類的反應性氣體,和將此稀釋至特定比例的稀有氣體。 處理氣體係藉由在被配置成與噴淋板23之貫通孔連通的處理氣體供給用之管路上依照每氣體種類所配置的質量流量控制器50,按每氣體種類調整其流量或速度,複數根之氣體用之配管匯集成一個,氣體合流而作為處理氣體被供給至噴淋板23的貫通孔。再者,在質量流量控制器50之下游側合流的處理氣體供給用之配管的更下游側,配置有氣體分配器51,從氣體分配器51至噴淋板23之間,連接有複數根作為氣體供給用之管路的氣體供給管56,該些配管之下端被配置成與被配置在噴淋板23之中心部或外周部之貫通孔連通。 在本實施例中,通過與噴淋板23的貫通孔連通的各氣體供給管56,在中心部和外周側部分別獨立地調整流量和組成的處理氣體在處理室1內從上部中央部被供給,在處理室1的中心附近和外周附近的各區域中,處理氣體之分壓分佈被調整為期望值。另外,在圖1之例中,作為成為處理用氣體之原料的元素,雖然記載Ar、N 2、HF,但是即使也供給從其他種類構成的處理氣體亦可。 而且,在本實施例中,即使使液體之原料氣化而獲得的氣體,作為處理氣體,通過噴淋板23的貫通孔而被供給至處理容器1內亦可。例如,即使使用液體之HF或含此的物質作為原料,並且藉由在無圖示之蒸氣供給器使被儲存在貯留部內的該些原料的液體氣化而獲得的氣體作為處理用氣體使用亦可。 在從原料之蒸氣構成的處理氣體不被導入至處理室1內之期間,連結氣體分配器51和貯留部之間的管路上之一個閥體被關閉,液體之原料和處理室1之間被截斷。以在原料之蒸氣流通的配管上配置加熱器,接受來自該加熱器之熱而加熱配管內部,使原料之蒸氣在管內部不凝結為佳。 在基底腔室11內之處理室1之下部,且於晶圓台3之下方,經由真空排氣配管16連通且連接有包含用以排氣並減壓處理室1內部的排氣泵之排氣裝置15。排氣裝置15係設為具備例如可以將渦輪分子泵、機械增壓泵或乾式泵等之處理室1內部減壓至特定真空度的排氣泵。再者,為了調節處理室1內部之壓力,在將連通基底腔室11之底部構件的排氣口與排氣裝置15之間予以連結且連通的真空排氣配管16上,配置有調壓閥14。調壓閥14具備至少一個橫向穿越真空排氣管16之排氣流通的流路而配置的板狀之擋片,具備藉由使該擋片朝旋轉或穿越流路的方向移動來增減流路剖面積,增減在內部流動的排氣之流量或速度的構成。 基底腔室11和其上方的圓筒形之上部單元58後者被安裝的狀態下,在基底腔室11之圓筒形之側壁上端的上方,圓筒狀的上部單元58的底面的外周端被載置且安裝成在該些之間隔著O形環等之密封部件而氣密地密封處理室1的內外。上部單元58之下部之中央部配置噴淋板23,另外,在噴淋板23之外周側之區域配置具有環形狀的IR燈單元59,並且IR燈單元59之IR光透過窗72構成上部單元58之下面亦即處理室1之頂面。而且,上部單元58具備金屬製之圓筒形之上部單元蓋62,該上部單元蓋62係包圍IR燈單元59及被配置在其中心部之噴淋板23之上方和在其上方與此連結的氣體供給管56的周圍。 在本例中,在晶圓台3之上面之外周側區域之上方,以環狀地包圍該上面和被載置於該上面之晶圓2之方式,配置IR燈單元59。IR燈單元59具備放射包含鹵素燈等之紅外光之波長區域的複數波長之電磁波的環狀之燈60,從燈60穿透透過窗72而被放射至處理室1內的電磁波從周圍被照射至晶圓2。本例中之放射的電磁波設為釋放包括很多從可見光到紅外光之波長區域的電磁波(在此,稱為IR光)者。 IR燈單元59具備:燈60,該燈60係三圈環狀地被配置在噴淋板23的周圍;環形狀之反射板61,該反射板61係被配置成覆蓋在燈60之上方,朝向處理室1的中央側和下方向(被載置的晶圓2之方向)反射被放射的IR光;和IR光透過窗72,該IR光透過窗72係被配置在燈60之下方,以石英等之IR光穿透的介電質製之環狀的構件包圍噴淋板23。本例的IR燈60係使用從上方觀看,在噴淋板23或處理室1的上下方向之中心的周圍,同心圓狀地配置複數根的圓圈型(圓形狀)燈60-1、60-2、60-3。即使使用被配置成螺旋狀之一根的燈以取代該些複數根的燈亦可。再者,在本實施例中,雖然設為設置3圈份的燈,但是即使設為2圈、4圈等亦可。 燈60係連接供給電力的燈用電源73,在電性連接該些之間的供電路徑上,配置用以減少高頻電力之雜訊而抑制流入至燈用電源73的高頻截止濾波器74。再者,燈用電源73具有可以獨立地控制被供給至燈60-1、60-2、60-3之各者之電力的機能。 環形狀地包圍噴淋板23之IR燈單元59具有面對IR光透過窗72之環狀之處理室1的頂面部,和包圍被連接於其內周端部之上方的噴淋板23,和在其背面側被連結於貫通孔之氣體供給管56的圓筒形之內周側壁部。圓筒形之內周側壁部也與頂面部相同,由IR光穿透的介電質製之構件構成,被放射的IR光通過圓筒形部而被照射至噴淋板23,同時穿透此而被放射至處理室1內。 在晶圓台3之圓板或圓筒形之金屬製構件之內部,配置用以冷卻晶圓台3並調節溫度的冷媒在內側流動的流路39,供給並循環在與流路39之出入口連結的冷卻器38中溫度被調節成特定範圍內之值的冷媒。本實施例之冷卻器38係使用可以將冷媒或金屬製之構件調節成至少-30℃至-60℃,較佳為-35℃至-50℃之範圍的溫度。而且,在金屬製之構件之上面,配置構成使晶圓2載置於其上方之上面的介電質製的膜,在該介電質製之膜內,內置供給用以藉由靜電吸附固定晶圓2之直流電力的複數板狀之電極板30。在各者的電極板30連接DC電源31之各者。 再者,為了效率佳地調節晶圓2之溫度,在晶圓台3之介電質膜之上面,配置供給氦(He)氣體55之供給口,被構成在載置晶圓2之狀態下,對晶圓2之背面和介電質膜之間供給He氣體,而可以促進晶圓2和流路39之間的熱傳達。再者,為了在藉由靜電吸附晶圓2之狀態下就算進行加熱或冷卻,亦抑制對晶圓2之背面被刮傷,介電質膜係由聚醯亞胺等的樹脂構成。 再者,在晶圓台3之金屬製之構件之內部,配置檢測晶圓台3或金屬製之構件之溫度的作為溫度檢測器(感測器)之熱電偶70,被連接於熱電偶溫度計71。來自熱電偶溫度計71的輸出被傳送到無圖示之控制蝕刻處理裝置100之動作的控制部,控制部內的運算部根據被存儲於記憶裝置內的事先設定的軟體之運算法,檢測溫度的值,因應該被檢測到的值及從該值所得到的晶圓2之徑向之所期望之溫度分佈的差,以成為所期望之晶圓2之溫度分佈之方式,對燈用電源73發送獨立調節來自燈60-1、60-2、60-3之各者的IR光之輸出的指令訊號。 另外,在本實施例之蝕刻處理裝置100中,作為用以冷卻晶圓台3之機構,除了藉由冷媒在內側循環之流路39使冷媒循環之構件外,亦可以使用因應被供給至晶圓台3內部之電力而形成溫度差的作為熱電轉換裝置之帕耳帖元件等。再者,可以將被曝露於氟化氫氣體及甲醇氣體等的處理氣體之處理室1之晶圓台3以外的內側壁面,加熱至例如40℃至120℃之範圍內的溫度。依此,可以抑制在處理室1之內部構件之表面吸附氟化氫氣體及甲醇氣體,減少在內部之構件產生腐蝕。 晶圓台3之平台溫度藉由熱電偶70所致的熱電偶溫度計71,相對於上述冷卻器38的設定溫度在±1℃以內的差,再者,以熱電偶70另外測量到的晶圓2之溫度,為±3℃以內的差(相對於晶圓台3之平台溫度,為±2℃以內)。 再者,在本發明中使用之蝕刻處理裝置100可以加溫處理室1等之被曝露於氟化氫之晶圓台3以外之基底腔室11之內部。例如,作為溫度,可以使用40℃至120℃程度。依此,可以防止在基底腔室11內部吸附氟化氫氣體等,能夠極力地減輕基底腔室11內部之腐蝕。 [蝕刻製程之流程] 接著,使用圖2、3、4說明圖1所涉及之本實施例所涉及之蝕刻處理裝置100對晶圓2實施的蝕刻處理之工程。圖2為表示圖1所示的本實施例所涉及之蝕刻處理裝置實施的蝕刻處理之流程之一例的流程圖。 在圖2之例中,首先,被載置於與基底腔室11鄰接配置的無圖示之另外的真空容器,亦即內部被減壓的空間所具備的機械臂等之晶圓搬運機械之前端部上的晶圓2,通過被配置在包圍處理室1之水平方向之周圍的基底腔室11之側壁部分,晶圓2在處理室1之內外之間被搬入搬出的作為搬運口的閘閥(省略圖示),被搬入至處理室1內之晶圓台3上方之後,被收授至突出於晶圓台3上面上方之3根以上的插銷前端上。晶圓2係在機械臂從處理室1退出且閘閥被氣密地封閉之後,藉由插銷朝晶圓3內部下降和收納,被載置於晶圓台3上面,藉由來自靜電吸附用DC電源31之電力,被供給至被配置在構成晶圓台3之上面的氧化鋁和氧化釔等之陶瓷製之介電質膜內的靜電吸附用電極30,被吸附且保持於介電質膜上面上。 之後,在晶圓2之背面和介電質膜之間的間隙,為了促進晶圓台3和晶圓2之間的熱傳達,供給He氣體55等的具有傳熱性之氣體,依此晶圓2的溫度逐漸接近於晶圓台3,該晶圓台3之溫度接近在流路39流動的冷媒之溫度,進行圖2之步驟S101所示的晶圓冷卻之工程。本例之事先被形成在晶圓2上面的氧化矽膜之蝕刻處理中,晶圓2之溫度被維持在-30℃至-60℃,較佳為-35℃至-50℃之範圍內的值。因此,在流路39流動的冷媒被設為低於蝕刻處理中之晶圓2之溫度的溫度,例如-40℃以下之值的溫度而被供給至流路39且循環。 接著,作為步驟S102,用以稀釋HF氣體的Ar氣體52經由質量流量控制器50、氣體分配器51還有噴淋板23從上方被供給至處理室1內部。在本例中,即使開始供給稀釋用的Ar氣體52持續到判定晶圓2的上面之處理對象之氮化矽膜的蝕刻處理的終點亦可,即使在中途停止或重複複數次供給和停止(斷續)亦可。再者,作為稀釋用之氣體,亦可以使用其他的惰性氣體,例如氮N 2之氣體,以取代Ar氣體52。 接著,作為步驟S103,在晶圓2被保持在晶圓台3上面,其溫度被維持在-30℃至-60℃,較佳為-35℃至-50℃之範圍的狀態下,HF氣體以特定流量僅在特定時間被供給至處理室1。被供給至HF氣體到達至晶圓2表面之氧化矽膜之表面,與氧化矽反應以去除氧化矽,進行蝕刻。 在本實施例中,可以使用Ar或N 2等之惰性氣體作為稀釋氣體。因有稀釋氣體之添加量越多,蝕刻率越下降之傾向,因此也能夠控制蝕刻速率。 在本實施例中,步驟S103中之處理室1之壓力以10Pa至2000Pa之範圍內的值為佳,尤其以100Pa至1000Pa之間的值為佳。如後述般,壓力越高,氧化矽膜的蝕刻率越高,同時發生蝕刻的溫度也些許高溫化。另一方面,提升壓力之情況,如後所述,隨此有氮化矽膜的蝕刻率略微增加的傾向,成為選擇比沒有顯著提高的結果。 僅以特定時間,在步驟S103中進行供給HF氣體之後,藉由氣體分配器51之流量調節器之動作,停止朝處理室1供給HF氣體(步驟S104)。即使在該步驟S104中,調壓閥14的開口度和排氣裝置15的排氣泵的旋轉數被調節成與步驟S103相同,藉由停止朝處理室1內供給HF氣體,殘留在處理室1內的氣相中的HF氣體與被形成在處理室1內的反應性生物或其他氣體之粒子一起通過排氣口及真空排氣配管16被排出至處理室1外,處理室1內被減壓。接著,停止供給在晶圓2和晶圓台3之間供給的He氣體55。同時開啟閥體54,使晶圓2之背面之壓力成為與處理室1內之壓力相同程度(即是,去除晶圓2之背面的He氣體)。 即使接續於該步驟S104之後,進行晶圓2之後處理的工程亦可。 使用圖3說明本實施例成為對象之膜之構造的例。圖3為示意性地表示實施圖2所示之蝕刻處理之工程的晶圓上之膜構造之一例的縱剖面圖。 如圖3(a)所示般,在晶圓2的矽製之基板101上,配置膜構造,該膜構造係在上下方向交替層疊複數氧化矽膜(SiO 2膜)103和氮化矽膜(SixNy,SiN膜)102,且在上下方向(深度方向)貫通複數膜層而形成有孔或溝形狀。如此之膜構造係孔或溝在最上層之膜層(在本圖中為氧化矽膜103)表面具有開口部104,為在3D-NAND所需的構造。 在該膜構造中,層疊數十至數百層。由於本例之氧化矽膜103之膜厚為數nm至100nm,窒化矽膜102之膜厚為數nm至100nm,故膜構造全體之厚度105為數μm至數十μm。再者,開口部104之寬度為數十nm至數百nm。 藉由使用圖2所示之蝕刻理之工程,如圖3(b)所示般,構成孔或溝的側壁面的各氧化矽膜103的端面與從開口部104侵入至孔或溝內部的HF氣體反應,相對於其上下的氮化矽膜102以高選擇性被蝕刻。藉由端面被蝕刻去除的各氧化矽膜103的端面再次與被供給的HF氣體反應而被去除,在上下夾持的氮化矽膜102的端面的位置沒有明顯變化,對此各氧化矽膜103在橫向(圖上左右方向)進行蝕刻。在本例中朝橫向蝕刻的尺寸106為數nm至數十mn,以10nm程度為最佳。 在橫向蝕刻氧化矽膜103時,相對於氮化矽膜102的選擇比為15以上,尤其以20以上為佳。在選擇比低的情況,氮化矽膜102的蝕刻與氧化矽膜103的蝕刻並行進行。在如此的情況,如圖4所示般,氮化矽膜102之蝕刻後的端部的形狀成為圓形而不是矩形,有對由如此的形狀的膜構造形成的半導體裝置的性能造成不良影響之虞。圖4係示意性地表示相對於圖3所示之晶圓上之膜構造的蝕刻處理中,選擇比低之情況的處理後之形狀之一例的縱剖面圖。 若藉由發明者們的經驗時,在相對於圖3(a)所示的氧化矽膜103和氮化矽膜102層疊的膜構造,針對本例的蝕刻處理,選擇比為15以上,更佳為20以上之情況下,在構成溝或孔的側壁面的各膜層的端面,能夠得到如圖3(b)所示的更接近於矩形的形狀。另一方面,當選擇比未達15,特別是10以下之情況,如圖4所示的氮化矽膜之端部的形狀變圓,並不理想。 若藉由本發明者們的研究時,改變晶圓2的溫度,使氧化矽膜103和氮化矽膜102交替層疊的圖3(a)所示的膜構造進行蝕刻之結果,可知在-30℃時正如預料般幾乎無進行蝕刻,在-55℃時刻蝕量略小,在-35℃~-50℃時雖然有進行刻蝕,但是得到圖3(b)之形狀。再者,在這些蝕刻後進行加熱之結果,發現在加工後的層疊膜的表面,殘渣等之殘留物或生成物所致的表面形狀之粗糙小。 作為圖3中所示的基板101,雖然舉出矽製的基板或矽鍺製之基板,但是並不限定於此。氧化矽膜(SiO 2膜)103和氮化矽膜(SiN膜)102交替層疊而被形成。這些即使為藉由電漿CVD、化學氣相沉積法(CVD法)、原子層沉積法(ALD法)、濺鍍法、前軀體塗佈法和燒結法般之方法來形成亦可。 當蝕刻膜構造時,且該膜構造係作為蝕刻對象的氧化矽膜103被夾在氮化矽膜102上下且而被層疊的膜層之端部構成溝或孔的側壁,有在該些膜之表面或端部產生堆積物或附著物之情況。如此的堆積物或堆積物係藉由對晶圓2照射從圖1所示的蝕刻處理裝置100所具備的燈60放射的紅外線等之電磁波,加熱膜構造體使在表面形成的堆積物或附著物能夠熱分解並脫離。依此,可以使圖3所示的膜構造的表面更平滑。 另外,如此的晶圓2之加熱不限定於使用燈60者。例如,即使使用配置於晶圓台3內的發熱器等之加熱器,藉由從晶圓台3的熱傳達來加熱晶圓2亦可,即使將晶圓2從圖1所示的蝕刻處理裝置100之處理室1搬運至外部,在另外的加熱裝置內部進行加熱亦可。再者,當燈60-1、60-2、60-3照射電磁波時,即使將Ar氣體或或N 2氣體等之惰性氣體導入至處理室1內亦可。 如上述般地在上下方向交替層疊的氮化矽膜102及氧化矽膜103之端部構成溝或孔之側壁的膜構造的蝕刻處理中,有在氮化矽膜102之表面或溝或孔之側壁之表面附著或堆積在處理中所生成的生成物之情況。發明者們以全反射紅外吸收光譜分析附著物、堆積物之結果,發現該些含有氟化矽銨。 若藉由發明者們的研究時,儘管在上述處理條件下不使用氨,但是氮化矽膜102之一部分被蝕刻,依此猜想為有從氮化膜之氮生成氨,產生氟化矽銨(NH 4) 2SiF 6的情況。另一方面,若藉由安全數據表等之資訊時,可知氟化矽銨在145℃分解。 發明者們得到在如上述般地供給HF氣體而對氧化矽膜103進行蝕刻處理的工程之結束後,藉由在被減壓的處理室1內加熱膜構造或晶圓2並使升溫,可以去除包含所生成的含有氟化銨的堆積物之見解。在此,將在圖2所示之蝕刻處理之工程的流程中追加加熱作為後處理之晶圓2而去除堆積物的工程者,作為上述實施例之變形例,接著予以說明。 圖5為圖2所示之實施例中的蝕刻處理之變形例之流程的流程圖。本圖中,表示接著圖2所示的步驟S101至S104之工程,追加包含加熱晶圓2之工程的步驟S105和包括冷卻晶圓2之工程的步驟S106的處理之流程。 圖6為圖1所示之實施例所涉及之蝕刻處理裝置進行圖5所示之變形例所涉及之蝕刻處理之時之動作之流程的時序圖。在圖5、6中,步驟S101至S104之工程與圖2所示者相同。步驟S101至S104之工程係之後不需要加熱晶圓2之工程的晶圓2之處理條件的情況下,即使省略圖6之時序圖所示的加熱和冷卻之工程(步驟S105、S106)亦可。 即是,與圖2之例相同,未處理的晶圓2被搬運至處理室1內,且被載置於晶圓台3上面,而被吸附並保持在晶圓台3上面的介電質膜的上面上之後,在晶圓2之背面和介電質膜之間隙中被供給He氣體55等之具有導熱性之氣體,進行晶圓2之冷卻的工程(步驟S101)。即使在本變形例中,晶圓2之溫度被維持在-30℃至-60℃的範圍內,以-35℃至 -50℃之範圍內的值為佳。 接著,作為步驟S102,在用以稀釋HF氣體的Ar氣體52從上方被供給至處理室1內部,接著,晶圓2之溫度被維持在-30℃至-60℃,以-35℃至-50℃為佳之範圍的狀態下,僅在特定時間以特定流量向處理室1供給HF氣體,藉由被供給的HF氣體和晶圓2表面之氧化矽膜的反應,去除氧化矽而進行蝕刻(步驟S103)。 步驟S103被進行特定時間之後,停止朝處理室1供給HF氣體,殘留在處理室1內之氣相中的HF氣體或被形成在處理室1內之反應性生物或其他氣體之粒子被排出至處理室1外,接著,停止在晶圓2和晶圓台3之間供給的He氣體55(步驟S104)的供給。同時開啟閥體54,使晶圓2之背面之壓力成為與處理室1內之壓力相同程度(即是,去除晶圓2之背面的He氣體)55。 在本圖之例中,在步驟S105中之晶圓2之加熱使用包含圖1所示的IR(紅外線)燈60-1、60-2、60-3的IR燈單元59。步驟S105開始之同時,因應來自無圖示之控制器的指令訊號,來自燈用電源73之電力被供給至燈60-1、60-2、60-3而包含紅外線區域的電磁波被照射至晶圓2上。如此的晶圓2之加熱和冷卻的工程,即使在將步驟S101至S104作為一組而重複複數次直到獲得特定量的氧化矽膜103的蝕刻後被執行亦可,即使作為接續於S101至S104之後被進行的一組之工程(循環)的一部分,而至少在每一次循環被進行亦可。 用以進行加熱的構成不限定於此,即使為例如加熱晶圓台3的方法或將晶圓2分別地搬運至僅進行加熱的裝置並進行加熱處理的方法亦可。再者,在照射來自IR燈單元59之電磁波之時,可以導入Ar氣體或氮氣。再者,即使去除附著物或堆積物的加熱因應所需進行複數次亦可,在附著物或堆積物之量被判定為容許範圍內之情況,也能夠不用進行上述加熱及冷卻之步驟S105、S106。 另外,被控制器判定在步驟S105中晶圓2已經被加熱到特定時間或特定溫度之後,IR燈單元59的動作停止並且步驟S105結束。之後,在維持朝晶圓台3內部的流路39供給特定溫度的冷媒和朝晶圓2和晶圓台3之間供給He氣體的狀態下,進行冷卻晶圓2的步驟S106。直至控制器檢測出到達至特定時間或特定溫度為止,繼續對晶圓2進行冷卻後,停止步驟S106,對晶圓2的氧化矽膜103之蝕刻處理的工程結束。 再者,作為去除蝕刻後的堆積物和殘渣的後處理,也能夠藉由水洗的去除,來取代在真空中的加熱。再者,還可以使用O 2電漿使表面的附著物脫離、揮發而進行去除的洗淨處理。 [蝕刻結果1] 使用圖7表示使用圖2至圖6中所示的工程而進行的蝕刻處理之結果的一例。圖7係表示圖2所示之實施例所涉及之蝕刻處理中之蝕刻率和選擇比相對於晶圓之溫度變化的變化之曲線圖。在本圖中,以點及線表示使被供給至流路39之冷媒的溫度在-25℃至-55℃之範圍變化的情況下,測量藉由電漿CVD形成的氧化矽膜和氮化矽膜之各者的單層膜的蝕刻率之結果。 在本例中,在作為蝕刻並除去氧化矽膜103的工程的步驟S103中導入至處理室1的處理用之氣體,係使用對氟化氫400sccm添加100sccm的作為稀釋氣體之Ar後的混合氣體。另外,將該工程中的處理室1內的壓力設為300Pa,將蝕刻時間設為120s(sec、秒)。 再者,在該蝕刻處理之工程結束後,在步驟S104中進行20秒的排氣後,以500sccm的流量向處理室1供給Ar,直到冷煤的溫度之設定維持至前一步驟的設定為止,調壓閥14之開口度在100%(完全打開狀態)以特定燈強度下加熱50秒鐘。此時的最高到達溫度為約250℃。再者,之後,關掉燈,在使Ar流動500 sccm之狀態下,冷卻120秒鐘。 圖7(a)表示相對於在流路39循環的冷媒之溫度的設定,用點和實線繪製氧化矽膜及氮化矽膜的蝕刻率之結果。 從本圖,可以說在冷煤之設定溫度高於-30℃之情況,氧化矽膜103、氮化矽膜102中之任一者都不會發生蝕刻。再者,可知在-30℃以下的溫度條件中,氧化矽膜103的蝕刻率急劇增加。在這些處理條件下,氧化矽膜103的蝕刻率在-40℃表示30nm/min的值作為極大值。而且,依此表示當成為-40℃以下的低溫時,蝕刻率有減少的傾向。 對此,可知氮化矽膜的蝕刻率在-30℃~-55℃的範圍為1nm/min以下,不發生蝕刻。因此,可知在使用的條件下,在-35℃~-55℃的範圍,氧化矽膜相對於氮化矽膜被選擇性地蝕刻。在圖7(b)表示作為選擇比,相對於冷卻器的設定溫度,使氧化矽膜相對於氮化矽膜的蝕刻率予以曲線圖化。雖然有點的偏差,但可知在-35℃~-55℃,約50以上的選擇性。 由上述結果可知,為了兼顧氧化矽膜之高蝕刻率和氮化矽膜之低蝕刻率,相對於氮化矽膜,以高選擇比高精度地蝕刻氧化矽膜,以晶圓溫度-35℃~-55℃為佳。由於氧化矽膜的蝕刻率高,故以晶圓溫度為-35℃~-45℃更佳。 再者,本例之氧化矽膜103之蝕刻,也可以將圖5所示的步驟S101至S106作為一個步驟(循環)重複進行複數次。在此情況,成為將圖6之時序圖重覆所需要的次數。例如,在沉積物多之情況等,藉由重複短時間的蝕刻和真空中之加熱來進行去除去,變得更容易去除。 [蝕刻結果2] 針對與藉由以實施例1或變形例說明的蝕刻處理裝置100實施的晶圓2之處理的工程相關的蝕刻之條件中,提高壓力而進行蝕刻之結果,以另一變形例,使用圖8以下予以說明。圖8為表示實施圖2所示之蝕刻處理之另一變形例所涉及之蝕刻處理之工程之結果的曲線圖。 與實施例1相同,將冷媒之溫度在-25℃至-55℃範圍內變化至複數值,來實施不使用電漿將氟化氫氣體導入至處理室1內而在晶圓2上事先被形成的氧化矽膜和氮化矽膜層疊的膜構造之蝕刻,檢測在各溫度下之蝕刻處理的結果。在此,用於蝕刻處理的處理用之氣體係在400sccm的氟化氫中添加100sccm的作為稀釋氣體的Ar後的混合氣體。相對於在圖2等之實施例或變形例中之處理中的處理室內1內之壓力為300Pa,將壓力設為500Pa,其他設為相同的條件下,實施進行120秒鐘步驟S103的蝕刻工程。 再者,在步驟S103之氧化矽膜103之蝕刻工程結束,停止供給氟化氫氣體之後,作為步驟S104的步驟,排氣20秒鐘,作為步驟S105,維持冷媒之溫度設定而一邊以500sccm朝處理室1內供給Ar,一邊在調壓閥14打開100%(全開)的狀態下,對IR燈單元59供給特定電力,照射來自燈60-1、60-2、60-3之電磁波而對晶圓2加熱50秒鐘。在該步驟S105中之晶圓2的最高溫度為約250℃。在停止燈60-1、60-2、60-3的電磁波之照射並結束步驟S105之後,一面以500sccm對處理室1內供給Ar,一面使晶圓2冷卻120秒鐘。 圖8(a)係以點和實線表示檢測到的氧化矽膜和氮化矽膜的單層膜的蝕刻率相對於冷媒的設定溫度之變化的變化之結果。 若藉由本圖時,可知在流路39流通的冷媒的設定溫度高於-30℃之情況,氧化矽膜、氮化矽膜均不發生蝕刻。再者,可知當成為-30℃以下時,氧化矽膜的蝕刻率急遽增加。在所使用的條件下,氧化矽膜的蝕刻率在-35℃具有最大值並顯示為40nm/min。而且,表示當成為較-35℃更低溫時,蝕刻率減少的傾向。 與圖7(a)所示的蝕刻處理中的處理室1內的壓力為300Pa之條件的情況相比,可知在壓力500Pa進行處理的圖8(a)的情況下,表示氧化矽膜之蝕刻率的實線之輪廓稍微向高溫側偏移。 如上所述,在本實施例、變形例中,認為HF 2 -成為活性種而發生蝕刻。如(式1)中所載,產生HF 2 -應為存在於氧化矽膜之表面上之微量的水。另一方面,如(式2)所示,水也是反應生成物,為了進行反應,水的去除係不可或欠。 在上述實施例、變形例中,氧化矽膜之蝕刻處理中,晶圓2或支持此的晶圓台3的溫度被維持在-30℃以下的值。在此,-35℃係接近於飽和溶液的50%之濃度的氫氟酸水溶液的熔點,在氧化矽膜的表面,反應生成的水與被供給的氟化氫之氣體混合而成為接近於飽和溶液的氫氟酸,且此變成固體,依此水分晶圓2的膜構造之表面被去除,猜想為進行著氧化矽膜之蝕刻處理。 在本變形例中,藉由將處理中的處理室1內的壓力從實施例1中的300Pa增加至500Pa,上述氫氟酸更容易變成固體,如圖8(a)所示般,曲線已移至高溫側。再者,認為在-35℃的蝕刻率較圖7(a)中的蝕刻率增加,也有助於此。 對此,可知氮化矽膜的蝕刻率在-30℃~-55℃的範圍為2nm/min以下,幾乎不發生蝕刻。因此,可知在使用的條件下,在-35℃~-55℃的範圍,氧化矽膜相對於氮化矽膜被選擇性地蝕刻。 圖8(b)係以點和實線表示氧化矽膜對氮化矽膜的蝕刻率的比率相對於冷媒的設定溫度之變化的變化。認為該比率表示該些膜彼此之間的選擇比。在本圖中,雖然有點的偏差,但在-35℃選擇比高達70,而在-40℃~-55℃時選擇性約為20~50程度,在將可知壓力提高至500Pa之本變形例中整體而言選擇比略低。 另外,發明者們對具有圖3(a)所示之氧化矽膜和氮化矽膜之層疊膜的膜構造的晶圓2,以本變形例之壓力的條件,改變冷媒之溫度,實施圖5所示的步驟S101至S106的工程。根據發明者們對處理之結果的考察,可知在-30℃發生一些蝕刻,但蝕刻量很小。可知在-35℃~-50℃進行蝕刻,成為期望的圖3(b)所示的形狀。再者,藉由在蝕刻後進行加熱,在加工表面沒有發現殘渣等,附著物和凹凸的產生被抑制。 [實施例2] [蝕刻處理裝置] 接著,參照使用圖9,對包含本發明的實施例2所涉及之蝕刻處理裝置的整體構成概略說明。圖9為示意性地表示本發明之另外實施例所涉及之蝕刻處理裝置之構成之概略的縱剖面圖。 在本圖所示的蝕刻處理裝置900中,與圖1所示的實施例的蝕刻處理裝置100之構成上不同之處在於,在內置處理室1及晶圓台3之基底腔室11之上方,配置處理室1和具有圓筒狀的經由流路27連通的電漿源901的點。本例的電漿源901係被使用於朝與基底腔室11連連且同樣地構成真空容器的石英腔室12之內部的空間供給處理用之氣體而形成電漿,用於藉由電漿中之反應性高的粒子,洗淨真空容器內壁或生成反應性高的氣體。 電漿源901係在基底腔室11之上方,夾著IR燈單元59配置用以在內部形成ICP(感應耦合電漿)之石英等的介電質製且具有圓筒形的石英腔室12。在石英腔室12之外側壁之周圍,於上下方向配置捲繞複數圈ICP的供給用以形成電漿之高頻電力而形成高頻電場的作為線圈的ICP線圈20。 在ICP線圈20經由匹配機22電性連接高頻電源21。高頻電力的頻率使用幾十MHz的頻帶,在本例中為13.56MHz。在石英室12之側壁上端上方,配置圓板形狀的頂板25,以將石英腔室12內部的圓筒形之放電室與外部蝕刻處理裝置900的周圍氛圍之間氣密地隔開之方式,O形環等之密封件被夾在之間,兩者被連結。 在頂板25上連接處理氣體或惰性氣體通過內部的複數根氣體供給用的作為管路的氣體供給管56。在頂板25的下方,配置具有圓板形狀而在上下方向配置複數貫通孔的氣體分散板24和在其下方的噴淋板23。通過氣體供給管56被供給的處理氣體或惰性氣體,通過氣體分散板24和噴淋板23的貫通孔而分散,從上方向下方被導入至石英腔室12內部。 氣體與圖1之實施例相同,藉由按每種氣體類設置的質量流量控制器50而調節供給流量。再者,在質量流量控制器的下游側,設置氣體分配器51,被構成可以供給至石英腔室12的中心附近的氣體和供給至外周附近的氣體的流量或組成之值分別獨立調節,調節石英腔室12內之各種類的氣體的分壓分佈。另外,雖然在圖9中將Ar、N 2、HF和O 2記載為被供給至容器內的氣體,但是即使因應所需使用其他氣體亦可。 被導入至由石英腔室12和頂板25所包圍的具有圓筒狀之空間的氣體,藉由高頻之感應電場被激發,該高頻之感應電場係藉由被供給至ICP線圈20的高頻電力所形成的感應磁場而形成,產生電離、解離而生成電漿。即是,上述圓筒形之空間為放電室。 在放電室下方之IR燈單元59的中央,配置圓筒形的流路27,並且與下方的處理室1的上部連通。在該流路27內部配置有狹縫板26,該狹縫板26係石英等的介電質製且由具有穿透性的材料構成,在上下方向上形成有複數貫通孔的圓板。貫通孔之形狀即使平面形狀為矩形亦可,即使為圓形或橢圓形亦可,不限於狹縫。狹縫板26的貫通孔係遮蔽在放電室內形成的電漿中產生的離子或電子等的帶電粒子,同時使活性種(自由基)和中性的氣體之粒子通過而進行至下方的處理室1。 再者,本例的處理裝置能夠對處理室等之被曝露於氟化氫氣體的晶圓台3以外的真空容器的內部進行加溫。例如,可以將基底腔室11之壁面維持在40℃至120℃之間的溫度。依此,能夠防止氟化氫等之氣體的粒子和生成物吸附於處理室1的內部壁面,能夠抑制腔室內部的腐蝕。 [蝕刻製程] 使用圖9所示的蝕刻處理裝置900,進行與實施例1相同之工程的晶圓2的蝕刻處理。由於蝕刻處理裝置900搭載ICP電漿的電漿源902,所以在晶圓2的蝕刻處理之工程之開始前,將氧導入放電室內而形成氧電漿,藉由以氧電漿形成的帶電粒子或具有反應性的粒子,洗淨處理室1或放電室內之內部的壁面。 作為該洗淨工程的條件,以氧氣(O 2)的供給量為1000sccm,放電室或處理室1內部的壓力為50Pa,被供給至ICP線圈的高頻電力為1500W之條件,進行300秒鐘形成該洗淨用的電漿。藉由該工程,可以減少內部之異物等。 再者,作為蝕刻處理的步驟S103之工程的條件,將冷媒的設定溫度設為-40℃,對不使用電漿,將氟化氫氣體經由放電室導入至處理室內而在晶圓2上被事先形成的氧化矽膜和氮化矽膜的單層膜之各者進行蝕刻。在此,該些用於蝕刻之時的氣體,使用混合600sccm的氟化氫和100sccm的Ar的混合氣體。蝕刻處理中的處理室1內的壓力被維持在300Pa,進行120秒鐘的蝕刻。 而且,蝕刻處理之工程結束後,將處理室1內排氣30秒鐘之後,將晶圓2搬出至處理室1外部,檢測氧化矽膜及氮化矽膜之各者的膜厚和蝕刻率。其結果,氧化矽膜的蝕刻率為36nm/min。對此,氮化矽膜的蝕刻率為0.9nm/min,氧化矽膜相對於氮化矽膜之蝕刻的選擇比為40。 而且,使用圖2所示的蝕刻處理之工程,對圖3(a)所示的層疊氧化矽膜103和氮化矽膜102的膜構造進行蝕刻處理。將此時的步驟S103之工程的時間設為60秒。在此,雖然在蝕刻後不進行加熱,但是在加工表面看不見明顯的殘渣等,為乾淨。其結果,如圖3(b)所示般,可知為接近於矩形的形狀,可以選擇性地蝕刻氧化矽膜。 而且,作為另外的處理之條件,將冷媒之設定溫度設為-45℃,進行晶圓2上之氧化矽膜及氮化矽膜之單層膜之各者的蝕刻。在此,該些用於蝕刻之時的氣體,使用混合500sccm的氟化氫和100sccm的N 2的混合氣體。處理中的處理室1內的壓力被維持在300Pa,進行60秒鐘的蝕刻。 再者,於蝕刻後排氣30秒鐘之後,使用IR燈單元59對晶圓2加熱50秒鐘。此時的晶圓2之溫度約250℃,為最高。之後,進行晶圓2之冷卻120秒鐘。而且,在與上述相同的條件下,再次重複供給氟化氫氣體和N 2而進行蝕刻之工程,及IR燈單元59所致的晶圓2之加熱的工程。 之後,將晶圓2搬出至處理室1外,檢測各膜層的膜厚和蝕刻率。其結果,氧化矽膜的蝕刻率為32nm/min。對此,氮化矽膜的蝕刻率為0.5nm/min,氧化矽膜相對於氮化矽膜之蝕刻的選擇比為64。 而且,使用相同條件,並使用圖2所示的蝕刻處理之工程,蝕刻圖3(a)所示的具備氧化矽膜103和氮化矽膜102之層疊體的膜構造。其結果,可知如圖3(b)所示般,各膜層的端部成為接近於矩形的形狀,並且氧化矽膜103被選擇性地蝕刻。再者,藉由在蝕刻處理之步驟之後進行晶圓2之加熱,或重複蝕刻之步驟兩次,在膜構造之加工表面上看不見殘留物,可見附著物減少。
1:處理室 2:晶圓 3:晶圓台 11:基底腔室 12:石英腔室 13:放電區域 14:調壓閥 15:排氣裝置 16:真空排氣配管 20:IPC線圈 21:高頻電源 22:匹配機 23:噴淋板 24:高氣體分散板 25:頂板 26:狹縫板 27:流路 30:靜電吸附用電極 31:靜電吸附用DC電源 38:冷卻器 39:冷媒之流路 50:質量流量控制器 51:氣體分配器 54:閥體 55:He氣體 59:IR燈單元 60-1,60-2,60-3:燈 61:反射板 64:燈用電源 70:熱電偶 71:熱電偶溫度計 72:透過窗 73:燈用電源 74:高頻截止濾波器 101:基板 102:氮化矽膜 103:氧化矽膜 104:開口部
[圖1]為示意性地表示本發明之實施例所涉及之蝕刻處理裝置之構成之全體的縱剖面圖。 [圖2]為圖1所示的本實施例所涉及之蝕刻處理裝置實施的蝕刻處理之流程之一例的流程圖。 [圖3]為示意性地表示實施圖2所示之蝕刻處理之工程的晶圓上之膜構造之一例的縱剖面圖。 [圖4]為示意性地表示相對於圖3所示之晶圓上之膜構造的蝕刻處理中,選擇比低之情況的處理後之形狀之一例的縱剖面圖。 [圖5]為在圖2所示之實施例中的蝕刻處理之變形例之流程的流程圖。 [圖6]為圖1所示之實施例所涉及之蝕刻處理裝置進行圖5所示之變形例所涉及之蝕刻處理之時之動作流程的時序圖。 [圖7]為圖2所示之實施例所涉及之蝕刻處理中之相對於晶圓之溫度之變化的蝕刻率及選擇比之變化的曲線圖。 [圖8]為表示實施圖2所示之蝕刻處理之另外的變形例所涉及之蝕刻處理之工程之結果的曲線圖。 [圖9]為示意性地表示本發明之另外實施例所涉及之蝕刻處理裝置之構成之概略的縱剖面圖。
1:處理室
2:晶圓
3:晶圓台
11:基底腔室
14:調壓閥
15:排氣裝置
16:真空排氣配管
23:噴淋板
30:靜電吸附用電極
31:靜電吸附用DC電源
38:冷卻器
39:冷媒之流路
50:質量流量控制器
51:氣體分配器
52:Ar氣體
54:閥體
55:He氣體
56:氣體供給管
57:下部單元
58:上部單元
59:IR燈單元
60-1,60-2,60-3:燈
61:反射板
62:上部單元蓋
63:真空排氣部
64:燈用電源
70:熱電偶
71:熱電偶
72:透過窗
73:燈用電源
74:高頻截止濾波器
100:蝕刻處理裝置

Claims (15)

  1. 一種蝕刻方法,其係對處理室內供給處理用之氣體而在不使用電漿之狀態下對膜構造進行蝕刻的乾蝕刻方法,該膜構造係事先被形成在被配置於上述處理室內之晶圓上的膜層之端部構成溝或孔的側壁,且該膜層係氧化矽膜被夾在氮化矽膜之上下而被層疊,該蝕刻方法之特徵在於,供給氟化氫之氣體,將上述晶圓之溫度冷卻至-30℃以下,-60℃以上,而從上述端部在橫向蝕刻上述氧化矽膜。
  2. 如請求項1所記載之蝕刻方法,其中將上述晶圓之溫度設為-35℃以下-50℃以上而進行蝕刻。
  3. 如請求項1所記載之蝕刻方法,其中在蝕刻後,在真空中加熱上述晶圓。
  4. 如請求項1所記載之蝕刻方法,其中重複複數次供給上述氟化氫之氣體而蝕刻上述晶圓的工程,和在蝕刻後,在真空中加熱上述晶圓的工程。
  5. 如請求項1所記載之蝕刻方法,其中對上述氟化氫之氣體進一步供給惰性氣體。
  6. 如請求項2所記載之蝕刻方法,其中上述蝕刻後之加熱為燈加熱。
  7. 如請求項2所記載之蝕刻方法,其中在蝕刻後,在真空中加熱上述晶圓。
  8. 如請求項2所記載之蝕刻方法,其中重複複數次供給上述氟化氫之氣體而蝕刻上述晶圓的工程,和在蝕刻後,在真空中加熱上述晶圓的工程。
  9. 如請求項2所記載之蝕刻方法,其中對上述氟化氫之氣體進一步供給惰性氣體。
  10. 如請求項3所記載之蝕刻方法,其中上述蝕刻後之加熱為燈加熱。
  11. 如請求項3所記載之蝕刻方法,其中重複複數次供給上述氟化氫之氣體而蝕刻上述晶圓的工程,和在蝕刻後,在真空中加熱上述晶圓的工程。
  12. 如請求項3所記載之蝕刻方法,其中對上述氟化氫之氣體進一步供給惰性氣體。
  13. 如請求項4所記載之蝕刻方法,其中上述蝕刻後之加熱為燈加熱。
  14. 如請求項4所記載之蝕刻方法,其中對上述氟化氫之氣體進一步供給惰性氣體。
  15. 如請求項5所記載之蝕刻方法,其中上述蝕刻後之加熱為燈加熱。
TW111107747A 2021-03-09 2022-03-03 蝕刻方法 TWI813187B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/195,913 US11295960B1 (en) 2021-03-09 2021-03-09 Etching method
US17/195,913 2021-03-09

Publications (2)

Publication Number Publication Date
TW202236422A TW202236422A (zh) 2022-09-16
TWI813187B true TWI813187B (zh) 2023-08-21

Family

ID=80934087

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111107747A TWI813187B (zh) 2021-03-09 2022-03-03 蝕刻方法

Country Status (5)

Country Link
US (1) US11295960B1 (zh)
JP (1) JP7311652B2 (zh)
KR (1) KR20220126628A (zh)
CN (1) CN115116847A (zh)
TW (1) TWI813187B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022112423A (ja) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 プラズマ処理装置
TWI821064B (zh) * 2022-12-07 2023-11-01 國立成功大學 反應式離子蝕刻處理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529476A (en) * 1983-06-01 1985-07-16 Showa Denko K.K. Gas for selectively etching silicon nitride and process for selectively etching silicon nitride with the gas
TW201539569A (zh) * 2013-12-26 2015-10-16 Tokyo Electron Ltd 蝕刻方法,記憶媒體及蝕刻裝置
TW201737340A (zh) * 2016-04-01 2017-10-16 Tes股份有限公司 氧化矽膜的選擇性蝕刻方法
TW201912619A (zh) * 2017-08-31 2019-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於蝕刻多個堆疊層之化學過程
US20200216758A1 (en) * 2019-01-08 2020-07-09 Soulbrain Co., Ltd. Etchant composition and method of fabricating semiconductor device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH076254B2 (ja) * 1986-02-26 1995-01-30 清水建設株式会社 コンクリ−ト補強部材
JP2632293B2 (ja) 1989-07-26 1997-07-23 大日本スクリーン製造株式会社 シリコン自然酸化膜の選択的除去方法
US5022961B1 (en) 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JP2632262B2 (ja) 1991-08-20 1997-07-23 大日本スクリーン製造株式会社 シリコンウエハ上のコンタクトホール内の自然酸化膜の除去方法
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JP3329038B2 (ja) 1993-12-13 2002-09-30 ソニー株式会社 ドライエッチング方法
JP2002217414A (ja) 2001-01-22 2002-08-02 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2005161493A (ja) 2003-12-04 2005-06-23 Toyota Central Res & Dev Lab Inc マイクロ構造体の製造方法とその製造装置
JP5583098B2 (ja) 2011-09-28 2014-09-03 古河電気工業株式会社 脆性ウェハ加工用粘着テープ及びそれを用いた脆性ウェハの加工方法
US10555286B2 (en) * 2013-07-30 2020-02-04 Qualcomm Incorporated Uplink control information (UCI) transmission with bundling considerations
JP2016025195A (ja) 2014-07-18 2016-02-08 東京エレクトロン株式会社 エッチング方法
US9165786B1 (en) * 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
JP7204348B2 (ja) 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置
TWI736966B (zh) * 2018-09-13 2021-08-21 日商中央硝子股份有限公司 矽氧化物之蝕刻方法及蝕刻裝置
JP7065254B2 (ja) 2020-04-10 2022-05-11 株式会社日立ハイテク エッチング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529476A (en) * 1983-06-01 1985-07-16 Showa Denko K.K. Gas for selectively etching silicon nitride and process for selectively etching silicon nitride with the gas
TW201539569A (zh) * 2013-12-26 2015-10-16 Tokyo Electron Ltd 蝕刻方法,記憶媒體及蝕刻裝置
TW201737340A (zh) * 2016-04-01 2017-10-16 Tes股份有限公司 氧化矽膜的選擇性蝕刻方法
TW201912619A (zh) * 2017-08-31 2019-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於蝕刻多個堆疊層之化學過程
US20200216758A1 (en) * 2019-01-08 2020-07-09 Soulbrain Co., Ltd. Etchant composition and method of fabricating semiconductor device

Also Published As

Publication number Publication date
KR20220126628A (ko) 2022-09-16
JP2022138115A (ja) 2022-09-22
TW202236422A (zh) 2022-09-16
US11295960B1 (en) 2022-04-05
JP7311652B2 (ja) 2023-07-19
CN115116847A (zh) 2022-09-27

Similar Documents

Publication Publication Date Title
TWI813187B (zh) 蝕刻方法
US9406523B2 (en) Highly selective doped oxide removal method
US9378969B2 (en) Low temperature gas-phase carbon removal
US7989364B2 (en) Plasma oxidation processing method
JP6963097B2 (ja) プラズマ処理方法
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
JP2018041886A (ja) エッチング方法およびエッチング装置
CN110660663B (zh) 蚀刻处理方法以及蚀刻处理装置
TWI768789B (zh) 半導體製造方法
CN115053325A (zh) 用于局部应力调节的uv固化
TW202036678A (zh) 電漿處理裝置及使用此的試料之處理方法
TW202333229A (zh) 蝕刻處理方法
TWI783412B (zh) 蝕刻方法
US20210366721A1 (en) Substrate processing method and plasma processing apparatus
JP7307861B2 (ja) 半導体製造方法及び半導体製造装置
WO2022224412A1 (ja) エッチング方法
WO2023209982A1 (en) Etching method
TWI835806B (zh) 蝕刻方法及電漿處理裝置
JP2023099471A (ja) 基板処理方法及び基板処理装置
TW202301459A (zh) 氧化銦鎵鋅的蝕刻
CN116897412A (zh) 蚀刻方法