JP4429605B2 - シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置 - Google Patents

シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置 Download PDF

Info

Publication number
JP4429605B2
JP4429605B2 JP2002588618A JP2002588618A JP4429605B2 JP 4429605 B2 JP4429605 B2 JP 4429605B2 JP 2002588618 A JP2002588618 A JP 2002588618A JP 2002588618 A JP2002588618 A JP 2002588618A JP 4429605 B2 JP4429605 B2 JP 4429605B2
Authority
JP
Japan
Prior art keywords
deposition
substrate
etching
chamber
mode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002588618A
Other languages
English (en)
Other versions
JP2004526868A5 (ja
JP2004526868A (ja
Inventor
ツグルル・イェーサー
グリン・レイノルズ
フランク・セリオ
ブルース・ジットルマン
マイケル・グラッパーハウス
ロドニー・ロビソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2004526868A publication Critical patent/JP2004526868A/ja
Publication of JP2004526868A5 publication Critical patent/JP2004526868A5/ja
Application granted granted Critical
Publication of JP4429605B2 publication Critical patent/JP4429605B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、本明細書に参考文献として組み込まれている2001年5月4日に出願された米国特許仮出願シリアル番号60/288,952号の優先権を主張するものである。
本発明は、半導体ウェハー上のビア及びトレンチ構造の金属化(メタライゼーション)に関するものである。さらに詳細には、本発明は、バリア及びシード層を形成するためにイオン化スパタリングされた材料を用いてシリコンウェハーの高アスペクト比のビア及びトレンチ構造の金属化(メタライゼーション)に関するものである。
半導体ウェハー上の高アスペクト比のビアホール及びトレンチの金属化においては、バリア層とシード層とは良好な側壁及び底部の被覆を有する。バリア層は、そのバリア特性を犠牲にすることなくできるだけ薄くする必要がある。バリア層は、ビア構造の電気抵抗を付加することになるその電気抵抗を最小にしなければならないために非常に薄くしなければならない。信頼性の問題を回避するために、シード層の誘電体層及び他の層への拡散を防止することは適合すること及び連続であることが必要である。これによって、バリア層の厚さをよく制御しかつ特にビアの底部で最小にすることが要求される。ビアの底部での厚いバリア層は、内部金属化部の抵抗に実質的にかなりの望ましくない電気抵抗を付与する場合がある。高接触抵抗によってICのパフォーマンスが低下する。バリア層の堆積中に、ビアの入口の頂部端に、そこでの厚めの材料の堆積のために、張出部が形成される。この張出部は、ビアの底部及び側壁上のシード層の堆積に衝突する。シード層の堆積中に、シード層材料自体によるさらなる張出部の形成を防止しなければならない。
シード層は、ビアの底部及び側壁で連続でかつ良好な被覆を有しなければならない。これは、バリア層及びシード層の堆積の後の電気メッキにとって必須である。張出部によるビア入口の閉鎖によって、側壁の被覆が不十分となり、電気メッキが不十分となり、装置の歩留まりが低くなる。
先端的なICウェハーにおけるバリア及びシード層メタライゼーションに対して、イオン化PVD堆積を用いる。イオン化PVDは、ビア及びトレンチ構造において良好な側壁及び底部の被覆を提供する。しかしながら、ジオメトリが縮小し、ビアの寸法が0.15μm未満になると、イオン化堆積の条件はより重大となる。従って、底部及び側部の被覆がよく調整されかつ張出が最小にされたイオン化PVD法を有することがとても望まれている。
シーケンシャルな堆積及びエッチング工程が以前に提案された。ヴァンバスカークら(Van Buskirk)による米国特許第6,100,200号明細書において、ビア若しくはトレンチ構造のコンフォーマルな堆積を提供するために、シーケンシャルに実施される加熱堆積及びエッチング工程が教示されている。しかしながら、これらは、典型的には、300℃−600℃及び500℃−450℃の間の高い基板温度での堆積及びエッチング工程を教示している。不都合なことには、現在の半導体工程で用いられる最新の低k誘電体(new state-of-the-art low-k dielectrics)は、200℃未満の温度を必要とする。銅シード層堆積は、銅のアグリゲーションを防止するために0℃未満、典型的には−20℃から−50℃の間であることを必要とする。ヴァンバスカークらに教示された温度によって、銅シード層が塊になり、張出部を形成し、大きな銅のアイランド及び不連続な銅の層によってビア及びトレンチが閉塞される。ヴァンバスカークらはまた、典型的には1kW未満及び部分的には0.5kW未満の低パワーのスパッタリングを教示する。これによって、工程において堆積速度が低くなり、スループット(処理能力)が制限される。
さらに、ヴァンバスカークらは、専用の堆積及びエッチングモジュール間でウェハーを移動することによる単一真空システム、又は、マルチファセット堆積及び反応性イオンエッチングモジュールを用いた単一真空システムにおいて実施するシーケンシャルな堆積及びエッチング段階を教示している。また、ヴァンバスカークらは、段階を独立な堆積及びエッチングシステムで実施することを提案している。一のエッチングチャンバから他の堆積チャンバへ、又は、エッチングステーションから他の堆積ステーションへのウェハーの移動は、工程のコスト及び工程の質の両方の観点で欠点を有する。チャンバからチャンバへ又は同じチャンバにおいて一のステーションから他のステーションへのウェハーの移動によって、スループットの損失及びそのためにコストがかかる工程となる。工程によっては、移動中に気体分子又は他の汚染物の吸着に敏感であり、設置中の装置の質及び信頼性に害を及ぼし得る。ヴァンバスカークらによる他の提案は、工程間で雰囲気に曝すことを伴う独立のシステムにおいて、堆積及びエッチング段階を実施することであり、現在のバリア/シード層メタライゼーション工程のほとんどにおいて全く受け入れることができない。ヴァンバスカークらは、堆積段階中に他の基板のバイアスを教示しない。
ニケイ(Nikei)らによる米国特許第4,999,096号明細書において、同じチャンバ内でシーケンシャルな堆積及びエッチング段階を実施することができるスパッタリング方法及び装置を教示する。ニケイらは、膜堆積及び逆スパッタリングを交互に実施するためにターゲット及び基板に代替として負の電圧を印加する。彼らは、エッチング段階についてプラズマ発生を起こすために、ターゲットと基板との間に配置された工程モジュールの内部のRFコイルを教示する。工程のスペースに存在するエネルギーを有するイオン及び中性物(neutrals)がコイルから材料を除去、すなわちコイルをエッチングし、基板上に堆積し又はエッチングされるべき膜を汚染することは従来公知であるので、内部コイルが汚染源であることはこの配置の大きな欠点である。他の従来技術では、コイルは堆積されるのと同じ材料から製造できるが、これは方法について過度の経済的及びハードウェア的な困難を生じる。堆積される全ての材料がコイルを作製に適している訳ではなく、ほとんどの時間、コストは法外に高い。さらに、ニケイらの提案は、非一様プラズマ生成及び基板の非一様なエッチングとなる。シーケンシャルな堆積及びエッチング工程において、両方の段階は、工程(方法)の最後に一様に処理されたウェハーとなるように、ウェハー全体にわたって一様であることが必須である。
ニケイらは、堆積された膜に不純物が含まれるのを防止するために、低圧堆積及びエッチング工程を教示し、強調する。これは、堆積及びエッチング工程中に、10−3のオーダートール(torr)以下のような低圧でプラズマを形成することによって実施する。エッチング工程中は、基板からある汚染物を保持する必要性と反対に、基板への汚染物に係る放出を達成するために、内部のコイルはRFでパワーを供給される必要がある。ニケイらは、本発明を低圧(10−3トール(torr)以下)に制限することを強調している。
米国特許第6,274,008号明細書は、同時の清浄・堆積段階を実施する集積銅充填工程を教示している。本発明は、銅シード層を堆積する前にビア構造の底部を清浄及び/又はエッチングするために銅イオンを用いる。
本発明の原理によれば、上述の問題を解決するために、シーケンシャルな堆積及びエッチング段階を用いる方法及び装置を提供する。本発明の方法はまず、例えば、タンタル(Ta)、窒化タンタル(TaN)、又は銅(Cu)のようなメタライゼーションの薄層を堆積する段階、好適には、堆積を停止した後に、好適にはイオン化気体例えば、アルゴン(Ar)によってイオンエッチング段階を実施する。
エッチング段階は、堆積段階中に堆積されるより、ウェハーの頂部面上の領域及びビア底部の両方上の材料を少なく除去し、そのため、工程サイクルの終了時には堆積が残っている。堆積/エッチングサイクルは、所望の結果を得られるのに必要とされる回数だけ繰り返すことができる。堆積及びエッチング回数と速度と堆積及びエッチングをバランスさせることによって、張出成長を排除し又は最小にする。張出部及び底部堆積はエッチバックされ、少なくとも部分的に側壁に再分布される。
本発明の一実施形態の方法では、材料を、小さな高アスペクト比の特徴部(features)例えば、3又は5から15又はそれ以上のアスペクト比の直径0.15μm以下のホール若しくはビアを有するウェハー上に堆積する。堆積には、本明細書に参考文献として全て組み込まれ、少なくとも部分的に基礎としている米国特許第6,287,435号明細書、米国特許第6,080,287号明細書、米国特許第6,197,165号明細書、米国特許第6,132,564号明細書、米国特許第5,948,215号明細書、米国特許第5,800,688号明細書及び国際出願PCT/US00/31756号明細書に記載された特徴を有するイオン化物理的気相堆積法(iPVD)法及び装置を用いる。
本発明の一の実施形態では、上述の米国特許第6,287,435号明細書の図1及び国際出願PCT/US00/31756号明細書に詳細に記載されたようなイオン化PVD装置のユニークな利点を利用する。この装置は、シーケンシャルな堆積及びエッチング工程に特に適している。シーケンシャルな堆積及びエッチング工程は、真空を破ることなく又はチャンバからチャンバへのウェハーの移動をすることなく、同じ工程チャンバにおいて基板に適用できる。装置の構成は、イオン化PVD堆積モードからエッチングモード、又はエッチングモードからイオン化PVD堆積モードへの迅速な変化が可能である。また、装置の構成は、堆積モード中のイオン化PVD堆積工程制御パラメータ及びエッチングモード中のエッチング工程制御パラメータの瞬時の最適化を可能とする。これらの利点の結果として、優れたビアメタライゼーション及びその後の電気メッキ充填操作を有するウェハーの高スループットとなる。
本発明は、低基板温度に加えて、例えば、8kWから19kW典型的には11kWの高DCターゲットパワーを提案する。高パワーレベルは、高スループット工程につながるだけでなく、Cu又はTaのような金属種のイオン化及びイオン堆積を最適にする。
本発明は、高スループットを有し、かつヴァンバスカークらが教示する方法で成長する界面層なしで、同じチャンバにおいてインサイチューで、シーケンシャルな堆積及びエッチング段階を実施することによって、従来技術の問題を解決する。
本発明で提供された方法の構成は広範囲の圧力を可能とし、それによって、高ウェハースループットレベルでコンフォーマルなビア及びトレンチ被覆(カバレージ)が実現する。本発明は、ニケイらの低圧の制限を有さず、装置の能力を利用して方法のスパッタリング及びエッチング段階のために最適化された工程圧力レベルを提供する。さらに、従来技術は、生成される膜の均一性を向上するために各段階について最適化された源−基板距離を有する堆積−エッチングサイクルを教示しない。
米国特許第6,080,287号明細書は、シーケンシャルなエッチング及び堆積スキームを教示しないし、クリーニング(清浄化)種として金属イオンに限定している。
本発明のこれらの及び他の目的や利点は、本発明の実施形態の以下の詳細な説明からより明瞭になるだろう。
図1は、iPVDによる半導体ウェハー12の誘電体内部層13に形成されたビア構造11に金属膜0を堆積した図を示すものである。金属イオン18をウェハー12上に堆積すると、金属堆積はビア入口で厚くなって張出構造14を生じる傾向を有する。同様に、ビア11の底部15で金属堆積は側壁16より厚くなる。ビアの寸法は誘電体内部層13の厚さに対応する減少がなく0.15μmまで小さくなると、ビア11のアスペクト比はかなり増大し、ビア11の側壁16上への金属イオン14のフラックスを制限する。100Å未満の薄い堆積に対しては、ビア11の側壁上に堆積された薄膜は、特に銅のような金属のシード層に対しては、塊状のアイランド構造を有する傾向がある。銅シード層におけるギャップ及び不連続性によって、金属被覆が最小である特に側壁の底部では、側壁16上にメッキボイドを形成する場合がある
図2は、ビア11の底部16から及びビア11の上部の張出構造14から金属堆積10を再スパッタリングするためのエッチングサイクルを模式的に示すものである。エッチングサイクルでは、アルゴンイオン(Ar)が、矢印19で示すように堆積層10に当たってそこから材料をスパッタする。このスパッタリングは、ビア底部16及び張出部14から過剰な材料を除去する。金属層が銅のときは、エッチング工程は、ビア入口でビア底部15及び張出部14からスパッタされる銅を再堆積することによって、から底部15及び側壁16の頂部の上のCuの連続性を増大する。エッチングされる金属がバリア層の場合、ビア底部15での膜厚の減少によって、ビアの全接触抵抗を低減し、装置の性能を改善する。
堆積及びエッチングサイクルを、図3で示したiPVD装置を参照して説明する。イオン化iPVDについては、ウェハー21を温度制御静電チャック22の頂部に載置する。スパタリングガスをソース23から真空処理チャンバ30に供給する。このチャンバの圧力はポンプ29によって真空に維持され、iPVD用の適当なイオン化堆積範囲に調整する。DCパワーをパワー源24からターゲット25に供給し、RFパワーをRF発生器27からアンテナ26に供給する。これらの電力源24及び27を、iPVDによる堆積にとって適当なパワーレベルにする。ウェハーRFバイアスを、RFバイアス発生器28によってチャック22に供給する。RFバイアス発生器28も、方法(工程)を改善し実施するために、ウェハー21上に正味で負のバイアスを付与するように堆積中に適当なレベルにすることができる。アンテナ26を、チャンバ壁32における誘電体ウィンドウ31の背後のチャンバ30の外に配置する。好適には細長い穴の開いた金属材料で成る堆積バッフル33をウィンドウ31に近接したチャンバ内部に配置し、ウィンドウ31を堆積から遮蔽する。永久磁石パック34を、マグネトロンスパタリング用のターゲット25全体に磁気トンネルを形成するためにターゲット25の背後に配置する。
ウェハー21の温度を制御して、最良のビアメタライゼーションを得るようにする。ウェハーテーブル22はZ方向駆動ドライブ35を備え、基板−ソース間距離を調整して最良の堆積均一性、最良の被覆率(カバレージ)及びビア11の側部16と底部15との対称性を提供する。典型的なイオン化iPVD堆積パラメータのいくつかを[表1]に示す。
Figure 0004429605
[表1]で示した方法に対して、基板−ソース間距離を典型的には150mmから275mmにすることができる。アルゴンガスが典型的なスパタリングガスである。TaNxのような窒化金属のバリア層を堆積するために、スパッタ堆積中にアルゴンガスに加えて窒素ガスを用いる。
所望量の堆積の後に、ターゲット25へのDCパワーを、堆積を実質的に低減若しくは停止するために、低下若しくは停止する。当業者は、堆積工程が、完全に停止することなくDCパワーレベル24を非常に低いレベルまで低減することによって、実質的に低減若しくは停止することができることを理解している。ICPアンテナへのRFの励起を維持して、アルゴンプラズマを生成続ける。この点で、チャンバAr圧力、ICPパワー、RF基板バイアスパワー及び基板−ソース間距離を調整して、最適エッチング条件を提供する。前の堆積段階が窒化金属のためのものだった場合は、チャンバへの窒素フローを停止し又は低減してもよい。ウェハーテーブル22の温度をエッチング段階用に変更することができるが、これは通常必要ない。典型的なエッチング段階を[表2]に示す。
Figure 0004429605
従来技術の方法では、シード材料層の凝集は通常であり、これによって、シード層上全体に堆積される電気メッキ充填物にボイドが生し、信頼性に問題がある受け入れがたい製品ができることとなる。
本発明に対応して、ウェハー温度をシーケンシャルな堆積及びエッチング段階中に制御する。これらの方法は、米国特許第6,287,435号明細書に示した装置において、静電チャック22が流体経路の冷却及び適当な温度制御を備えることによって実施してもよい。チャック22とウェハー21との間の良好な熱接触は、ウェハー21とチャック22との間に背面気体導通を提供することによって達成できる。薄い金属堆積が特にビア構造の側壁で塊にならないことを保証するために、背面気体導通を堆積及びエッチングの両方の段階で制御することができる。
エッチング段階中、特に銅堆積−エッチング工程に対しては、銅膜を保持しなければならず、比較的高い基板バイアスの影響の下で、基板をエネルギーを有するイオンでボンバードするエッチング段階中に加熱することはできない。エッチング段階の目的は、成功したビアもしくはトレンチ充填物に有害な張出物の量を低減し、底部被覆の厚さを低減することである。ビア及びトレンチの側壁へのさらなる材料を再堆積して、そこの膜をより連続的にすることもエッチング段階の目的である。基板21の温度を制御せず、エッチング段階中に増大することを許容するならば、銅原子の移動度は増大し、基板21の表面上で拡散し、連続銅膜の形成の代わりにビア及びトレンチ11の側壁16で大きな銅の瘤のかたまりとなる。従って、基板温度をエッチング中に制御し冷たく保持する。
例えば、エッチング中に、バイアス発生器28から基板テーブル22への電力を約500ワットに維持し、それによって、ウェハーテーブル22上で約−300ボルトバイアスとなる。このようなエッチング段階中での基板21で生成された熱を、ウェハー21の温度を実質的に室温未満、好適には0℃未満、好適には約−30℃に維持するために、ウェハーチャック22によって効率的に抽出する。
エッチング段階の後、他の堆積段階を行ってもよい。エッチングが続く堆積のサイクルを一回若しくは所望の回数だけ繰り返してもよい。他の実施形態では、全工程中に少なくとも一のエッチング段階を行ってもよい。本発明の方法の一の実施形態において、典型的なバリア工程は、窒化タンタルを堆積してエッチングする段階、タンタル金属堆積して他のエッチング段階が続く。堆積段階中に堆積される金属の厚さは、エッチング中に除去される厚さに比べて大きく、結果として正味堆積となる。
一の操作シーケンスでは、各サイクルは各堆積及びエッチングモードについて、固定時間、圧力、DC及びRFパワーレベル、及びソース−基板間距離のような固定堆積及び固定エッチングパラメータを有する。この操作シーケンスの例を図4に示し、ここでは、電力源24からターゲット25へのDC電力をスイッチングして、堆積中にオンとし、エッチング中にオフとしている。発生器28からテーブル22へのRFバイアスパワーをスイッチングして、堆積中に低めのバイアスとし、エッチング中に高めのバイアスとする。Z方向ドライブ35は、テーブル22を移動して、堆積に対してより、エッチングに対して、ウェハーをソース(ターゲット25及びアンテナ26を含む)に近づける。
本発明の方法を実施するシステムの他の操作シーケンスでは、堆積及びエッチングモードの相対的部分及びそれらの各工程パラメータを、サイクルからサイクルへのサイクル中に変更して、最良の全体最終結果を得てもよい。このシーケンスの例を図5に示す。ここでは、種々の曲線を重ね合わせてそれらの時間的な関係をよりよく示している。工程のこの実施形態を実施するシステムの利点は、これが堆積モードからエッチングモードへ迅速に変化できることである。その固有の利点を有する高圧力で均一な堆積、及び、低圧での均一なエッチングの両方を提供する装置の能力は、高スループットで所望の結果を得ることを容易にする。米国特許第6,287,435号明細書及び国際出願PCT/US00/31756号パンフレットに示した装置のiPVDソースは、ウェハーに対して均一な若しくは高い指向性を有する金属フラックスを提供するものである。このようなソースは、ターゲットの中心で誘電体ウィンドウの背後のRFコイルを有する円錐状ターゲット又は環状リング形状ターゲットを含む。このようなソースを用いると、ある条件下では、ウェハー上への正のアルゴンイオンのフラックスは均一でなく、中央に集まったものであって、基板に直交する軸の周りに広く角度分布を示すものであってもよい。これは、高圧力堆積にとっては適している。しかし、サイクルのうちの堆積部分の間に堆積された材料の(サイクルのうちの)エッチング部分の間の再スパッタリングは、ウェハーの端部より中央部でより強調され、実施されるエッチングに対しては望ましくない。スパッタエッチング段階中での圧力の低下によって、ウェハー上での正のアルゴンイオンのフラックスはより均一となり、ウェハーバイアスを用いるとさらに基板に対して指向性が高くなり、基板に対してより直交性が高くなる。プラズマソース−ウェハー間隔を制御するためのZ方向距離の調整は、最良のエッチング及び堆積均一性を提供するように実施することができる。
エッチング中に圧力を低くすることによって他の利点もある。高めの圧力では、上述のiPVDを用いたサイクルのうちの堆積部分の間に典型的には用いられるが、ウェハーからプラズマへ放出される材料は多重の衝突を行い、ウェハー上に反射して戻されたりする。熱せられた材料のこのフラックスは張出部を増大し得る。この張出部は堆積−エッチングiPVD工程によって低減される。というのは、低圧では、ウェハーからスパッタされる材料の平均自由工程が長くなり、それがイオン化され又はチャンバ30の壁31に衝突する前に、ウェハー上に反射して戻されることがはるかに少なくなるからである。理想的には、堆積−エッチングサイクルは、典型的な60秒の工程時間で多数のサイクルを可能とするために、迅速であるべきである。可変デューティサイクルでのパルス状DCパワーが、この目的のためには理想的に適している。基板−ソース間隔は図4の間隔と同様である。
図5では、“ICPパワー”は高密度プラズマを形成するための発電機27からのRF誘導的に結合されたパワーを意味し、他方、“RF基板バイアスパワー”は、基板21上への負のバイアスを形成するために、発電機28からテーブル22に付与されるRFパワーを意味する。“DFパワー”は、材料がスパッタされるように、パワーサプライ若しくは電源24からターゲット25材料に付与されるDC電力(パワー)を意味する。パラメータを、高めの圧力堆積段階中に発火されるプラズマをエッチング段階中連続的に維持するように制御する。図5は、この場合ではないが、プラズマを発火するためにアルゴンフローをエッチング段階の前に増大するシステムの運転の実際のデータを示すものである。プラズマの再励起は、図5におけるRF基板バイアスパワーで明らかなスパイクに対応している。図5A及び図5Bは、明瞭さのために図5のパラメータプロットを分離して提供するものである。
図4及び図5で示し図6のブロック図で示したパラメータコントロールを実施するために、システムコントローラ40をプログラムする。
本発明の方法は、上述の装置20を用いるときに特に好都合である。この装置では、1−150mTorr若しくはそれより高い圧力までの範囲の堆積圧力、又は、スパッタされた材料がターゲットと基板との間で多数の衝突する又は“熱せられる(熱運動化される)”他の圧力を含む広い圧力範囲にわたって特に金属であるところの材料を堆積するものである。
装置20はまた、非常に高いテーブルパワーで堆積を可能とする、広いRFテーブルパワー範囲にわたって堆積が可能であるという利点も有する。エッチングを組み合わせた低い低テーブルパワー処理によって、側部及び底部(少なくともバリア層)の材料全てを同様に除去する前に、張出部の一部だけを除去することができる。高いテーブルパワー堆積工程によって、底部被覆が高くなり、底部側壁上の堆積が厚くなると共に、ビアの残部上での側部被覆が良好となり、これによって、張出部をより完全に除去するまでエッチング段階を進行することができるようになる。これらの利点は、ウェハー21に直交する方向により近い方向にイオンの軌道を形成すると共に堆積中に同時に再スパッタするウェハー21上に十分に高いDCバイアスを付与する結果として生じる。これによって、特徴的底部15での被覆を強化し、特徴的底部での材料を除去する前に張出部のさらなるエッチングを可能となる。テーブルパワー及び堆積圧力を最適化する能力によって、再スパッタされた材料の再堆積からの高いテーブルパワー堆積中の張出部の増大は最小化することができ、エッチング工程による張出部を縮小することが可能となる。
堆積/エッチングサイクルは、高堆積圧力と10mTorr未満、特に数mTorrから0.1mTorr未満の低エッチング圧力との間で迅速な繰り返しスイッチングによって実施されるのが好ましい。圧力のスイッチングは、ターゲット上でのスパッタリングパワーのスイッチング及びレベル間の基板上でのバイアスパワーのスイッチングの間に実施する。基板バイアスは、基板上でのRFパワーのパルス化によってシフトし又はスイッチすることができる。任意に、基板バイアスをRFパワーの2つのレベル間でスイッチすることができる。基板バイアススイッチングによって、堆積中に数10ボルト、及び、エッチング中に数100ボルトのプラズマに対して基板上に負のポテンシャルを生成し、他方、ターゲットDCバイアスを堆積中の負のターゲットスパッタリング電圧とエッチング中にゼロボルトもしくはゼロボルト近傍との間でスイッチする。エッチング中のバイアスパワは、堆積中のものより、10倍若しくはそれ以上であることが好ましい
スイッチングは、ウェハー当たりの全処理時間を好適には1分以下の範囲で、サイクル当たり約1秒、若しくはサイクル当たり0.1秒から20秒の範囲であるのが好ましいが、ある工程ではより長い処理時間を要する場合もある
本発明の他の実施形態では、サイクルのエッチング部中に、ウェハー上に正のアルゴンイオンフラックスを、サイクルの堆積部中にiPVDからの金属フラックスのように均一若しくは指向性にする。これを、図3Aで示したチャンバ30の内部に又はプラズマの外部に配置することができる他のソレノイドコイル41で上述のチャンバを囲繞することによって実現する。このようなコイル41を用いて、正のアルゴンイオンフラックスは基板21に直交してより均一(一様)にすることができる。堆積中に基板の表面上に単層が複数程度にできるだけ少なく堆積され、次いで、比較的大きな量の材料が堆積される特徴部11の頂部コーナー14及び特徴部11の底部15から材料をスパッタリングされて、あまり材料が堆積されないが被覆が非常に重要である側壁16上に堆積する(図1参照)という効果を有するために堆積を妨害するように、コイル41とアンテナ26とを含むこのデュアルコイルシステムはパルス化することができる。
他の代替の配置40は、その中心に従来のプラナーマグネトロンを有する円錐状のICP源44を含み、このICP源44は、図3Bで示したように、背部が磁石アセンブリ46であるターゲット45を含むICP源44は、チャンバ壁42において誘電体ウィンドウ48の背後にチャンバ43の外側に円錐状のコイル47を含み、ウィンドウ48を堆積から保護する円錐状堆積バッフル49を有する。
上述の構成は、エッチングするときより、金属を堆積するときに高めの圧力で運転されてもよい。この場合にとって、迅速な波でチャンバを真空にしてもよい。これは、図7で示したポンピングスキームを利用してもよい。
図3,図3A及び図3Bで示したようなチャンバは、図7で示したような絞りゲートバルブ50とターボポンプとを備えてもよい。このような絞りゲートバルブ50が、所望のコンダクタンス範囲を制御する能力を有しない場合、図7Aのポンピング構成を、コンダクタンス範囲を増大するために用いる平行ブランチ52を用いて使用してもよい。
スパッタ堆積とエッチングとの間の圧力差は、スパッタリングチャンバへのガスフロー速度を変えることによっても実現することができる。ガスフローの変化とポンピング速度の変化との組合せを用いてもよい。
気体は、しばしば好適なアルゴンでもよいが、工程に互換的な他の不活性ガス若しくは非不活性ガスでもよい。
スパッタされる材料は銅、Ta等の金属、電気的に非導電性の材料のためにさらにRFパワーサプライが必要な誘電体材料、又は半導体材料であり得る。
特徴部の底部からの、タンタル、TaN、TiN、チタンのようなバリア層材料の厚い堆積の再スパッタリングは、特徴部の底部でのこのような厚い堆積を生じることになる高い接触抵抗を回避するために役に立つ。
バリア層材料全体に堆積した銅のような導電性材料を用いると、端部からの材料の再スパッタリングによって特徴部の内部を遮蔽することを回避する。このような張出部材料は、連続する電気メッキ工程における特徴部の有効な底部充填を抑制するものである。
エッチング中にアルゴンイオンの方向性を、特徴部の上半部もしくは一部での側壁上への特徴部の端部からの再スパッタリング、及び、特徴部の下半部もしくは一部での側壁上への特徴部の底部からの再スパッタリングを促進するように制御することができる。
本発明は堆積工程が反応性工程、例えば、TiN,TaN,SiN等の化合物膜を堆積するための工程で用いてもよい。このような場合、堆積中に、N2若しくは他のいくつかの反応性ガスをアルゴンガスに加えてチャンバに導入する。
最良のシステム及び工程の結果のために、RFパワーサプライ周波数を選択することができる。典型的な周波数は13.56MHz若しくは2MHzであるが、これらの周波数に限定しない。
当業者は、本発明の原理の範囲から逸脱することなく、上述の実施形態に対して、除去、付加及び変更を行うことができることを理解できる。
iPVDによる金属堆積に含まれるメカニズムを示す半導体ウェハーの一部の断面図である。 堆積された金属のエッチングによって再スパッタリングに含まれるメカニズムを示す図1の半導体ウェハーの一部の断面図である。 本発明で使用するiPVD装置の一の実施形態の断面図である。 本発明で使用するiPVD装置の他の実施形態の断面図であって図3と同様な図である。 本発明で使用するiPVD装置のさらに他の実施形態の断面図であって図3及び図3Aと同様な図である。 本発明の実施形態によるシーケンシャルな堆積及びエッチング工程中のパラメータのスイッチングを示す図である。 本発明の他の実施形態によるシーケンシャルな堆積及びエッチング工程中のパラメータのバリエーションを示すグラフである。 図5の選択された部分を示すグラフである。 図5の選択された部分を示すグラフである。 図3、図3A及び図3Bの装置用の制御システムの一部を示す図である。 図3、図3A及び図3Bの装置用の代替のガスフロー制御システムの一部を示す図である。 図3、図3A及び図3Bの装置用の代替のガスフロー制御システムの一部を示す図である。
符号の説明
10 材料
11 高アスペクト比サブミクロン特徴部
15 底部
16 側壁
20 iPVD装置
21 半導体基板
30 真空チャンバ

Claims (8)

  1. 基板をiPVD装置のチャンバ内に密閉する段階と、
    チャンバを開けることなく、
    i) チャンバに高密度のプラズマを形成し、基板上に材料を堆積するため及び基板をエッチングするために用いるイオンを生成する段階;
    ii) ターゲットから高密度プラズマへ材料をスパッタリングして材料をイオン化し、基板に直交する高い指向性を有するイオン化材料を基板上に堆積するように、少なくとも50mTorrの圧力で、堆積モードで装置を作動する段階;及び
    iii) 10mTorr未満の圧力で、かつ、100ボルトより大きな基板上へのバイアスで、基板から実質的に材料をスパッタリングすることなく、エッチングモードで装置を作動する段階;
    を行うことにより、堆積モード、その後エッチングモードで装置を運転することによって、0.15μm以下の直径を有し、3以上のアスペクト比を有する基板上のサブミクロン特徴部の表面に導電材料の層を堆積する段階と、
    備えたiPVD方法であって
    エッチングモードの後に他の堆積モードが続き、両堆積モードによって導電材料の堆積を行い、
    前記iPVD装置は
    − ターゲットに付与するDC電力を、両堆積モードではオンとし、エッチングモードでは実質的にオフとし;
    誘導結合プラズマのパワー、並びにターゲット−基板間距離を、両堆積モードにおいて、エッチングモードよりも高くし;
    − 基板上へのバイアスパワーを、両堆積モードにおいて、エッチングモードよりも低くする;
    ように制御されることを特徴とする方法。
  2. 請求項1に記載の方法であって、
    エッチングモードは、以下の段階から成るグループから選択された少なくとも一の実効を生ずるパラメータの下で、プラズマからのイオンでスパッタリングすることによって実施する方法:
    − 基板上の特徴部の端部を張り出す堆積材料を少なくとも一部除去する段階
    − 特徴部の底部から堆積材料を少なくとも一部除去する段階。
  3. エッチングモードを、前記実効の双方を生じるパラメータの下で、プラズマからのイオンを用いてスパッタリングすることによって実施する請求項に記載の方法。
  4. 堆積及びエッチング中に基板を冷却する段階を備えた請求項1からのいずれか一項記載の方法。
  5. エッチング中に、基板を0℃未満に冷却する段階を備えた請求項4に記載の方法。
  6. チャンバの外のRF発生器からRFエネルギーによってチャンバに高密度のプラズマを形成する段階を備えた請求項1からのいずれか一項記載の方法。
  7. 請求項1から6のいずれか一項に記載の方法で作動するためにプログラムされたコントローラを有するiPVD処理装置。
  8. 堆積モードが少なくとも50mTorrの圧力で実施され、エッチングモードが10mTorr未満の圧力で実施され、基板上にイオン化物理的気相堆積を実施するように作動できる真空チャンバと;
    チャンバの一端に設けられた環状ターゲット及びチャンバの他の端に設けられた基板支持体と;
    前記チャンバ高密度プラズマを形成する手段と;
    装置を作動するようにプログラムされたコントローラと
    を備えた請求項7に記載のiPVD処理装置。
JP2002588618A 2001-05-04 2002-05-03 シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置 Expired - Fee Related JP4429605B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28895201P 2001-05-04 2001-05-04
PCT/US2002/014145 WO2002091461A2 (en) 2001-05-04 2002-05-03 Ionized pvd with sequential deposition and etching

Publications (3)

Publication Number Publication Date
JP2004526868A JP2004526868A (ja) 2004-09-02
JP2004526868A5 JP2004526868A5 (ja) 2009-02-19
JP4429605B2 true JP4429605B2 (ja) 2010-03-10

Family

ID=23109372

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002588618A Expired - Fee Related JP4429605B2 (ja) 2001-05-04 2002-05-03 シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置

Country Status (7)

Country Link
US (1) US6755945B2 (ja)
EP (1) EP1384257A2 (ja)
JP (1) JP4429605B2 (ja)
KR (1) KR100878103B1 (ja)
CN (1) CN100355058C (ja)
TW (1) TW552624B (ja)
WO (1) WO2002091461A2 (ja)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7744735B2 (en) 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US6884500B2 (en) 2002-02-12 2005-04-26 Unaxis Balzers Ltd. Component comprising submicron hollow spaces
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6858521B2 (en) * 2002-12-31 2005-02-22 Samsung Electronics Co., Ltd. Method for fabricating spaced-apart nanostructures
WO2005004196A2 (en) * 2002-08-23 2005-01-13 Sungho Jin Article comprising gated field emission structures with centralized nanowires and method for making the same
US6987027B2 (en) 2002-08-23 2006-01-17 The Regents Of The University Of California Microscale vacuum tube device and method for making same
US7012266B2 (en) 2002-08-23 2006-03-14 Samsung Electronics Co., Ltd. MEMS-based two-dimensional e-beam nano lithography device and method for making the same
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US20040127014A1 (en) * 2002-12-30 2004-07-01 Cheng-Lin Huang Method of improving a barrier layer in a via or contact opening
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6784105B1 (en) * 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US20040211661A1 (en) * 2003-04-23 2004-10-28 Da Zhang Method for plasma deposition of a substrate barrier layer
US6929720B2 (en) * 2003-06-09 2005-08-16 Tokyo Electron Limited Sputtering source for ionized physical vapor deposition of metals
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
SE527180C2 (sv) 2003-08-12 2006-01-17 Sandvik Intellectual Property Rakel- eller schaberblad med nötningsbeständigt skikt samt metod för tillverkning därav
US20050061251A1 (en) * 2003-09-02 2005-03-24 Ronghua Wei Apparatus and method for metal plasma immersion ion implantation and metal plasma immersion ion deposition
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
JP2005285820A (ja) * 2004-03-26 2005-10-13 Ulvac Japan Ltd バイアススパッタ成膜方法及び膜厚制御方法
DE102004015862B4 (de) 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US20050263891A1 (en) * 2004-05-28 2005-12-01 Bih-Huey Lee Diffusion barrier for damascene structures
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006093660A (ja) * 2004-08-23 2006-04-06 Konica Minolta Holdings Inc プラズマエッチング法
WO2006036846A1 (en) * 2004-09-24 2006-04-06 Zond, Inc. Apparatus for generating high-current electrical discharges
JP2006097071A (ja) * 2004-09-29 2006-04-13 Hiroshima Univ 時効性金属材料の硬質化処理方法、硬質化処理装置及び切削工具
DE102004047630A1 (de) * 2004-09-30 2006-04-13 Infineon Technologies Ag Verfahren zur Herstellung eines CBRAM-Halbleiterspeichers
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
JP2006148075A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
KR100904779B1 (ko) * 2004-10-19 2009-06-25 도쿄엘렉트론가부시키가이샤 플라즈마 스퍼터링에 의한 성막방법 및 성막장치
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US7256121B2 (en) * 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US20060278521A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US7348266B2 (en) * 2005-09-30 2008-03-25 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
DE102005046976B4 (de) 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
US7700484B2 (en) * 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
JP4967354B2 (ja) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
JP4728153B2 (ja) * 2006-03-20 2011-07-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US7618888B2 (en) * 2006-03-24 2009-11-17 Tokyo Electron Limited Temperature-controlled metallic dry-fill process
US7588667B2 (en) * 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US20070257366A1 (en) * 2006-05-03 2007-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for semiconductor interconnect structure
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US20080029386A1 (en) * 2006-08-01 2008-02-07 Dorfman Benjamin F Method and apparatus for trans-zone sputtering
JP4690985B2 (ja) * 2006-09-25 2011-06-01 株式会社東芝 不揮発性記憶装置およびその製造方法
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
WO2009011801A1 (en) * 2007-07-13 2009-01-22 Sub-One Technology, Inc. Corrosion-resistant internal coating method using a germanium-containing precursor and hollow cathode techniques
US20110180388A1 (en) * 2007-08-08 2011-07-28 Ulvac, Inc. Plasma Processing Method and Plasma Processing Apparatus
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8475634B2 (en) * 2007-10-26 2013-07-02 OC Oerlikon Balzers AF Application of HIPIMS to through silicon via metallization in three-dimensional wafer packaging
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
KR101959113B1 (ko) 2008-04-03 2019-03-15 에바텍 아크티엔게젤샤프트 금속 배선 구조 형성을 위한 스퍼터링 장치
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
JP5249328B2 (ja) * 2008-07-11 2013-07-31 キヤノンアネルバ株式会社 薄膜の成膜方法
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
KR101593544B1 (ko) * 2008-12-15 2016-02-15 가부시키가이샤 알박 스퍼터링 장치 및 스퍼터링 방법
GB2469666B (en) * 2009-04-23 2012-01-11 Univ Sheffield Hallam RF-plasma glow discharge sputtering
US20110014778A1 (en) * 2009-07-20 2011-01-20 Klepper C Christopher Boron-10 coating process for neutron detector integrated circuit with high aspect ratio trenches
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8846451B2 (en) 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102036460B (zh) * 2010-12-10 2013-01-02 西安交通大学 平板式等离子体发生装置
TWI490051B (zh) * 2011-02-18 2015-07-01 Kim Mun Hwan 物理氣相沉積之反應室腔體零件之清潔方法
JP5719212B2 (ja) * 2011-03-30 2015-05-13 東京エレクトロン株式会社 成膜方法およびリスパッタ方法、ならびに成膜装置
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
WO2014197324A1 (en) * 2013-06-04 2014-12-11 Tokyo Electron Limited Mitigation of asymmetrical profile in self aligned patterning etch
US9960021B2 (en) * 2013-12-18 2018-05-01 Applied Materials, Inc. Physical vapor deposition (PVD) target having low friction pads
US9887072B2 (en) 2014-01-23 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for integrated resputtering in a physical vapor deposition chamber
CN103993294B (zh) * 2014-04-17 2016-08-24 上海和辉光电有限公司 一种高温cvd工艺的压差改良方法
US10163698B2 (en) * 2014-05-07 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method thereof
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
GB201420935D0 (en) * 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US9905638B1 (en) * 2016-09-30 2018-02-27 Texas Instruments Incorporated Silicon epitaxy for high aspect ratio, substantially perpendicular deep silicon trench
US10818502B2 (en) 2016-11-21 2020-10-27 Tokyo Electron Limited System and method of plasma discharge ignition to reduce surface particles
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
WO2019160674A1 (en) * 2018-02-19 2019-08-22 Applied Materials, Inc. Pvd titanium dioxide formation using sputter etch to halt onset of crystalinity in thick films
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US20200048760A1 (en) * 2018-08-13 2020-02-13 Applied Materials, Inc. High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
US10927450B2 (en) 2018-12-19 2021-02-23 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
CN110190020A (zh) * 2019-07-03 2019-08-30 中国振华集团云科电子有限公司 一种刻蚀方法及系统
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4664935A (en) * 1985-09-24 1987-05-12 Machine Technology, Inc. Thin film deposition apparatus and method
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US5658438A (en) * 1995-12-19 1997-08-19 Micron Technology, Inc. Sputter deposition method for improved bottom and side wall coverage of high aspect ratio features
US6376781B1 (en) 1996-05-03 2002-04-23 Micron Technology, Inc. Low resistance contacts fabricated in high aspect ratio openings by resputtering
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions

Also Published As

Publication number Publication date
WO2002091461A3 (en) 2003-02-27
US6755945B2 (en) 2004-06-29
KR100878103B1 (ko) 2009-01-14
KR20030092126A (ko) 2003-12-03
US20030034244A1 (en) 2003-02-20
JP2004526868A (ja) 2004-09-02
EP1384257A2 (en) 2004-01-28
WO2002091461A2 (en) 2002-11-14
CN100355058C (zh) 2007-12-12
TW552624B (en) 2003-09-11
CN1552097A (zh) 2004-12-01

Similar Documents

Publication Publication Date Title
JP4429605B2 (ja) シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置
JP2004526868A5 (ja)
US7744735B2 (en) Ionized PVD with sequential deposition and etching
US6709553B2 (en) Multiple-step sputter deposition
US8449731B1 (en) Method and apparatus for increasing local plasma density in magnetically confined plasma
US7804040B2 (en) Physical vapor deposition plasma reactor with arcing suppression
KR100322330B1 (ko) 재료의 이온 스퍼터링 방법 및 장치
US6344419B1 (en) Pulsed-mode RF bias for sidewall coverage improvement
JP4179642B2 (ja) 金属層の形成方法及び形成装置
US8858763B1 (en) Apparatus and methods for deposition and/or etch selectivity
US6132575A (en) Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US20070238279A1 (en) BARRIER DEPOSITION USING IONIZED PHYSICAL VAPOR DEPOSITION (iPVD)
US7892406B2 (en) Ionized physical vapor deposition (iPVD) process
KR20010051943A (ko) 측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법
JP2001140065A (ja) 薄膜内粒子性能を改善したイオン化金属プラズマ銅蒸着
JP2001279436A (ja) 変調電源を用いる物理的気相成長方法及び装置
WO2010004890A1 (ja) 薄膜の成膜方法
US6200433B1 (en) IMP technology with heavy gas sputtering
US20060014378A1 (en) System and method to form improved seed layer
US20090321247A1 (en) IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US6451179B1 (en) Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
WO2009117494A2 (en) Methods for forming a titanium nitride layer
US7642201B2 (en) Sequential tantalum-nitride deposition
KR980011764A (ko) 전면 고밀도 플라즈마 증착 제공 방법 및 그 장치
JP2004131839A (ja) パルス化された電力によるスパッタリング堆積

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080415

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080715

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080723

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20081014

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091216

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4429605

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

Free format text: JAPANESE INTERMEDIATE CODE: R313115

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151225

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees