KR100878103B1 - 순차적 증착 및 에칭에 의한 이온화된 pvd - Google Patents

순차적 증착 및 에칭에 의한 이온화된 pvd Download PDF

Info

Publication number
KR100878103B1
KR100878103B1 KR1020037014325A KR20037014325A KR100878103B1 KR 100878103 B1 KR100878103 B1 KR 100878103B1 KR 1020037014325 A KR1020037014325 A KR 1020037014325A KR 20037014325 A KR20037014325 A KR 20037014325A KR 100878103 B1 KR100878103 B1 KR 100878103B1
Authority
KR
South Korea
Prior art keywords
substrate
etching
deposition
mode
during
Prior art date
Application number
KR1020037014325A
Other languages
English (en)
Other versions
KR20030092126A (ko
Inventor
야사터그럴
리놀드즈글린
세리오프랭크
기틀맨브루스
그래퍼하우스마이클
로비슨로드니
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20030092126A publication Critical patent/KR20030092126A/ko
Application granted granted Critical
Publication of KR100878103B1 publication Critical patent/KR100878103B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

iPVD 장치(20)는, 진공실(30)내에서 증착 모드 및 에칭 모드 간에 사이클함으로써, 반도체 기판(21) 상의 고 종횡비 서브미크론 특징물로 물질(10)을 증착하도록 프로그램된다. 이 모드는 상이한 전력 및 압력 파라미터로 동작한다. 50 mTorr 이상의 압력은, 예컨대, 타겟으로부터 물질을 스퍼터링하는데 이용되는 반면에, 소수 mTorr 미만의 압력은, 예컨대, 에칭하는데 이용된다. 기판의 바이어스 전력은, 에칭을 위해 보다 높은 크기의 정도이고, 에칭을 위해 수백 볼트의 바이어스를 발생시키지만, 증착을 위해서는 수십 볼트만을 발생시킨다. 선택적인 에칭 모드는, 기판 상의 특징물의 에지를 오버행하는 증착된 물질을 제거하고, 상기 특징물의 바닥(15)으로부터 증착된 물질의 일부를 제거하며, 제거되는 증착된 물질을 상기 특징물의 측벽(15) 상으로 리스퍼터한다. 기판(21)은 증착 및 에칭 동안, 특히 에칭 동안에 0℃ 이하로 냉각된다. RF 에너지는 고밀도 플라즈마를 형성하도록 실(30)내에 결합되고, 고 RF 전력은 증착 및 에칭 동안에 결합된다. 기판(21)은 증착 동안 보다는 에칭 동안에 플라즈마원에 더 근접하여 이동된다.
증착 모드, 에칭 모드, iPVD 장치, 제어기

Description

순차적 증착 및 에칭에 의한 이온화된 PVD{IONIZED PVD WITH SEQUENTIAL DEPOSITION AND ETCHING}
이 출원은, 2001년 5월 4일자로 출원되고, 여기서 참조로 포함되는 미국 가특허원 제60/288,952호에 대한 우선권을 청구하는 것이다.
본 발명은 반도체 웨이퍼 상의 비어(via) 및 트렌치(trench) 구조의 금속화에 관한 것이다. 특히, 본 발명은, 배리어(barrier)층 및 시드(seed)층을 형성하기 위해 이온화된 스퍼터 물질을 이용한 실리콘 웨이퍼의 고 종횡비(aspect ratios) 비어 및 트렌치 구조의 금속화에 관한 것이다.
반도체 웨이퍼 상의 고 종횡비 비어 홀 및 트렌치를 금속화할 시에는, 배리어층 및 시드층이 양호한 측벽 및 바닥 커버리지(coverage)를 가질 필요가 있다. 이 배리어층은 그의 배리어 특성을 저하시키지 않고 가능한 얇게 할 필요가 있다. 배리어층은 얇아야 하는데, 그 이유는 비어 구조에 전기 저항성을 증진시키는 전기 저항이 최소화되어야 하기 때문이다. 그것은,시드층 물질이 유전체층 및, 신뢰성 문제를 방지하는 다른 층으로 확산하는 것을 방지하도록 공형(conformal)이고, 연속적일 필요가 있다. 이것은, 배리어층 두께가 제어되어, 특히 비어의 바닥에서 최소화되어야 하는 것을 필요로 한다. 비어의 바닥에서의 두꺼운 배리어층은, 상호 접속 금속화의 저항에 대해 실질적으로 바람직하지 않은 전기 저항성을 증진시킬 수 있다. 고 콘택트(contact) 저항은 결과적으로 IC 성능을 저하시킨다. 비어 입구의 상부 에지(edge)에서, 배리어층 증착 동안에, 더욱 두꺼운 물질의 조성으로 인해 오버행(overhang)이 형성할 수 있다. 이 오버행은 비어의 바닥 및 측벽 상으로의 시드층의 증착을 방해한다. 시드층 증착 동안에는, 시드층 물질 자신에 의한 부가적인 오버행 형성이 방지되어야 한다.
시드층은 연속적이고, 비어의 바닥 및 측벽에서 양호한 커버리지를 가져야 한다. 이것은, 배리어층 및 시드층 증착에 따르는 전기 도금 단계에 필수적이다. 오버행에 의한 비어 입구의 폐쇄는 결과적으로 측벽 커버리지를 저하시키고, 전기 도금 충전(fill)을 저하시키며, 디바이스 양품률을 낮게 한다.
이온화 PVD 증착법은 IC 웨이퍼를 개선할 시에 배리어층 및 시드층 금속화에 이용된다. 이온화된 PVD는 비어 및 트렌치 구조에서 양호한 측벽 및 바닥 커버리지를 제공한다. 그러나, 기하학적 형상이 수축하고, 비어 치수가 0.15 마이크로미터 이하로 떨어질 시에, 이온화 증착 요건은 더욱 중요하게 된다. 그래서, 바닥 및 측벽 커버리지가 잘 균형을 이루고, 오버행이 최소화되는 이온화 PVD 증착법을 가지는 것이 매우 바람직하다.
순차적 증착 및 에칭 공정은 이전에 제안되었다. 미국 특허제6,100,200호에서, Van Buskirk 등은 비어 또는 트렌치 구조의 공형 커버리지를 제공하기 위해 순차적으로 실행되는 가열 증착 및 에칭 유닛 공정을 제시하고 있다. 그러나, 그들은, 통상적으로 300℃-600℃ 및 500℃-450℃ 사이의 기판의 고 온도에서의 증착 및 에칭 공정을 제시하고 있다. 불행하게도, 현재의 반도체 공정에 이용되는 새로운 기술적 수준의 저-k 유전체는 200℃ 미만의 온도를 필요로 한다. Cu 시드층 증착은, 구리 소결(agglomeration)을 방지하기 위해 0℃ 미만, 통상적으로 -20℃ 내지 -50℃를 필요로 한다. Van Buskirk 등에 의해 제시된 온도는, 결과적으로 Cu 시드층의 전체 소결, Cu 및 불연속 Cu층의 큰 아이슬랜드(islands)에 의한 비어 및 트렌치의 오버행 및 폐쇄를 생성시킨다. Van Buskirk 등은 또한 통상적으로 1kW 미만, 특히 0.5kW 미만의 저 전력 스퍼터링을 제시하고 있다. 이것은 공정에서 심각한 증착율 및 처리율(throughput) 제한을 초래시킨다.
더욱이, Van Buskirk 등은, 전용 증착 및 에칭 모듈 사이로 웨이퍼를 이송시킴으로써 유일한 진공 시스템, 또는 다면 증착 및 반응 이온 에칭 모듈을 이용하는 유일한 진공 시스템에서 실행되는 순차적 증착 및 에칭 단계를 제시하고 있다. 선택적으로, Van Buskirk 등은 독립적 증착 및 에칭 시스템에서 실행될 수 있는 단계를 제안하고 있다. 동일한 모듈내에서, 웨이퍼를, 한 에칭실로부터 다른 증착실로, 또는 에칭 스테이션으로부터 다른 증착 스테이션으로 이송하는 것은, 공정 비용 및 공정 품질 관점에서 결점을 가지고 있다. 웨이퍼를 실(chamber) 간, 또는 동일한 실내에서 한 스테이션으로부터 다른 스테이션으로 이송함으로써, 처리 손실이 생겨, 공정 비용이 더욱 많아진다. 어떤 공정은, 이송 동안 가스 분자 또는 다른 오염물의 흡착에 민감하며, 이는 구성 시에 디바이스의 품질 및 신뢰성을 저하시킬 수 있다. Van Buskirk 등의 다른 제안은, 공정 간에 기압에 노출되는 독립적 시스템에서 증착 및 에칭 단계를 실행할 수 있다는 것이고, 전체적으로 대부분의 최신 배리어/시드층 금속화 공정에 수용할 수 없다. Van Buskirk 등은 또한 증착 단계 동안에 어떠한 기판 비어를 제시하지 않고 있다.
미국 특허 제4,999,096호에서, Nikei 등은 동일한 실에서 순차적 증착 및 에칭이 실행될 수 있을 시에 스퍼터링하는 방법 및 장치를 제시하고 있다. Nikei 등은, 음(negative) 전압을 선택적으로 타겟 및 기판에 인가하여, 선택적으로 막 증착 및 리버스(reverse) 스퍼터를 실행하도록 한다. 그들은, 타겟과 기판 사이에 위치되어, 에칭 단계를 위한 플라즈마 발생을 유발시키는 처리 모듈 내부의 RF 코일을 제시하고 있다. 이런 구성은, 내부 코일이 오염원이다는 상당한 결점을 가지고 있는데, 그 이유는, 본 기술 분야에 공지되어 있는 바와 같이, 처리 공간내에 존재하는 에너지 이온 및 중성자가 또한 에칭으로부터 물질을 제거하고, 코일이 기판 상에 증착되거나 에칭되는 막을 오염시키기 때문이다. 다른 종래 기술에서, 코일은 증착되는 동일한 물질로 제조되지만, 이것은 공정에 대해 과도한 경제적 및 하드웨어 곤란성을 발생시킨다. 증착되는 모든 물질이 코일을 구성하는데 맞지 않으며, 비용이 상당히 비싸다. 더욱이, Nikei 등의 제안은, 결과적으로 기판의 비균일한 플라즈마 발생 및 비균일한 에칭을 초래한다. 순차적 에칭 및 증착 공정에서, 양 단계는 웨이퍼를 균일하게 하여, 결과적으로 공정의 완료 시에 균일하게 처리된 웨이퍼를 생성시키도록 하는 것이 가장 중요하다.
Nikei 등은, 증착막내의 불순물을 방지하도록 저압 증착 및 에칭 공정을 제시하고 강조하고 있다. 이것은, 에칭 및 증착 공정 동안에 10-3 torr 이하 정도의 저압에서 플라즈마를 발생시킴으로써 달성된다. 에칭 공정 동안에, 내부 코일은, 기판으로부터 소정의 오염물을 유지할 바램과는 달리, 오염물을 기판에 제공하는 방전(discharge)을 달성하도록 RF 전력 공급될 필요가 있다. Nikei 등은, 그들의 발명을 저압(10-3 torr 이하) 동작으로 엄격히 제시하거나 제한한다.
미국 특허 제6,274,008호는, 동시 세정-증착 단계가 실행되는 집적된 구리 충전 공정을 제시하고 있다. 이 발명은, 구리 시드층이 증착되기 전에, 구리 이온을 사용하여, 비어 구조의 바닥을 세정 및/또는 에칭한다.
본 발명의 원리에 따르면, 순차적 증착 및 에칭 단계가 상술한 문제를 해결하는데 사용되는 공정 및 장치가 제공된다. 본 발명의 공정은, 먼저 엷은 금속화 층, 예컨대, 탄탈륨(Ta), 질화 탄탈륨(TaN) 또는 구리(Cu)를 증착한 후, 바람직하게는, 이 증착을 정지시킨 후, 바람직하게는 이온화 가스, 예컨대, 아르곤(Ar)에 의해 이온 에칭 단계를 수행하는 단계를 포함한다.
에칭 단계는, 웨이퍼의 상부면 및 비어 바닥면 상의 필드 영역 상의 보다 적은 물질을 제거하며, 이 물질은 증착 단계 동안에 증착됨으로써, 공정 사이클의 종점에서 최종적인 증착이 이루어 진다. 이 증착/에칭 사이클은 원하는 결과를 달성하도록 필요한 만큼 여러번 반복될 수 있다. 증착 및 에칭 횟수, 속도와 다른 증착 및 에칭 파라미터가 균형이 이루어짐으로써, 오버행 성장이 제거되거나 최소화된다. 오버행 및 바닥 증착은 에칭되어 적어도 부분적으로 측벽에 재분포된다.
본 발명의 일 실시예에 따른 공정에서, 작은 고 종횡비 특성(features), 예컨대, 3 또는 5 내지 15 이상의 종횡비를 가진 0.15 마이크로미터 이하의 직경의 홀 또는 비어를 가진 웨이퍼 상에 물질이 증착된다. 이 증착은, 미국 특허 제6,287,435호, 제6,080,287호, 제6,197,165호, 제6,132,564호, 제5,948,215호 및 제5,800,688호 및, 적어도 부분적으로 이것에 기초한 PCT 출원 PCT/US00/31756에 기술된 바와 같은 특성을 가진 이온화 물리 기상 증착(iPVD) 공정 및 장치를 이용하며, 이들 특허의 모두는 여기서 참조로 포함된다.
본 발명의 일 실시예는, 상술한 미국 특허 제6,287,435호 및 PCT 출원 PCT/US00/31756의 도 1과 관련하여 상세히 기술된 바와 같은 이온화된 PVD 장치의 유일한 이점을 이용한다. 이 장치는, 특히 순차적 증착 및 에칭 공정에 적합하다.순차적 증착 및 에칭 공정은, 진공 상태를 깨뜨리거나 실 간에 웨이퍼를 이동시키지 않고, 동일한 처리실내의 기판에 적용될 수 있다. 이 장치의 구성은, 이온화된 PVD 증착 모드에서 에칭 모드로, 또는 에칭 모드에서 이온화된 PVD 증착 모드로 급속한 변화를 허용한다. 이 장치의 구성은, 또한 증착 모드 동안의 이온화된 PVD 증착 공정 제어 파라미터 및, 에칭 모드 동안의 에칭 공정 제어 파라미터를 동시에 최적화시킨다. 이들 이점은, 결과적으로 우수한 비어 금속화 및 후속 전기 도금 충전 동작에 의해 웨이퍼의 처리율(throughput)을 높인다.
본 발명은, 기판의 저 온도를 제시하는 것 이외에, 고 DC 타겟 전력, 예컨대, 8kW 내지 19kW, 통상적으로 11kW를 제안한다. 고 전력 레벨은 결과적으로 처리율을 증진시킬 뿐만 아니라, Cu 또는 Ta 등의 금속 종(species)의 이온화 및 이온 화된 증착을 최적화시킨다.
본 발명은, Van Buskirk 등에 의해 제시된 공정에서 생성할 수 있는 계면층없이 고 처리율을 가진 동일한 실에서 원위치에 순차적 에칭 및 증착 단계를 제공함으로써 종래 기술의 문제를 해결한다.
본 발명에 의해 제공된 처리 모듈의 구성은, 광범위한 압력을 수용하여, 고 웨이퍼 처리율 레벨에서 공형 비어 및 트렌치 커버리지를 생성시키도록 한다. 본 발명은, Nikei 등의 저압 제한을 가지지 않고, 장치의 능력을 이용한 공정의 스퍼터링 및 에칭 단계를 위해 최적화된 처리 압력 레벨을 제공한다. 더욱이, 종래 기술은, 결과적으로 생긴 막의 균일도를 증가시키도록 각 단계에 대해 최적화된 소스 대 기판 거리를 가진 증착-에칭 사이클을 제시하지 않는다.
미국 특허 제6,274,008호는 순차적 에칭 및 증착 방식을 제시하지 않고, 세정 종으로서 금속 이온으로 제한된다.
본 발명의 이들 및 다른 목적과 이점은 본 발명의 설명된 실시예에 대한 다음의 상세한 설명으로부터 더욱 명백해진다.
도 1은 iPVD에 의해 금속 증착에 포함된 메카니즘을 도시한 반도체 웨이퍼의 일부의 단면도이다.
도 2는 증착된 금속의 에칭에 의해 재스퍼터링(resputtering)에 포함된 메카니즘을 도시한 도 1의 반도체 웨이퍼의 부분의 단면도이다.
도 3은 본 발명에 이용하기 위한 iPVD의 일 실시예의 단면도이다.
도 3A는 본 발명에 이용하기 위한 iPVD의 다른 실시예의, 도 3과 유사한 단면도이다.
도 3B는 본 발명에 이용하기 위한 iPVD의 또다른 실시예의, 도 3 및 도 3A와 유사한 단면도이다.
도 4는 본 발명의 실시예에 따른 순차적 증착 및 에칭 공정 동안의 파라미터의 스위칭을 도시한 그래프이다.
도 5는 본 발명의 다른 실시예에 따른 순차적 증착 및 에칭 공정 동안의 파라미터의 변화를 도시한 그래프이다.
도 5A 및 도 5B는 도 5의 그래프의 선택된 부분을 상세히 도시한 그래프이다.
도 6은 도 3, 도 3A 또는 도 3B의 장치를 위한 제어 시스템의 일부의 도시도이다.
도 7 및 도 7A는 도 3, 도 3A 또는 도 3B의 장치를 위한 선택적인 가스 흐름 제어 시스템의 부분의 도시도이다.
도 1은 iPVD에 의해 반도체 웨이퍼(12)의 유전체 내부층(interlayer)(13)에 형성된 비어 구조내로의 금속막(10)의 증착을 도시한 것이다. 금속 이온(18)이 웨이퍼(12) 상에 증착됨에 따라, 이 금속 증착은, 오버행 구조(14)를 생성시키는 비어 입구에서 더욱 두껍게 되는 성향을 가지고 있다. 마찬가지로, 비어(11)의 바닥(15)에서의 금속의 증착은 측벽(16)에서 보다 더 두껍게 된다. 비어 치수가 0.15 마이크로미터 이하로 감소하고, 이에 따라 유전체 내부층(13)의 두께의 감소가 이루어지지 않음에 따라, 비어(11)의 종횡비는 상당히 증가하여, 금속 이온(14)의 플럭스(flux)를 비어(11)의 측벽(16) 상으로 제한한다. 100Å 미만의 엷은 증착의 경우, 특히 구리와 같은 금속의 시드층에 대해 비어(11)의 측벽(16) 상에 증착된 막은 소결된 아이슬랜드 구조를 가지는 경향이 있다. 구리 시드층내의 갭 및 불연속성은, 금속 커버리지가 가장 낮은 측벽, 특히 측벽의 바닥에서 도금 공극에 이를 수 있다.
도 2는, 비어(11)이 바닥(16) 및, 비어(11)의 상부에 있는 오버행 구조(14)로부터 금속 증착(10)을 리스퍼터하는 에칭 사이클을 도식적으로 설명한 것이다. 에칭 사이클에서, 화살표(19)로 도시된 바와 같이, 아르곤 이온(Ar+)은 증착층(10)으로부터 물질을 스트라이크(strike)하여 스퍼터한다. 이런 스퍼터링은 비어 바닥(16) 및 오버행(14)으로부터 과도한 물질을 제거한다. 금속층이 구리일 시에, 에칭 공정은, 비어 바닥(16) 및 비어 입구에서의 오버행(14)으로부터 스퍼터된 Cu의 재증착에 의해 측벽(16)의 바닥(16) 및 상부 상에서 Cu의 연속성을 증가시킨다. 에칭되는 금속이 배리어층일 경우, 비어 바닥(16)에서의 두께의 감소는 비어의 전체 콘택트 저항을 감소시켜, 디바이스 성능을 향상시킨다.
증착 및 에칭 사이클은 도 3에 도시된 iPVD 장치(20)를 참조로 기술된다. 이온화된 PVD의 경우, 웨이퍼(21)는 온도 제어된 정전 척(chuck)(22)의 상부의 적소에 유지된다. 스퍼터링 가스는 소스(23)로부터 진공 처리실(30)내에 공급되고, 그의 압력은 펌프(29)에 의해 진공 상태로 유지되며, iPVD에 대해 적절히 이온화된 증착 범위로 조정된다. DC 전력은 전원(24)으로부터 타겟(25)으로 공급되고, RF 전력은 RF 발생기(27)로부터 안테나(26)으로 공급된다. 이들 전원(24 및 27)은 iPVD에 의해 증착에 적절한 전력 레벨로 동조된다. 웨이퍼 RF 바이어스는 RF 바이어스 발생기(28)에 의해 척(22)에 공급되고, 이 발생기(28)는 또한 증착 동안에 적절한 레벨로 동조되어, 웨이퍼(21) 상에 순(net) 음 바이어스를 제공하여, 공정을 향상시키고, 공정에 영향을 미칠 수 있다. 안테나(26)는 처리실 벽(32)내의 유전체 윈도우(31) 뒤의 처리실(30)의 외부에 위치된다. 바람직하게는 슬롯된(slotted) 금속 물질로 형성되는 루버된(louvered) 증착 배플(baffle)(33)은 윈도우(31)에서 근접한 처리실(30)의 내부에 위치되어, 증착으로부터 윈도우(31)를 차폐하도록 한다. 영구 자석 팩(34)은 타겟(25) 뒤에 위치되어, 마그네트론 스퍼터링을 위한 타겟(25)에 걸쳐 자기 터널을 생성시키도록 한다.
웨이퍼(21)의 온도는 최상의 비어 금속화를 획득하도록 제어된다. 웨이퍼 테이블(22)에는 Z-이동 구동부(35)가 설비되어, 기판 대 소스 거리를 조절하여, 최상의 증착 균일도 및, 비어(11)의 측벽(16) 및 바닥(15)의 최상의 커버리지 및 대칭을 제공한다. 통상적으로 이온화된 PVD 증착 파라미터의 일부가 표 1에 제공된다.
표 Ⅰ
통상적으로 이온화된 PVD 증착 파라미터
물질 DC 전력 (kW) ICP 전력 (kW) RF 웨이퍼 바이어 전력 (W) 압력 (mT) N2흐름( 전체 흐름 의 %) 웨이퍼 테이블 온도(℃)
Ta 8-19 1-7 0-200 50-120 ... 25-100
TaNx 8-19 1-7 0-200 50-120 3-50 25-100
Cu 8-19 1-7 0-100 50-100 ... -50-0
표 1에 의해 나타낸 공정의 경우, 기판 대 소스 거리는 통상적으로 150 내지 275 mm일 수 있다. 아르곤 가스는 통상적으로 스퍼터링 가스이다. TaNx와 같은 금속 질화물의 배리어층을 증착하기 위하여, 아른곤 가스외에 질소 가스가 스퍼터 증착 동안에 사용된다.
원하는 소정량의 증착 후에, 타겟(25)에 대한 DC 전력(24)은 감소되거나 턴오프되어, 실질적으로 증착 공정을 감소시키거나 정지시킨다. 당업자는, 증착 공정이 완전히 상기 전력을 턴오프하지 않고 DC 전력 레벨(24)을 매우 낮은 레벨로 감소시킴으로써 실질적으로 감소 및또는 정지될 수 있는 것을 알 수 있다. ICP 안테나에 대한 RF 여기는 아르곤 플라즈마를 발생시키도록 계속 유지된다. 이 점에서, 처리실 Ar 압력, ICP 전력, RF 기판 바이어스 전력 및 기판 대 소스 거리는 최적의 에칭 조건을 제공하도록 조절된다. 이전의 증착 단계가 금속 질화물에 대한 것인 경우, 처리실로의 질소 흐름은 턴오프되거나 감소될 수 있다. 웨이퍼 테이블(22)의 온도는 또한 에칭 단계 동안에 수정될 수 있지만, 이것은 보통 필요치 않다. 통상적인 에칭 조건은 표 Ⅱ에 제공된다.
표 Ⅱ
금속 및 금속 질화물에 대한 통상적인 에칭 공정 파라미터
ICP 전력(W) RF 웨이퍼 바이어스 전력(W) 압력(mT)
50-3000 100-1000 0.1-2 mT
종래 기술의 공정에서, 시드 금속층의 소결은 일반적인 것으로서, 시드층 위에 증착되는 전기 도금 충전에서 발생하는 공극을 생성시켜, 신뢰성 문제로 수용할 수 없는 제품을 생산하게 된다.
본 발명에 따르면, 웨이퍼 온도는 순차적 증착 및 에칭 단계 쌍방 동안에 제어된다. 이들 공정은, 정전 척(22)에 냉각 유체 통로 및 적절한 온도 제어를 제공함으로써, 미국 특허 제6,287,435호에 기술된 장치에서 달성될 수 있다. 척(22)과 웨이퍼(21) 간의 양호한 열 콘텍트는, 웨이퍼(21)와 척(22) 사이에 백사이드(backside) 가스 전도를 제공함으로써 달성될 수 있다. 백사이드 가스 압력은 증착 및 에칭 단계 동안에 제어되어, 특히 비어 구조의 측벽에서 금속 증착이 확실히 소결되지 않도록 할 수 있다.
특히, 구리 증착-에칭 공정의 에칭 단계 동안에, 구리막은 냉각 상태로 유지되어야 하고, 에너지 이온이 비교적 고 기판 바이어스의 영향 하에 기판을 봄바드(bombard)하는 에칭 단계 동안에 가열되지 않도록 되어야 한다. 에칭 단계의 목적은, 성공적인 비어 또는 트렌치 충전을 손상시키는 오버행의 량을 감소시키고, 바닥 커버리지의 두께를 감소시킬 수 있다. 또한, 에칭 단계의 목적은, 더욱 많은 물질을 비어 및 트렌치의 측벽에 재증착하여, 막을 더욱 연속적으로 형성하는 것이다. 기판(21)의 온도가 제어되지 않고, 에칭 단계 동안에 증가될 경우, 구리 원자의 이동도는 증가하고, 이들 원자는 기판(21)의 표면 상으로 확산하여, 결과적으로 연속적인 구리막을 형성하는 대신에 비어 및 트렌치(11)의 측벽에서 큰 구리 노듈(nodules)을 소결시킨다. 그래서, 기판 온도는 제어되어, 에칭 단계 동안에 냉각 상태로 유지된다.
예컨대, 에칭 동안에, 바이어스 전력 발생기(28)로부터 기판 테이블(22)로의 전력은 약 500 와트로 유지되어, 결과적으로 웨이퍼 테이블(22) 상에 약 -300 볼트의 바이어스를 생성시킨다. 이와 같은 에칭 단계 동안에 기판(21)에서 발생된 열은, 웨이퍼 척(22)에 의해 효율적으로 추출되어, 실질적으로 실내 온도 이하, 바람직하게는 섭씨 0℃ 이하, 더욱 바람직하게는 섭씨 약 -30℃에서 웨이퍼(21)의 온도를 유지하도록 한다.
에칭 단계 후에는, 다른 증착 단계가 제공될 수 있다. 에칭에 선행하는 증착의 사이클은 한번 또는 필요한 만큼 여러번 반복될 수 있다. 다른 실시예에서, 하나 이상의 에칭 단계는 전 공정 동안에 사용될 수 있다. 이와 같은 에칭 공정은, 예컨대, 2개의 증착 단계 사이에서 일어날 수 있다. 본 발명의 일 실시예에서, 통상적인 배리어 공정은, 에칭 단계에 선행하는 질화 탄탈륨 증착 단계를 포함하는데, 이 에칭 단계는 다른 에칭 단계에 선행하는 금속 탄탈륨 증착 단계에 더 선행한다. 증착 단계 동안에 증착되는 물질의 두께는 에칭 동안에 제거되어 순 증착을 나타내는 두께에 비해 더 크다.
하나의 동작 시퀀스에서, 각 사이클은, 증착 및 에칭 모드의 각각에 대한 고정 시간, 압력, DC 및 RF 전력 레벨과 소스 대 기판 거리와 같은 고정 증착 및 고정 에칭 파라미터를 가질 수 있다. 이런 동작 시퀀스의 일례가 도 4에 도시되어 있는데, 여기서, 전원(24)으로부터 타겟(25)으로의 DC 전력은 증착을 위해 스위치 온되고, 에칭을 위해서는 스위치 오프된다. 아르곤 가스 진공 압력은, 펌프(29) 및 가스 흐름(23)의 제어에 의해, 증착을 위한 고 압력 및 에칭을 위한 저 압력 사이에서 스위치된다. RF 발생기(27)로부터 안테나(26)로의 ICP 전력은 증착을 위한 고 전력 레벨 및 에칭을 위한 저 전력 레벨 사이에서 스위치된다. 발생기(28)로부터 테이블(22)로의 RF 바이어스 전력은 증착을 위한 저 바이어스 및 에칭을 위한 고 바이어스로부터 스위치된다. Z-구동부(35)는 테이블(22)을 이동시켜, 증착용 보다는 에칭용 (타겟(25) 및 안테나(26)를 포함하는) 소스에 웨이퍼를 더 근접시킨다.
본 발명의 공정을 실행하는 시스템의 다른 동작 시퀀스에서, 증착 및 에칭 모드의 상대적인 부분과 이들의 각각의 처리 파라미터는 사이클 간의 사이클 동안에 변화되어, 최상의 전체 최종 결과에 영향을 미칠 수 있다. 이런 시퀀스의 일례는 도 5에 나타나 있는데, 여기서 여러 곡선은 그들의 시간 관계를 더 양호하게 도시하도록 겹쳐져 있다. 이런 공정의 실시예를 실행하는 시스템의 이점은 증착 모드에서 에칭 모드로 급속히 변화시킨다는 것이다. 장치가 자신의 이점을 가진 고 압력에서의 균일한 증착 및, 저 압력에서의 균일한 에칭 쌍방을 제공하는 능력은 고 처리율을 가진 요구된 결과의 달성을 용이하게 한다. 미국 특허 제6,287,435호 및 PCT 출원 PCT/US00/31756에서 설명된 장치의 iPVD 소스는 웨이퍼에 대해 균일하거나 고 지향성 금속 플럭스를 나타낸다. 이와 같은 소스는 프러스토-코니칼(frusto-conical) 타겟 또는 고리 링형 타겟을 포함하며, RF 코일은 타겟의 중심에 있는 유전체 윈도우 뒤에 있다. 이와 같은 소스에 의해, 어떤 상황 하에서, 웨이퍼 상으로의 정 아르곤 이온의 플럭스는 비균일하고, 오히려 중심으로 피크(peak)되어, 기판에 수직인 선 주변에서의 넓게 각을 이룬 분포를 나타낸다. 이것은 고 압력 증착에 적절할 수 있다. 그러나, 사이클의 증착부 동안에 증착되는 물질의 사이클의 에칭부 동안의 재스퍼터링은 웨이퍼의 에지에서 보다 중심에서 더 명백해지며, 이것은 실행될 수 있는 에칭 동안에 바람직하지 않을 수 있다. 스퍼터 에칭 단계 동안에 압력을 낮춤으로써, 웨이퍼 상으로의 정 아르곤 이온의 플럭스가 더욱 더 균일하게 되고, 웨이퍼 바이어스에 의해 기판에 더욱 지향성 및 직각을 이루게 된다. 플라즈마 소스 대 웨이퍼 공간을 제어하는 Z-거리 조정은 최상의 에칭 및 증착 균일도를 제공하도록 행해질 수 있다.
에칭 단계 동안에 압력을 낮춤으로써, 다른 이점이 달성된다. 상술한 iPVD 소스에 의한 사이클의 증착부 동안에 통상적으로 사용되는 바와 같은 고압에서, 웨이퍼로부터 플라즈마로 배출된 물질은 다수의 충돌(collision)을 하게 되어, 이온화되는 웨이퍼상으로 반향(reflect)될 수 있다. 이런 열중성자화 물질의 플럭스는 오버행을 증가시킬 수 있다. 이런 오버행은 증착-에칭 iPVD 공정에 의해 감소되는데, 그 이유는 저 압력에서, 웨이퍼로부터 스퍼터되는 물질의 평균 자유 경로(mean free path)는 더욱 길고, 이 물질이 이온화되거나, 처리실(30)의 벽(31)과 충돌하기 전에 웨이퍼 상으로 덜 반향되기 쉽기 때문이다. 이상으로는, 증착-에칭 사이클이 급속하게 되어, 통상적으로 60초의 처리 시간내에서 수많은 사이클을 허용하도록 하는 것이다. 가변 듀티(duty) 사이클에 따른 펄스된 DC 전원은 이상적으로는 이런 목적에 적합하다. 기판 대 소스 공간은 도 4의 공간과 유사하다.
도 5에서, "ICP 전력"은 조밀한 플라즈마를 형성하기 위한 발생기(27)로부터의 RF 유도 결합된 전력이라 하는 반면에, "RF 기판 바이어스 전력"은 기판(21)상에 음 바이어스를 생성하도록 발생기(28)로부터 테이블(22)에 가해진 RF 전력이라 한다. "DC 전력"은 물질을 스퍼터시키도록 전원 또는 소스(24)로부터 타겟(25) 물 질에 가해지는 DC 전력이라 한다. 파라미터는, 고 압력 증착 단계 동안에 점화되는 플라즈마가 에칭 단계 전체에 걸쳐 연속적으로 유지되도록 제어될 수 있다. 도 5는 시스템의 동작의 실제 데이터를 설명한 것으로서, 여기서 이것은 사실이 아니며, 아르곤 흐름은 플라즈마를 재점화하기 위해 에칭 단계 전에 상승된다. 플라즈마의 재점화는 도 5에서 RF 기판 바이어스 전력을 명백하게 스파이크할 책임이 있다. 도 5A 및 도 5B는 명확하게 하기 위해 도 5의 파라미터 부분을 분리하기 위해 제공된다.
시스템 제어기(40)는 도 4 및 도 5에 도시된 파라미터 제어를 달성하도록 프로그램되어, 도 6의 도면에서 설명된다.
본 발명의 공정은, 1-150 mTorr 이상의 범위내의 증착 압력을 포함하는 광범위한 압력에 걸쳐 물질, 특히 금속을 증착하는 상술한 장치(20)로 이용될 시에 특히 유리하며, 압력이 50-150 mTorr의 범위내에 있는 곳, 또는 스퍼터 물질이 여러번 충돌을 하거나, 타겟과 기판 사이에서 "열중성자화"되는 그런 다른 압력에 특히 유용하다.
장치(20)는 또한 광범위한 RF 테이블 전력을 걸쳐 증착할 수 있는 이점을 가져, 매우 높은 테이블 전력에서 증착을 허용한다. 에칭과 결합되는 저 테이블 전력 처리는, (적어도 배리어층에 대한) 모든 측벽 및 바닥 물질이 또한 제거되기 전에 오버행의 부분만을 제거할 수 있다. 고 테이블 전력 증착 공정은 결과적으로 바닥 측벽 상에서 고 바닥 커버리지 및 두꺼운 증착을 이루게 할 뿐만 아니라, 비어의 나머지 상의 측벽 커버리지를 양호하게 하여, 에칭 단계가 오버행이 더욱 완전히 제거될 때까지 실행하도록 한다. 이런 이점은, 웨이퍼(21)에 직각인 방향의 이온의 탄도(trajectories)에 영향을 줄 뿐만 아니라, 증착할 동안 동시에 리스퍼터하는 웨이퍼(21) 상에 충분한 고 DC 바이어스를 발생시키는 결과로서 생긴다. 이것은 특징물(feature) 바닥(15)에서 커버리지를 증진시켜, 특징물 바닥에 있는 물질이 제거되기 전에 오버행을 더욱 더 에칭하도록 한다. 테이블 전력 및 증착 압력을 최적화하는 능력에 의해, 리스퍼터 물질의 재증착으로부터 고 테이블 전력 증착 동안의 오버행의 증가가 최소화되어, 에칭 공정에 의해 오버행을 전체적으로 감소시키도록 한다.
증착/에칭 사이클은, 바람직하게는 고 증착 압력과, 10 mTorr 이하, 특히 소수의 mTorr 내지 0.1 mTorr 이하의 저 에칭 압력 간의 급속한 반복 스위칭에 의해 실행된다. 타겟 상의 스퍼터링 전력을 스위칭하고, 레벨 간에 기판 상의 바이어스 전력을 스위칭할 동안에 압력 스위칭이 실행된다. 기판 바이어스는, 기판 상의 RF 전력의 펄싱(pulsing)에 의해 시프트되거나 스위치될 수 있다. 선택적으로, 기판 바이어스는 RF 전력의 2개의 레벨 간에 스위치될 수 있다. 기판 바이어스 스위칭은, 증착 동안에는 수십 볼트의 플라즈마 및, 에칭 동안에는 수백 볼트의 플라즈마에 대해 기판 상에 음 전위를 발생시키는 반면에, 타겟 DC 바이어스는, 증착 동안에는 음 타겟 스퍼터링 전압과, 에칭 동안에는 0 또는 0에 가까운 볼트 사이에서 스위치된다. 에칭 동안의 바이어스 전력은 바람직하게는 증착 동안의 크기 이상의 정도이다.
스위칭은, 바람직하게는 사이클당 약 1 초의 사이클, 또는 사이클당 0.1 내 지 20 초의 범위내에서 실행되며, 일부 공정에서는 더 긴 처리 시간이 일어날 수 있지만, 웨이퍼당 전체 처리 시간은 바람직하게는 1 분 이하의 범위에 있다.
본 발명의 다른 실시예에 따르면, 사이클의 에칭부 동안에 웨이퍼 상으로의 정 아르곤 이온의 플럭스는 사이클의 증착부 동안에 iPVD 소스로부터 금속 플럭스와 동일한 방향이거나 균일하게 행해진다. 이것은, 다른 솔레노이드 코일(41)로 상술한 처리실을 둘러쌈으로써 달성되며, 상기 코일(41)은, 도 3A에 도시된 바와 같이, 처리실(30) 내부 또는 플라즈마 외부에 위치될 수 있다. 이와 같은 코일(41)에 의해, 정 아르곤 이온 플럭스가 기판(21)에 수직으로 더욱 균일하게 행해질 수 있다. 코일(41) 및 안테나(26)를 포함하는 이런 이중 코일 시스템, 증착 동안에 기판의 표면 상에 수개 만큼 적은 단층을 증착하여, 비교적 다량의 물질이 증착되는 특징물(11)의 상부 코너(14) 및 특징물(11)의 바닥(15)으로부터, 보다 적은량의 물질이 증착되지만, 커버리지가 가장 중요한 측벽(16)(도 1 참조) 상으로 물질을 스퍼터링하는 효과를 가지도록 증착을 인터럽트하는 식으로 펄스될 수 있다.
도 3B에 도시된 바와 같이, 다른 선택적인 구성(40)은 코니칼 ICP 소스(44)를 포함하며, 상기 소스(44)는 타겟(45)을 포함하는 상기 소스의 중심에서 전통적인 평면 마그네트론을 가지며, 상기 타겟 뒤에는 자석 조립체(46)가 있다. 소스(44)는, 처리실 벽(42)내의 유전체 윈도우(48) 뒤에 있는 처리실(43) 외부의 코니칼 코일(47)을 포함하며, 코니칼 증착 배플(49)은 증착으로부터 윈도우(48)를 보호한다.
상술한 구성은, 금속을 증착할 시가 에칭 시보다 더 높은 압력에서 실행될 수 있다. 궁극적으로, 처리실을 펌프 아웃(pump out)하는 급속한 웨이브(wave)가 제공될 수 있다. 이것은 도 7에 도시된 펌핑 방식을 이용할 수 있다.
도 3, 도 3A 및 도 3B에 도시된 바와 같은 처리실에는, 도 7에 도시한 바와 같이, 스로틀 게이트 밸브(50) 및 터보 펌프가 설치될 수 있다. 이와 같은 스로틀 게이트 밸브(50)가 원하는 컨덕턴스(conductances)의 범위를 제어하는 능력을 가지지 않은 경우에, 도 7A의 펌핑 구성에는 컨덕턴스의 범위를 증대하는데 사용되는 평행 브랜치(branch)(52)가 이용될 수 있다.
스퍼터 증착 및 에칭 간의 압력차는 가스 흐름율을 스퍼터링실내로 변화시킴으로써 달성될 수 있다. 가스 흐름 변화 및 펌핑 속도 변화의 결합이 또한 이용될 수 있다.
이 가스는 아르곤일 수 있는데, 이는 종종 바람직하지만, 또한 어떤 다른 불활성 가스이거나, 공정과 호환할 수 있는 비불활성 가스일 수 있다.
스퍼터 물질은 구리, Ta 또는 어떤 다른 금속일 수 있으며, 유전 물질은 전기적으로 비도전 타겟 또는 반도체 재료에 대한 추가적인 RF 전원을 필요로 한다.특징물의 바닥으로부터 탄탈륨, TaN, TiN 및 티타늄과 같은 배리어층 물질의 두꺼운 증착의 재스퍼터링은, 특징물의 바닥에서의 그런 물질의 두꺼운 증착이 유발하는 고 콘택트 저항을 회피하는데 유용하다.
배리어층 물질 위에 증착되는 구리와 같은 도체 물질에 의해, 에지로부터 물질을 재스퍼터링함으로써, 오버행 물질이 유발하는 특징물의 내부의 음영(shading)이 회피되고, 이는 후속 도금 공정에서 특징물의 효과적인 상향식(bottom-up) 충전을 억제한다.
에칭 동안의 아르곤 이온의 방향성(directionality)은, 특징물의 에지로부터 특징물의 상위 절반 또는 부분에서의 측벽으로의 재스퍼터링 및, 특징물의 바닥으로부터 특징물의 하위 절반 또는 부분에서의 측벽으로의 재스퍼터링을 용이하게 하도록 제어될 수 있다.
본 발명은, 또한 증착 공정이 반응 공정, 예컨대, TiN, TaN, SiN 등의 화합물 막을 증착하는데 사용되는 공정인 곳에도 이용될 수 있다. 이와 같은 경우에, 증착 동안, Ar 가스 외에 N2 또는 어떤 다른 반응 가스가 처리실내에 도입된다.
RF 전원 주파수는 최상의 시스템 및 처리 결과를 위해 선택될 수 있다. 통상적인 주파수는 13.56 MHz 또는 2 MHz일 수 있지만, 이들 주파수로 제한되지 않는다.
당업자는 본 발명의 원리로부터 벗어나지 않고 상술한 실시예에 대해 삭제, 추가 및 수정이 행해질 수 있는 것을 알 수 있다.

Claims (20)

  1. iPVD 공정에 있어서,
    iPVD 장치의 실(chamber) 내에 기판을 밀봉하는 단계,
    상기 실을 개방하지 않고, 증착 모드 중에 기판상에 증착하기 위한 코팅 물질의 이온을 생성하고, 에칭 모드 중에 기판을 에칭하기 위한 이온을 생성하기 위해 실 내의 공간에 고밀도 플라즈마를 유도 결합하는 단계,
    증착 모드, 그 후 에칭 모드, 그 후 다른 증착 모드로 상기 iPVD 장치를 동작시킴으로써 기판상의 고 종횡비의 서브미크론 특징물(submicron features)의 표면상에 도전 층을 증착하도록 iPVD 공정을 실행하는 단계로서, 상기 증착 모드 및 상기 에칭 모드는, 증착 모드 중과 에칭 모드 중에서 상이한 전력 및 압력 파라미터에서 동작하도록 상기 iPVD 장치를 제어함으로써 실시되는 단계 및,
    증착 모드로 제 1 처리 압력에서 상기 iPVD 장치를 동작시켜, 상기 제 1 처리 압력을 낮추어 에칭 모드로 제 2 처리 압력에서 상기 iPVD 장치를 동작시켜, 상기 제 2 처리 압력을 상승시켜 후속 증착 모드로 제 1 처리 압력에서 다시 상기 iPVD 장치를 동작시키는 단계를 포함하는 것을 특징으로 하는 iPVD 공정.
  2. 제 1 항에 있어서,
    0.15 미크론 이하의 직경 및, 3 이상의 종횡비를 가진 반도체 특징물 상에 코팅을 증착하는 단계를 포함하며,
    상기 iPVD 공정을 실행하는 단계는,
    고밀도 플라즈마를 상기 실내에 형성하여, 물질을 상기 기판 상으로 증착하고, 상기 기판을 에칭하기 위한 이온을 생성하는 단계,
    적어도 50 mTorr의 압력에서 증착 모드로 상기 장치를 동작시키면서, 타겟으로부터 고밀도 플라즈마로 스퍼터하여 상기 물질을 이온화시키고, 상기 기판에 수직인 고도의 방향성을 가진 이온화된 물질을 상기 기판 상에 증착하는 단계 및,
    10 mTorr 이하의 압력에서, 기판으로부터 물질을 스퍼터링하지 않고 100 볼트 이상의 크기를 가진 상기 기판 상의 바이어스를 갖는 에칭 모드로 상기 장치를 동작시키는 단계를 포함하는, iPVD 공정.
  3. 제 2 항에 있어서,
    상기 기판 상의 바이어스를 가진 증착 모드로 상기 장치를 동작시키는 단계를 더 포함하는데, 상기 바이어스는 에칭 모드 동안의 기판 상의 바이어스 보다 작은 크기를 가지는 것을 특징으로 하는 iPVD 공정.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    증착 모드에서 에칭 모드로 변경할 시에, 상기 플라즈마로부터의 가스 이온에 의해 상기 기판으로부터 증착된 물질의 에칭 모드 동안에 순 에칭을 유발시키도록 상기 기판 상의 바이어스 전력을 증가시키는 단계 및,
    에칭 모드에서 증착 모드로 변경할 시에, 상기 플라즈마로부터 이온화된 물질을 유도하여 상기 기판 상으로의 물질의 순 코팅을 유발시키도록 상기 기판 상의 바이어스 전력을 감소시키는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  5. 제 4 항에 있어서,
    상기 에칭 모드 동안의 상기 기판 상의 바이어스 전력은 적어도 1 정도의 크기만큼 증착 모드 동안의 기판 상의 바이어스 전력 보다 높은 것을 특징으로 하는 iPVD 공정.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 에칭 모드는,
    1) 기판상의 특징물의 에지를 오버행하는 증착된 물질을 적어도 부분적으로 제거하는 것,
    2) 상기 특징물의 바닥으로부터 증착된 물질을 적어도 부분적으로 제거하는 것 및,
    3) 상기 기판으로부터의 증착된 물질을 상기 특징물의 측벽 상으로 재스퍼터링하는 것 중 하나 이상을 생성하는 파라미터 하에 플라즈마로부터의 이온으로 스퍼터링함으로써 실행되는 것을 특징으로 하는 iPVD 공정.
  7. 제 6 항에 있어서,
    상기 에칭 모드는, 상기 1), 2) 및 3) 중 2 이상을 생성하는 파라미터 하에 플라즈마로부터의 이온으로 스퍼터링함으로써 실행되는 것을 특징으로 하는 iPVD 공정.
  8. 제 6 항에 있어서,
    상기 에칭 모드는, 상기 1), 2) 및 3)의 모두를 생성하는 파라미터 하에 플라즈마로부터의 이온으로 스퍼터링함으로써 실행되는 것을 특징으로 하는 iPVD 공정.
  9. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    증착 및 에칭 동안에 기판을 냉각시키는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  10. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    에칭 동안에 기판을 0℃ 이하로 냉각시키는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  11. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    증착 동안에는 스퍼터링 타겟으로의 DC 전력을 스위치 온하고, 에칭 동안에는 스위치 오프하는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  12. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    실의 외부의 RF 발생기로부터의 RF 에너지에 의해 고밀도 플라즈마를 상기 실내에 형성하는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  13. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    증착 모드 동안에는 기판 상으로 증착하기 위한 코팅 물질의 이온을 생성시키고, 에칭 동안에는 기판을 에칭하기 위한 이온을 생성시키도록 고밀도 플라즈마를 상기 실내의 공간에 형성하는 단계 및,
    증착 모드 동안에 상기 공간에 대한 한 위치에 상기 기판을 위치시키고, 에칭 모드 동안에는 상기 공간에 대한 다른 위치에 상기 기판을 재위치시키는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  14. 제 13 항에 있어서,
    에칭 모드 동안에 상기 기판을 상기 공간에 근접시켜 재위치시키는 단계를 포함하는 것을 특징으로 하는 iPVD 공정.
  15. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    증착 모드 동안에는 기판 상으로 증착하기 위한 코팅 물질의 이온을 생성시키고, 에칭 동안에는 기판을 에칭하기 위한 이온을 생성시키도록 RF 에너지를 공간에 결합함으로써 고밀도 플라즈마를 상기 실내의 공간에 형성하는 단계 및,
    증착 모드 동안에는 한 전력 레벨로, 에칭 모드 동안에는 상이한 전력 레벨로 RF 에너지를 공간에 결합하는 단계를 더 포함하는 것을 특징으로 하는 iPVD 공정.
  16. 제 15 항에 있어서,
    에칭 모드 동안에 저 전력 레벨에서 RF 에너지를 공간에 결합하는 단계를 포함하는 것을 특징으로 하는 iPVD 공정.
  17. 제 1 항 내지 제 3 항 중 어느 한 항의 공정에 따라 iPVD 처리 장치를 동작시키도록 프로그램된 제어기를 가진, iPVD 처리 장치.
  18. 0.1 내지 100 mTorr의 압력 범위에 걸쳐 이온화된 물리 기상 증착을 기판 상에 실행하도록 구성되는 진공실,
    상기 진공실의 한 단부에 있는 고리형 타겟 및 상기 진공실의 다른 단부에 있는 기판 지지대,
    RF 에너지원에 결합되어, 고밀도 플라즈마를 형성하도록 상기 진공실내에 RF 에너지를 유도 결합하기 위한 타겟의 중심의 3차원 코일 및,
    iPVD 장치를 동작시키도록 프로그램된 제어기를 포함하는 iPVD 장치에 있어서,
    상기 제어기는,
    타겟에서 플라즈마로 스퍼터된 물질을 열중성자화하는 압력에서 상기 장치를 동작시키고, 스퍼터링 타겟으로부터 플라즈마로 물질을 스퍼터하여 상기 물질을 이온화시키고, 웨이퍼에 수직인 방향 균일성을 가진 물질을 웨이퍼 상에 증착하는 단계 및,
    상기 플라즈마내의 입자를 열중성자화시키는 압력 보다 낮은 압력에서 상기 장치를 동작시키고, 타겟으로부터 물질을 스퍼터링하지 않고, 플라즈마로부터 상기 기판상으로 가스의 이온을 가속화시켜, 기판으로부터 증착된 물질을 에칭하여, 상기 기판 상에 특징물의 에지를 오버행하는 증착된 물질을 제거하며, 상기 특징물의 바닥으로부터 특징물의 측벽 상으로 물질을 리스퍼터하는 단계 사이에서 스위치할 상기 장치를 동작시키도록 프로그램되는 것을 특징으로 하는 iPVD 장치.
  19. 삭제
  20. 삭제
KR1020037014325A 2001-05-04 2002-05-03 순차적 증착 및 에칭에 의한 이온화된 pvd KR100878103B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28895201P 2001-05-04 2001-05-04
US60/288,952 2001-05-04
PCT/US2002/014145 WO2002091461A2 (en) 2001-05-04 2002-05-03 Ionized pvd with sequential deposition and etching

Publications (2)

Publication Number Publication Date
KR20030092126A KR20030092126A (ko) 2003-12-03
KR100878103B1 true KR100878103B1 (ko) 2009-01-14

Family

ID=23109372

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037014325A KR100878103B1 (ko) 2001-05-04 2002-05-03 순차적 증착 및 에칭에 의한 이온화된 pvd

Country Status (7)

Country Link
US (1) US6755945B2 (ko)
EP (1) EP1384257A2 (ko)
JP (1) JP4429605B2 (ko)
KR (1) KR100878103B1 (ko)
CN (1) CN100355058C (ko)
TW (1) TW552624B (ko)
WO (1) WO2002091461A2 (ko)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7744735B2 (en) 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
TWI266899B (en) 2002-02-12 2006-11-21 Unaxis Balzers Ltd Component comprising submicron hollow spaces
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6987027B2 (en) 2002-08-23 2006-01-17 The Regents Of The University Of California Microscale vacuum tube device and method for making same
US7012266B2 (en) 2002-08-23 2006-03-14 Samsung Electronics Co., Ltd. MEMS-based two-dimensional e-beam nano lithography device and method for making the same
WO2005004196A2 (en) * 2002-08-23 2005-01-13 Sungho Jin Article comprising gated field emission structures with centralized nanowires and method for making the same
US6858521B2 (en) * 2002-12-31 2005-02-22 Samsung Electronics Co., Ltd. Method for fabricating spaced-apart nanostructures
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US20040127014A1 (en) * 2002-12-30 2004-07-01 Cheng-Lin Huang Method of improving a barrier layer in a via or contact opening
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6784105B1 (en) * 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US20040211661A1 (en) * 2003-04-23 2004-10-28 Da Zhang Method for plasma deposition of a substrate barrier layer
US6929720B2 (en) * 2003-06-09 2005-08-16 Tokyo Electron Limited Sputtering source for ionized physical vapor deposition of metals
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
SE527180C2 (sv) 2003-08-12 2006-01-17 Sandvik Intellectual Property Rakel- eller schaberblad med nötningsbeständigt skikt samt metod för tillverkning därav
US20050061251A1 (en) * 2003-09-02 2005-03-24 Ronghua Wei Apparatus and method for metal plasma immersion ion implantation and metal plasma immersion ion deposition
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
JP2005285820A (ja) * 2004-03-26 2005-10-13 Ulvac Japan Ltd バイアススパッタ成膜方法及び膜厚制御方法
DE102004015862B4 (de) * 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
US20050263891A1 (en) * 2004-05-28 2005-12-01 Bih-Huey Lee Diffusion barrier for damascene structures
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006093660A (ja) * 2004-08-23 2006-04-06 Konica Minolta Holdings Inc プラズマエッチング法
EP1803142A1 (en) * 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
JP2006097071A (ja) * 2004-09-29 2006-04-13 Hiroshima Univ 時効性金属材料の硬質化処理方法、硬質化処理装置及び切削工具
DE102004047630A1 (de) * 2004-09-30 2006-04-13 Infineon Technologies Ag Verfahren zur Herstellung eines CBRAM-Halbleiterspeichers
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
JP2006148075A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US20080200002A1 (en) * 2004-10-19 2008-08-21 Tokyo Electron Limited Plasma Sputtering Film Deposition Method and Equipment
US7256121B2 (en) * 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US20060278521A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
DE102005046976B4 (de) 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
US7700484B2 (en) * 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
US7348266B2 (en) * 2005-09-30 2008-03-25 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
JP4967354B2 (ja) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP4728153B2 (ja) * 2006-03-20 2011-07-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US7618888B2 (en) * 2006-03-24 2009-11-17 Tokyo Electron Limited Temperature-controlled metallic dry-fill process
US7588667B2 (en) * 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US20070257366A1 (en) * 2006-05-03 2007-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for semiconductor interconnect structure
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US20080029386A1 (en) * 2006-08-01 2008-02-07 Dorfman Benjamin F Method and apparatus for trans-zone sputtering
JP4690985B2 (ja) * 2006-09-25 2011-06-01 株式会社東芝 不揮発性記憶装置およびその製造方法
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US8394197B2 (en) * 2007-07-13 2013-03-12 Sub-One Technology, Inc. Corrosion-resistant internal coating method using a germanium-containing precursor and hollow cathode techniques
KR101117929B1 (ko) * 2007-08-08 2012-02-29 가부시키가이샤 아루박 플라스마 처리 방법 및 플라스마 처리 장치
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
EP2426232B1 (en) * 2007-10-26 2017-08-23 Oerlikon Advanced Technologies AG Sputtering apparatus
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
CN101983253B (zh) 2008-04-03 2012-10-24 Oc欧瑞康巴尔查斯股份有限公司 溅射设备及制造金属化结构的方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
JP5249328B2 (ja) * 2008-07-11 2013-07-31 キヤノンアネルバ株式会社 薄膜の成膜方法
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
US8834685B2 (en) * 2008-12-15 2014-09-16 Ulvac, Inc. Sputtering apparatus and sputtering method
GB2469666B (en) * 2009-04-23 2012-01-11 Univ Sheffield Hallam RF-plasma glow discharge sputtering
US20110014778A1 (en) * 2009-07-20 2011-01-20 Klepper C Christopher Boron-10 coating process for neutron detector integrated circuit with high aspect ratio trenches
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8846451B2 (en) 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102036460B (zh) * 2010-12-10 2013-01-02 西安交通大学 平板式等离子体发生装置
TWI490051B (zh) * 2011-02-18 2015-07-01 Kim Mun Hwan 物理氣相沉積之反應室腔體零件之清潔方法
JP5719212B2 (ja) * 2011-03-30 2015-05-13 東京エレクトロン株式会社 成膜方法およびリスパッタ方法、ならびに成膜装置
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
KR101772309B1 (ko) 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US9960021B2 (en) * 2013-12-18 2018-05-01 Applied Materials, Inc. Physical vapor deposition (PVD) target having low friction pads
US9887072B2 (en) 2014-01-23 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for integrated resputtering in a physical vapor deposition chamber
CN103993294B (zh) * 2014-04-17 2016-08-24 上海和辉光电有限公司 一种高温cvd工艺的压差改良方法
US10163698B2 (en) * 2014-05-07 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method thereof
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
GB201420935D0 (en) * 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US9905638B1 (en) * 2016-09-30 2018-02-27 Texas Instruments Incorporated Silicon epitaxy for high aspect ratio, substantially perpendicular deep silicon trench
US10818502B2 (en) * 2016-11-21 2020-10-27 Tokyo Electron Limited System and method of plasma discharge ignition to reduce surface particles
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
EP3755823A4 (en) * 2018-02-19 2021-11-03 Applied Materials, Inc. PVD TITANIUM DIOXIDE FORMATION USING SPUTTER ETCHING TO STOP THE START OF CRYSTALLIZATION IN THICK FILMS
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US20200048760A1 (en) * 2018-08-13 2020-02-13 Applied Materials, Inc. High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
US10927450B2 (en) 2018-12-19 2021-02-23 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110190020A (zh) * 2019-07-03 2019-08-30 中国振华集团云科电子有限公司 一种刻蚀方法及系统
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000007236A2 (en) 1998-07-31 2000-02-10 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4664935A (en) * 1985-09-24 1987-05-12 Machine Technology, Inc. Thin film deposition apparatus and method
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US6132564A (en) 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US5658438A (en) * 1995-12-19 1997-08-19 Micron Technology, Inc. Sputter deposition method for improved bottom and side wall coverage of high aspect ratio features
US6376781B1 (en) * 1996-05-03 2002-04-23 Micron Technology, Inc. Low resistance contacts fabricated in high aspect ratio openings by resputtering
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000007236A2 (en) 1998-07-31 2000-02-10 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
WO2000007236A3 (en) * 1998-07-31 2000-06-22 Applied Materials Inc Method and apparatus for forming improved metal interconnects

Also Published As

Publication number Publication date
JP2004526868A (ja) 2004-09-02
WO2002091461A3 (en) 2003-02-27
EP1384257A2 (en) 2004-01-28
WO2002091461A2 (en) 2002-11-14
CN1552097A (zh) 2004-12-01
JP4429605B2 (ja) 2010-03-10
US20030034244A1 (en) 2003-02-20
US6755945B2 (en) 2004-06-29
TW552624B (en) 2003-09-11
CN100355058C (zh) 2007-12-12
KR20030092126A (ko) 2003-12-03

Similar Documents

Publication Publication Date Title
KR100878103B1 (ko) 순차적 증착 및 에칭에 의한 이온화된 pvd
US7744735B2 (en) Ionized PVD with sequential deposition and etching
JP2004526868A5 (ko)
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US7214619B2 (en) Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US6673716B1 (en) Control of the deposition temperature to reduce the via and contact resistance of Ti and TiN deposited using ionized PVD techniques
US7399943B2 (en) Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US5780357A (en) Deposition process for coating or filling re-entry shaped contact holes
US7588667B2 (en) Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US7892406B2 (en) Ionized physical vapor deposition (iPVD) process
US20070238279A1 (en) BARRIER DEPOSITION USING IONIZED PHYSICAL VAPOR DEPOSITION (iPVD)
US20060172536A1 (en) Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US6200433B1 (en) IMP technology with heavy gas sputtering
KR20010051943A (ko) 측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법
US6461483B1 (en) Method and apparatus for performing high pressure physical vapor deposition
US20060014378A1 (en) System and method to form improved seed layer
US7268076B2 (en) Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US20090321247A1 (en) IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US6458251B1 (en) Pressure modulation method to obtain improved step coverage of seed layer
EP0856593A1 (en) A method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US7642201B2 (en) Sequential tantalum-nitride deposition
EP4174208A1 (en) Pvd method and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131218

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee