KR20010051943A - 측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법 - Google Patents

측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법 Download PDF

Info

Publication number
KR20010051943A
KR20010051943A KR1020000070500A KR20000070500A KR20010051943A KR 20010051943 A KR20010051943 A KR 20010051943A KR 1020000070500 A KR1020000070500 A KR 1020000070500A KR 20000070500 A KR20000070500 A KR 20000070500A KR 20010051943 A KR20010051943 A KR 20010051943A
Authority
KR
South Korea
Prior art keywords
target
substrate
signal
sputtering
voltage portion
Prior art date
Application number
KR1020000070500A
Other languages
English (en)
Inventor
프라부램 고팰라자
세르지오 에델스테인
아비 텝맨
페이준 딩
데바브라타 호쉬
니르멜야 메이티
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010051943A publication Critical patent/KR20010051943A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 PVD를 이용하여 기판상에 컨포말 스텝 커버리지를 구현하기 위한 방법과 장치에 관한 것이다. 타겟은 플라즈마에 의해 방출되어 이온화되는 물질의 공급원을 제공한다. 이온화는 유도코일을 이용하여 플라즈마의 밀도를 충분히 유지시킴으로서 촉진된다. 그 다음 이온화된 물질는 음 전압으로 바이어스된 기판상에 증착된다. 프로세싱 중 타겟에 제공된 신호는 음전압부와 제로-전압부를 포함한다. 음전압부에선, 이온이 스퍼터링을 야기하는 타겟으로 끌려간다. 제로-전압부에선, 타겟으로부터의 스퍼터링이 끝나는 한편 기판상의 바이어스에 의해 기판으로부터 역 스퍼터링이 일어난다. 따라서, 음 전압 부와 제로 전압부가 교대되어 스퍼터링 단계와 역 스퍼터링 단계를 주기적으로 순환된다. 박막의 질과 균일성은 신호의 주파수, 챔버 압력, 및 각 지지부재에 공급되는 동력 및 다른 프로세스 파라미터를 조정함으로써 조절된다.

Description

측벽 커버리지를 개선하기 위한 IMP 스퍼터링 프로세스의 교대 방법 {ALTERNATE STEPS OF IMP AND SPUTTERING PROCESS TO IMPROVE SIDEWALL COVERAGE}
본 발명은 기판 프로세싱에 대한 장치와 방법에 관한 것이다. 구체적으로, 본 발명은 물리 기상 증착 프로세스를 이용하여 기판상에 물질의 컨포말 층(conformal layer)을 증착하는 방법에 관한 것이다.
서브-쿼터 마이크론 멀티-레벨 금속화(sub-quarter micron multi-level metallization)는 집적회로를 대신하는 초 대형 집적(ultra large-scale integration; ULSI)의 차기 세대 기술중 하나이다. 반도체와 다른 전자 소자의 조립에 있어서, 기판상에 증착되는 입자의 방향성은, 전기적 피쳐(feature)의 충진을 적절하게 개선함에 있어 중요하다. 회로 밀도가 증가하면, 유전체 물질 뿐만 아니라 비아(vias), 콘택트(contact), 다른 피쳐(features)의 폭이 0.25㎛ 또는 그 이하로 감소하지만, 유전체층의 두께는 실질적으로 불변한다. 따라서, 피쳐의 애스팩트 비(aspect ratio), 즉 최소 측면 크기에 대한 깊이의 비율은 증가하며 이로 인해 비아 및 콘택트의 애스팩트 비는 5:1 및 그 이상이 된다. 피쳐의 크기가 감소하면, 피쳐 측면과 하부의 컨포말 커버리지(coverage)를 얻기 위해 입자의 방향을 결정하는 것이 더욱더 중요해진다.
종래의 방법에서, 기판상에 형성된 소자 피쳐에 물질을 증착하기 위해 물리 기상 증착(PVD) 시스템이 사용되었다. PVD 시스템은 금속막을 형성하기 위한 반도체 프로세싱의 분야에 잘 알려져 있다. 일반적으로, 프로세싱 챔버에 연결된 전원은 챔버내에 있는 타겟과 기판 지지부재간에 전위를 형성하여, 타겟과 지지부재간에서 프로세싱 가스의 플라즈마를 발생시킨다. 플라즈마 이온은 음으로 바이어스(bias)된 타겟에 부딪히고 그로인해 타겟으로부터 방출된 물질은 기판 지지부재상에 위치된 기판에 증착된다. 하지만, 이런 프로세스는 저 애스팩트 비에서 좋은 결과를 달성하지만, 에스팩트 비가 증가할수록 컨포말한 커버리지를 달성하기가 어렵다. 구체적으로, 애스팩트 비가 증가할때 비아(vias) 하부의 커버리지가 감소되는 것으로 알려져 있다.
입자에 대한 보다 나은 방향성을 제공할 수 있는 프로세스중 하나로 이온화된 금속 플라즈마 물리 기상 증착법(ionized metal plasma-physical vapor deposition; IMP-PVD)이 있으며, 이는 고 밀도 물리 기상 증착법(high density physical vapor deposition; HDP-PVD)이라고도 알려져 있다. 먼저, 헬륨 또는 아르곤과 같은 가스가 챔버내로 주입되고 챔버내에 전기장을 생성하기위해 타겟을 바이어싱하여 가스의 일부를 이온화함으로써 플라즈마가 생성된다. 전류가 흐르는 코일은 챔버의 프로세스 영역의 근처에 위치하며, 전자가 에너지를 플라즈마와 결합시킴으로써 프로세스 영역내에 위치하는 기판 상의 타겟과 서셉터(susceptor) 사이에 유도-결합된 중/고 밀도 플라즈마(inductively-couple medium/high density plasma)를 발생시킨다. 플라즈마내의 이온과 전자는 타겟에 인가된 바이어스에 의해 타겟 쪽으로 가속화되어, 타겟으로부터 물질을 스퍼터링 한다. 플라즈마의 영향하에서, 스퍼터링된 금속 플럭스가 이온화된다. 인가되거나 셀프-바이어스(self-bias)에 의한 전기장은 플라즈마와 기판사이의 경계층 즉 쉬드(sheath)의 영역에서 발생되며, 전기장에 대해선 실질적으로 평행하고 기판의 표면에 대해선 수직을 이루는 방향으로, 기판을 향해 금속이온을 가속한다. 바람직하게는 RF 전력과 같은 전력을 서셉터(susceptor)에 인가함으로써 바이어스 에너지가 제어되어, 스퍼터링된 이온을 방향성이 높은 방식으로 기판 표면으로 끌어당김으로써 기판상에 형성된 피쳐를 충진한다.
HDP-PVD 프로세스의 문제점 중의 하나는 점점 작아지는 소자 피쳐에 컨포말 커버리지를 달성하기가 불가능하다는 것이다. 피쳐의 하부와 측벽의 컨포말 커버리지는 전기도금과 같은 이후의 프로세스를 최적화하기위해 필요로 된다. 전기도금은 피쳐를 균일하게 충진하기 위해, 소자 피쳐내에 컨포말 배리어(barrier)와 시드층(seed layer)을 필요로 한다. 종래의 HDP-PVD는 기판상의 바이어스에 의해 제공된 이온의 방향성덕택에 우수한 하부 커버리지를 달성하지만, 측벽 커버리지는 덜 컨포말할 수 있다. 이 결과는 부분적으로는 피쳐의 하부쪽으로 향하는 이온의 방향성은 높게 유도되나, 측벽쪽으로 향하는 이온의 방향성은 낮은데에 기인한다.
기판내/상에 있는 피쳐 위 또는 안에 박막증착 바이어스의 효과는 기판(10)상에 형성된 비아(16)로 들어가는 금속이온의 방향(12)을 도시하고 있는 도 1 내지 도 2를 참고하여 설명될 수 있다. 도 1은 DC 마그네트론 PVD프로세싱 환경을 도시하고 있으며, 여기서 바이어스는 기판(10)에 공급되지 않는다(인가된 바이어스의 존재 또는 부재는 사실상 종래의 평면 타겟 DC스퍼터링과는 관련이 없다). 그 결과로써, 이온(12)의 방향성은, 주로 타겟으로부터 물질(보통은 원자)의 방출모습과 챔버에서 다른 입자 예를 들어 플라즈마에 제공되는 아르곤 이온과의 비탄성충돌에 의해 결정된다. 도 1에서 이온의 각도분포(22)에 의하면 비아(22)의 하부(18)에의 증착이 적은 것이 전형적이다. 유입되어 들어오는 이온(12)의 각도분포외에, 피쳐의 크기 역시, 스텝 커버리지(step coverage)결과를 결정한다. 피쳐 입구의 크기가 피쳐의 깊이보다 넓으면, 증착물질는 균일한 증착을 위해 피쳐의 전 표면에 도달할 수 있다. 하지만, 피쳐는 깊이에 비해 좁기 때문에, 피쳐 깊이에 대해 사실상 평행하지 않게 움직이는 입자들은 피쳐의 입구에 증착되므로, 비아(16)의 하부에는 보다 적게 증착된다.
도 2는 HDP-PVD프로세스 내의 프로세싱 환경을 도시하고 있으며 이온(12)의 각도분포는 하전된 타겟 물질와, 인가된 바이어스 또는 셀프-바이어스 사이에서의 상호작용으로 인한 전기장(E)에 의해 영향을 받는다. 전기장(E)는 기판(10)에 대해 수직방향으로 향하고, 양으로 하전된 이온(12)은 비아(16)의 하부(18)쪽으로 향하는 전기장(E)에 대해 평행하게 궤적하도록 영향을 받는다. 도 2에서 도시하고 있는 이온(12)의 각도분포(23)에 의하면 스퍼터링된 물질의 이온화가 없는 경우보다, 측면(20)에는 보통내지는 적은 증착을, 하부(18)에는 보통내지는 높은 증착이 이루어진다. 도 1의 각도분포(22)와 비교하여, 각도분포(23)는 전기장(E)에 대해 보다 평행한 방향성을 나타낸다.
이로 인해, 피쳐의 표면에 걸쳐 컨포말층을 증착하는 기술의 제공이 필요하며, 이는 특히 서브-하프 마이크론(sub-half micron)과 애스팩트 비가 높은 피쳐에서 필요하다.
본 발명은 일반적으로 PVD에 의한 플라즈마 챔버내에서 소자 피쳐 상에 컨포말층을 증착하기 위한 장치와 방법을 제공한다.
도 1은 비아(via)가 형성된 기판과, 스퍼터링 물질의 코사인 분포를 도시하는 기판의 단면도.
도 2는 비아가 형성된 기판과, 스퍼터링 물질의 오버코사인(over-cosine) 분포를 도시하는 기판의 단면도.
도 3은 코일이 배치된 본 발명의 프로세싱 챔버의 단면도.
도 4는 타겟에 인가된 신호의 그래픽으로 나타내는 도면.
도 5는 기판에 인가된 신호의 그래픽으로 나타내는 도면.
도 6은 스퍼터링을 나타내는 기판과 타겟의 단면도.
도 7은 도 6의 기판 및 타겟, 그리고 기판으로부터 물질의 재-스퍼터링(re-sputtering)을 도시하는 도면.
* 도면의 주요부분에 대한 부호의 설명 *
100 : IMP챔버 101 : 벽
102 : 리드 103 : 하부
104 : 타겟 105 : 상부 지지면
106 : 자석 107 : 프로세싱 영역
108 : 개구부 109 : 스템
112 : 기판 지지 부재 122 : 코일
128 : 쉴드 129 : 샤도우 링
130 : 제 1 전원 132 : 제 2 전원
134 : 제 3 전원 136 : 가스 입구
138, 140 : 가스원 146 : 진공 펌프
본 발명의 특징중의 하나는, 타겟을 가지는 챔버, 원하는 파형을 가지는 신호를 제공하기 위해 타겟에 연결된 전원, 기판 지지부재, 기판 지지부재에 연결된 전원, 그리고 자기장 제네레이터가 제공된다. 타겟은 프로세싱동안 타겟에 인접하여 형성된 플라즈마에 의해 스퍼터링될 물질를 포함한다. 타겟과 연결된 전원에 의해 생긴 신호는 음전압부(negative voltage portion) 및 제로-전압부(zero-voltage portion)를 포함하는 것이 바람직하다. 기판 지지부재에 연결된 전원이 실질적으로 일정한 음 바이어스(negative bias)를 기판에 제공하는 것이 바람직하다.
본 발명의 다른 특징은, 타겟으로부터 물질를 스퍼터링하도록 챔버로 플라즈마가 공급된다. 코일은 에너지를 받아 스퍼터링된 물질의 이온화를 향상시키기위해 챔버 근처에 전류를 흐르게 한다. 프로세싱동안, 변조된 신호가 타겟에 제공된다. 한 실시예로, 변조된 신호는 타겟 물질가 기판위로 스퍼터링되는 음전압부와, 증착된 물질이 기판으로부터 다시 스퍼터링되는 제로전압부 사이에서 변조된다. 바이어스는 기판 프로세싱동안 제공되어 챔버내 이온의 방향성에 영향을 미친다.
위에서 간략하게 요략된 본 발명의 장점과 목적은, 첨부된 도면에 나타난 실시예들에 의한 본 발명의 보다 구체적인 설명에 의해 이해될 수 있을 것이다.
그러나, 첨부된 도면은 단지 본 발명의 대표적인 실시예를 도시하고 있을뿐 본 발명의 범위를 제한하는 것은 아니며, 본 발명은 동일하게 다른 실시예에도 적용될 수 있다.
아래에서 설명될 실시예는 개조된 이온 금속 플라즈마(IMP)프로세스를 사용하는 것이 바람직하며, 이 프로세스는 캘리포니아 산타 클라라(Santa Clara)에 소재하는 어플라이드 머티어리얼즈(Applied Materials, Inc)에서 구입 가능한 엔듀라(Endura(R)) 플래폼같은 프로세스 장치를 이용하여 실행될 수 있다. 이런 프로세스 장치는 예비세정(preclean)챔버, IMP-PVD 베리어(barrier)층 챔버, PVD 챔버, IMP-PVD 시드층 챔버, 및 CVD챔버를 가지는 통합플래폼(integrated platform)을 포함하는 것이 바람직하다. 이온 금속 플라즈마(IMP)프로세싱 챔버중 하나는 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈로부터 구입할 수 있는 IMP 벡츄라/일렉츄라(VECTRA™/ELECTRA™) 챔버로서 알려져 있다.
본 발명에 따라, 도 3은 IMP챔버(100)의 개략적인 단면도이다. 챔버(100)는 벽(101), 리드(lid; 102), 및 하부(103)를 포함한다. 스퍼터링될 물질를 포함하는 타겟(104)은 프로세싱 영역(107)의 상부 경계를 짓기위해 리드(102)에 장착되어 챔버(100)내에 배치되어 있다. 자석(106)은 리드의 뒤쪽에 배치되어 있고, 회전식 마그네트론(magnetron)의 일부분으로서, 작동중에는 전자를 트랩(trap)하여 타겟(104)에 부근의 플라즈마 밀도를 증가시킨다.
기판 지지부재(112)는 기판(110)을 지지하고 프로세싱 영역(107)의 하부 경계를 짓는다. 기판 지지부재(112)는 챔버(100)내에서 이동할 수 있도록 배치되며 기판(110)을 지지하기 위해 상부 지지면(105)을 제공한다. 지지부재(112)는, 하강된 로딩/언로딩 위치와 상승된 프로세싱 위치간에서 기판(112)을 상승 및 하강시키는 모터 어셈블리(motor assembly)에 연결된 스템(stem; 109)에 장착되어 있다. 챔버(100)내에 있는 개구부(108)는 기판 지지부재가 하강된 로딩/언로딩 위치에 있을때 챔버내로 그리고 챔버로부터 기판(110)을 이송하거나 회수하는 로봇(도시 안됨)의 접근을 허용한다.
코일(122)은 챔버(100)내에서 기판 지지부재(112)와 타겟(105)간에 장착되어 있으며, AC 전류가 코일에 흐르면, 타겟(104)과 기판(110)사이에 플라즈마를 발생시키고 유지하는 것을 돕기 위해, 프로세싱 단계동안 챔버내에 전기장을 발생시킨다. 코일(122)에 의해 발생된 전기장은 플라즈마 밀도를 높이기 위해, 즉, 가스의 이온화와 스퍼터링되는 타겟 물질의 증가를 위해, 플라즈마내로 전류를 유도한다. 이온화된 물질는 양으로 하전된 이온과 음으로 바이어스된 지지부재(112)(전력장치 또는 자체 성향에 의해 바이어스 됨) 사이에서 전기적 인력에 의해 기판(110)쪽으로 끌려간다. 이런 "인력"에 의해 기판에 도달한 스퍼터링된 물질 이온은 피쳐(feature)의 깊이 방향으로 접근(depth access)에 대해 보다 더 평행하게 정렬된다. 게다가, 코일(122)은 그 자체가 음셀프-바이어스(negative self-bias)되어, 자신의 스퍼터링이 유발된다.
챔버(100)는 프로세스 쉴드(shield; 128) 및 샤도우 링(shadow ring; 129)을 포함하는 프로세스 키트(kit)를 선택적으로 포함한다. 프로세스 쉴드(128)는 고리모양의 부재로서 코일(122)과 본체(101)사이에 배치되어 리드(102)에 매달려 있다. 프로세스 쉴드(128)의 위로 구부러져 있는 벽(131)은, 지지부재(112)가 하강된 위치에 있을시 샤도우 링(129)을 지지한다. 챔버내 RF 전류의 복귀 경로를 제공하기 위해 프로세스 쉴드는 접지되는 것이 바람직하다.
하나 또는 그 이상의 플라즈마 가스는 질량 유동 조절기(142,144)에 의해 측정되며, 가스원(138,140)으로부터 가스 입구(136)를 통해 챔버(100) 내로 공급된다. 하나 또는 그 이상의 진공펌프(146)는 이상적인 챔버(100)내 압력을 유지하기 위해 배기구(148)를 통하여 챔버(100)에 연결되어 있다. 진공펌프(146)는 크라이오펌프(cryopump)와 러핑펌프(roughing pump)를 포함하고 10-8mTorr의 압력에 견딜 수 있는 것이 바람직하다.
챔버(100)의 구성 요소들을 바이어스하기 위해 세 개의 전원이 사용되는 것이 바람직하다. 제 1 전원(130)은 변조 또는 발진 파워 신호를 타겟(104)으로 보낸다. 제 1 전원(130)은 직류(DC) 또는 RF동력원으로서, 타겟(104)에 원하는 파형을 가지는 신호를 제공할수 있다. 하지만, 타겟(104)에 신호를 보내기 위해 사용되는 이런 특정한 배열이 본 발명을 제한하지 않으며 이 분야에 알려진 종래의 부품, 가령, 스위치, 펄스 발생기, 마이크로 프로세서 및 그와 유사한 부품을 포함한다. 바람직하게는, RF 전원이 제 2 전원(132)으로 전력(electrical power)을 메가헤르츠(megahertz)범위 내에서 코일(122)로 보내어 플라즈마의 밀도를 증가시킨다. 제 3 전원(134)은 플라즈마에 대해 기판 지지부재를 바이어스하기 위해 RF 파워 신호를 보내며, 이온화되어 방출된 물질를 기판(110)쪽으로 끌어 당기기 위해 기판 근처에 전기장을 발생시킨다.
작동시, 로봇은 개구부(108)를 통해 기판(110)을 챔버(100)로 이송시킨다. 기판(110)이 지지부재(112)의 상부면에 위치되면 로봇은 챔버(100)로 후퇴하고 개구부(108)는 밀폐된다. 그 다음 기판 지지부재(112)는 프로세싱 위치내로 기판을 상승시킨다. 지지부재(112)가 상승이동하는 동안 샤도우 링(129)도 프로세스 쉴드(128)로부터 상승된다. 프로세싱하는 동안, 샤도우 링(129)은 기판(110)의 (보통 3mm보다 적은)둘레부를 덮는다. 상승된 프로세싱 위치에서 타겟(104)과 기판 지지부재(112)사이의 간격은 90mm 내지 199mm인 것이 바람직하다.
하나 또는 그 이상의 플라즈마 가스가 가스원(138,140)으로부터 챔버(100)내로 유입되어 프로세싱 압력하에 챔버(100)를 안정시킨다. 타겟은 음 DC 바이어스를 수용하며, 이는 자석(106)과 함께 작용하여, 타겟의 부근에서 플라즈마의 형성을 용이하게 한다. 전원(130)은 스퍼터링을 야기하는 타겟(104)쪽으로 플라즈마의 하전된 입자들을 끌어당기는 주기적인 바이어스를 제공한다.
제 3 신호 발생기(132)에 의해 코일(122)이 에너지를 받아 플라즈마의 밀도를 증가시켜, 스퍼터링된 타겟 물질의 이온화를 용이하게 한다. 스퍼터링된 타겟 물질로부터 형성된 이온중 일부분은 타겟(104)과 지지부재(112)사이의 공간에서 지속적으로 떠돌아 다니다가, 제 3 전원(134)에 의해 바이어스된 기판(110)상에 증착된다. 타겟(104)과 지지부재(112)에 대한 바이어스는 이하 설명되는 프로세스에 따라 조절된다.
증착 사이클에서, 기판 지지부재(112)는 로딩/언로딩 위치로 하강된다. 그 다음 로봇은 개구부(108)를 통해 챔버(100)내로 들어오고 기판(110)은 챔버(100)로부터 제거되기 위해 로봇상에 위치하게 되어 다음 장소로 이송된다. 다음 장소는 일렉트로플레이팅(electroplating) 챔버와 같은 여러가지의 프로세싱 챔버를 포함하며, 기판(110)은 부가되는 프로세싱을 거치게 된다.
본 발명은, 기판에 형성된 피쳐의 컨포말층을 구현하기 위해 스퍼터링과 역스퍼터링이 교대되는 방법을 이용한다. 기판(110)의 소자 피쳐상의 만족할만한 커버리지는 피쳐의 측벽 커버리지와 하부 커버리지의 비율을 적절하게 확보함으로써 달성된다. 본 발명의 하나의 특징에 따라, 커버리지 비는 스퍼터링과 역 스퍼터링 및 다른 프로세스 파라미터에 의해 조절된다. 이후의 설명을 통해, 도 3은 필요할때마다 언급될 것 이다.
증착과정 동안, 전원(130)은 원하는 파형을 가지는 신호(200)을 타겟(104)에 보낸다. 도 4에 도시되어 있는 신호(200)은 구형파 즉 스텝함수이고 음전압부(202)와 제로전압부(204)를 포함한다. 여기선 구형파가 도시되어 있지만, 음전압부와, 보다 낮은 음전압부 또는 제로전압부사이에서 발진하는 어떠한 파형도 유리하게 이용될 수 있다. 음전압부(202)에 있는 동안, 아르곤과 같은 플라즈마 가스에 의해 공급되는 양으로 하전된 이온이 타겟(104)를 가격하여 타겟으로부터 물질의 스퍼터링을 야기한다. 아르곤 이온이 타겟(104)에 충돌하는 에너지는 타겟(104)에의 바이어스를 조정함으로써 제어될 수 있으며, 즉 큰 바이어스가 큰 이온에너지를 만든다. 음전압부(202)는 50V 및 600V 사이에 있는 것이 바람직하다. 신호(200)의 음전압부에서 생성된 금속 플럭스는 코일 바이어스 및 타겟 바이어스에 의해 유지된 플라즈마로 인하여 이온화되고, 이후 기판(110)상에 층을 형성한다.
이어지는 신호(200)의 제로전압부(204)에서는, 양으로 하전된 아르곤 이온의 방향은, 제 3전원(134)에 의해 공급되는 기판상의 음의 바이어스에 의해 주로 결정된다. 기판(110)에의 바이어스가 증착사이클 내내 불변하여 쉬스 또는 다크 스페이스(dark space)라 알려져 있는 플라즈마와 기판사이의 영역에 걸쳐 일정한 전압 드롭이 일어난다. 쉬스에서의 전압 드롭의 결과로 인해, 전기장은 기판에 대해 실질적으로 수직방향으로 발생되며, 이에 따라 이온이 기판쪽으로 가속된다. 상술한 스퍼터링 단계에서, 이온은 충분한 에너지를 가지고 기판에 충돌하여, 타겟(104)으로부터 미리 기판에 증착되어 있던 물질을 역 스퍼터링(reverse sputtering), 또는 재 스퍼터링(re-sputtering)시킨다. 신호(200)의 제로전압부에선, 타겟(104)으로부터의 스퍼터링은 실질적으로 끝나며 기판(110)상에 이미 증착된 물질가 기판으로부터 다시 스퍼터링된다. 역 스퍼터링 단계의 결과러, 기판상에 증착된 물질이 재배치되고 평탄화되어, 더 균일하고 우수한 커버리지가 달성된다. 충돌하는 이온은, 기판(110)에 인가된 일정한 바이어스 때문에 제로전압부(204)에서 뿐만아니라 음전압부(202)에서도 기판(110)을 스퍼터링화할 것이다. 하지만, 스퍼터링된 물질의 플럭스는 제로전압 부(204)에서 실질적으로 더 적은데, 이는 코일(122)만이 스퍼터링되어지기 때문이다. 그 결과, 음전압부(202)에서는 기판상에 순(net)증착이 제공되는 반면 제로전압부(204)는 적은 부분만이 기판에 증착되는 물질의 재-스퍼터링이 제공된다. 증착에 미치는 발진 신호(200)의 영향이 도 4 및 도 5를 참조로 함께 설명될 것이다.
음전압부(202)와 제로전압부(204)가 연속적으로 교대되어 일련의 스퍼터링 단계(또는 고 증착율 단계)와 역 스퍼터링 단계(저 증착율 단계)가 이루어지는 것이 바람직하다. 신호(200)의 주파수와 듀티 사이클(duty cycle)이, 스퍼터링 단계 또는 리벌스 스퍼터링 단계를 증가시키도록 조정되어 원하는 결과를 구현할 수 있다. 신호(200)의 주파수는 약 0.01Hz 내지 1Hz사이에 있는 것이 바람직하다. 여기서 정의한 것처럼 듀티 사이클은 신호 주기(T1)에 대한 음 전압 부(202)의 폭의 비(t1)로서, 도 4에서 도시되어 있다. 듀티 사이클은 약 10% 내지 80% 사이이며, 음전압부의 폭(t1)은 약 0.55초 내지 60초이다.
비록 기판에 인가된 전압이 타겟(104)에 제공된 신호(200)과 유사한 방법으로 변조될지라도, 전 증착 사이클에 대해 전압이 실질적으로 일정한 값에서 유지되는 것이 바람직하다. 도 5는 기판(110)에 제 3전원(134)에 의해 제공된 RF 신호(201)를 도시하고 있다. 플라즈마의 존재하에서, 신호(201)는 음전압부인 아래쪽으로 이동하게 되어 유도된 DC 바이어스(Vdc)를 기판(110)상에 만든다. 신호(206)로서 도 5에 도시된 Vdc는 실질적으로 일정한 값으로 유지된다. 한 실시예에서, 제 3 전원(134)으로부터 나오는 전력은 0V 내지 300V 사이의 전압으로 기판(110)상에 인가된 바이어스를 생산하기에 충분하다. 원하는 결과를 얻기 위해 전력과 전압의 특정값으로 조절될 수 있다.
상술한 것처럼, 본 발명은 기판상에 증착되는 물질의 증착을 조절하는 방법을 제공하며 도 6 내지 도 7에 언급되어 도시되고 있다. 도 6은 신호(200)를 음전압부(202)에 인가할 때의 기판(110)과 타겟(104)의 개략적인 측면도이다. 기판(110)에는 비아와 같은 피쳐가 형성되어 있다. 플라즈마(220)는 기판(110)과 타겟(104)사이에 유지된다. 플라즈마는 아르곤을 이용하여 발생시키는데 그 이유는, 아르곤은 스티킹 계수(sticking coefficient)가 낮아, 타겟(104)을 오염시킬 가능성 또는 상당한 아르곤이 포함되어 기판(110)상에 형성되는 막의 가능성을 감소시키기 때문이다. 하지만, 헬륨, 질소, 크세논, 크립톤 및 네온과 같은 다른 비활성가스들이 보다 효과적으로 사용될 수 있다. 플라즈마(220)의 형성에 이어, 아르곤 이온이, 전원(130)에 의해 제공된 음 바이어스의 영향하에서 타겟쪽으로 끌려간다. 그 다음 아르곤 이온은 타겟(104)으로부터 물질를 제거 즉 스퍼터링시키기에 충분한 에너지를 가지고 타겟(104)에 충돌한다. 타겟(104)는 다른 물질 가운데에서 구리, 알루미늄, 티타늄, 및 탄탈륨중 하나 또는 그 이상을 포함할 수 있다. 타겟(104)으로부터 스퍼터링된 금속 플럭스는 프로세싱 영역(107)을 통과하며, 그 영역중에 일부는 플라즈마(220)에 의해 이온화된다. 이온화된 타겟 물질의 방향성은 쉬스(226)에 걸친 전압 강하에 의해 영향을 받는다. 전압 강하는 제 3 전원(134)를 이용하여 기판에의 바이어스 인가를 통해 변화될 수 있다. 신호(200)의 음전압부(202)에서의 증착단계의 결과로 기판상에 층(228)이 형성된다. 기판(110)상에 인가된 바이어스로 인하여, 이온화된 타겟 물질의 각도분포는, 피쳐(218)의 하부(232)에서 더욱 균일한 증착을 가능하게 한다.
기판(10)상의 음 바이어스는 또한 아르곤 이온을 끌어당겨, 증착된 물질의 재-스퍼터링을 야기한다. 하지만, 증착율이 재-스퍼터링율보다 높아서, 순 증착이 이루어진다.
일단 타겟(104)에 인가된 바이어스가 신호(200)의 제로전압부에서 끝다면, 타겟으로부터의 스퍼터링은 중단된다. 타겟 바이어스가 없다면, 기판(110)에는 단지 코일(122)의 스퍼터링에 의한 증착이 이루어질 것이다. 그러나, 제 3 전원(134)에 의해 제공된 음 바이어스의 인가의 결과에서처럼, 기판(110)은 아르곤 이온의 충돌로 인하여 재-스퍼터링을 지속한다. 도 7은 아르곤 이온에 의해 층(228)이 재-스퍼터링되는 것을 개략적으로 나타낸다. 특히, 기판에의 바이어스는 아르곤 이온이 (기판의 장(field) 뿐만아니라) 피쳐(218)의 하부(232)에 충돌되어 증착층(228)이 측벽에(231)에 재-스퍼터링되게 한다. 따라서, 물질는 측벽(231)과 하부(232)로부터 측벽(231)으로 재분배되어 측벽 커버리지를 달성한다. 게다가, 돌출부(overhang)가 재-스퍼터링 단계 동안 에칭(etching)되어 피쳐가 개방된다. 그리하여, 보이드(void)가 형성될 가능성이 최소화된다. 게다가, 기판(110)의 필드(230)상에 형성된 층(228)은 재-스퍼터링되고 피쳐(218)내로 다시 분배되어, 측벽(231)과 하부(232)가 더 잘 증착되게 한다.
이러한 결과로서, 압력, 기판 바이어스 파워 및 코일 파워와 같은 프로세스 파라미터와 함께, 본 발명은 종래의 방법보다 스텝 커버리지와 균일한 두께막을 더 잘 변경할 수 있다. 본 발명은, 일렉트로플레이팅과 같은 이후의 처리에서 좋은 결과를 얻을 수 있도록 하기 위해 막의 질이 특히 중시되는 배리어층 및 시드층의 증착에 특히 적용된다. 표 I은 견본 물질와 여러가지 프로세스 파라미터에 대한 범위를 표시하고 있다. 그러나, 표 I는 예시적인 것일 뿐이며 본 발명은 다른 방법에도 적용이 기대된다.
표 I
물질: 티타늄, 구리, 탄탈륨, 텅스텐, 알루미늄
지지부재에의 바이어스 파워: 0 와트(W) - 1000 와트
지지부재에 유도된 바이어스 전압: 0 볼트(V) - 300 볼트
코일 파워: 100 와트 - 6000 와트
코일 주파수: 400 킬로헤르츠(KHz) - 60 메가헤르츠(MHz)
타겟 파워: 0 볼트 - 600 볼트
압력: 0.1 밀리토르(m Torr) - 100 밀리토르
이와 같이, 본 발명은 일반적으로 PVD에 의한 플라즈마 챔버내에서 소자 피쳐 상에 컨포말층을 증착하기 위한 장치와 방법을 제공하는 효과가 있다.
전술한 내용이 본 발명의 바람직한 실례이지만, 다른 그리고 또 다른 본 발명의 실시예들이 발명의 기본적인 사상을 벗어남 없이 안출될 수 있으며, 범위는 후술되는 청구범위에 의해 결정된다.

Claims (21)

  1. (a) 프로세싱 챔버;
    (b) 제 1 전원이 결합되어 있으며, 프로세싱 챔버내에 배치된 기판 지지부재;
    (c) 프로세싱 챔버내에 배치된 타겟;
    (d) 타겟에 인가되는 전압을 변화시키기위해 타겟에 연결된 제 2 전원; 그리고
    (e) 전자기장 발생원(electromagnetic field source)을 포함하는 장치.
  2. 제 1항에 있어서, 상기 제 1 전원이 고주파(radio frequency) 전원(power source)인 장치.
  3. 제 1항에 있어서, 제 2 전원이 펄스 직류 전원(pulsed DC power supply), 펄스 RF전원, 스위치에 결합되어있는 DC전원, 및 이들의 임의의 조합을 포함하는 그룹(group)으로부터 선택되는 장치.
  4. 제 1항에 있어서, 제 2 전원이 음전압부(negative voltage portion)와 제로-전압부(zero-voltage portion)를 가지는 신호(signal)를 제공하는 펄스 전원인 장치.
  5. 제 1항에 있어서, 상기 타겟이 티타늄, 구리, 탄탈륨, 알루미늄 및 이들의 임의의 조합을 포함하는 그룹으로부터 선택된 물질를 포함하는 장치.
  6. 제 1항에 있어서, 프로세싱 중 플라즈마를 생성하는 가스를 공급하기 위해 프로세싱 챔버에 연결된 가스원(gas source)을 추가로 포함하는 장치.
  7. 제 1항에 있어서, 상기 전기장 발생원이 전원이 연결된 코일인 장치.
  8. 제 7항에 있어서, 코일이 프로세싱 챔버내에 배치된 장치.
  9. 프로세싱 챔버내에서 피쳐(feature)가 형성된 기판상에 물질를 증착하는 방법에 있어서,
    (a) 프로세스 챔버내에 플라즈마를 제공하는 단계;
    (b) 음 전압으로 기판을 바이어싱(biasing)하는 단계;
    (c) 타겟에 바이어스를 인가하는 스퍼터링(sputtering)과, 타겟에 바이어스를 끝내는 역 스퍼터링(reverse-sputtering) 단계를 교대로 하는 단계를 포함하는 방법.
  10. 제 9항에 있어서, 상기 스퍼터링 단계는 기판상에 물질를 순-증착(net-deposition)시키며, 상기 역 스퍼터링 단계는 기판으로부터 물질를 순-제거(net-removal)하는 방법.
  11. 제 9항에 있어서, 상기(a) 단계가,
    (1) 가스를 공급하는 단계; 및
    (2) 코일에 고주파(RF)신호를 공급하는 단계를 포함하는 방법.
  12. 제 9항에 있어서, 상기 단계(b)가 기판에 고주파(RF) 신호를 제공하는 단계를 포함하는 방법.
  13. 제 9항에 있어서, 타겟에 바이어스를 인가하는 단계는, 적어도 RF 신호 및 DC 신호중 적어도 하나를 타겟에 제공하는 단계를 포함하는 방법.
  14. 프로세싱 챔버내 기판상에 물질를 증착하는 방법에 있어서,
    (a) 프로세싱 챔버내에 플라즈마를 제공하는 단계;
    (b) 음으로 기판을 바이어싱하는 단계;
    (c) 코일에 에너지를 주는 단계; 및
    (d) 음 전압 부와 제로 전압부가 가지는 신호를 타겟에 바이어싱하는 단계를 포함하는 방법.
  15. 제 14항에 있어서, 상기 단계(c)가 코일에 고주파(RF)신호를 공급하는 단계를 포함하는 방법.
  16. 제 14항에 있어서, 상기 단계(c)가 약 100 W 내지 6 KW 사이의 전력하에서 그리고 약 400 KHz 내지 60 MHz 사이의 주파수대에서 코일에 고주파(RF)신호를 공급하는 단계를 포함하는 방법.
  17. 제 14항에 있어서, 상기 단계(d)가 타겟에 DC(직류)를 공급하는 단계를 포함하는 방법.
  18. 제 14항에 있어서, 상기 음 전압부가 약 50 V 내지 600 V 사이에 있는 방법.
  19. 제 14항에 있어서, 타겟을 향하는 신호가 약 0.01 Hz 내지 1 Hz 사이의 주파수를 가지며 약 0.5 초 내지 60 초 사이의 펄스폭을 가지는 방법.
  20. 제 14항에 있어서, 타겟을 향하는 신호가 약 10% 내지 80%사이의 듀티사이클(duty cycle)을 가지는 방법.
  21. 제 14항에 있어서, 2:1보다 큰 에스팩트비(aspect ratio)을 가지는 기판에 형성된 소자 피쳐(device feature)를 제공하는 단계를 추가적으로 포함하는 방법.
KR1020000070500A 1999-11-24 2000-11-24 측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법 KR20010051943A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/449,202 US6350353B2 (en) 1999-11-24 1999-11-24 Alternate steps of IMP and sputtering process to improve sidewall coverage
US9/449,202 1999-11-24

Publications (1)

Publication Number Publication Date
KR20010051943A true KR20010051943A (ko) 2001-06-25

Family

ID=23783296

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000070500A KR20010051943A (ko) 1999-11-24 2000-11-24 측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법

Country Status (6)

Country Link
US (2) US6350353B2 (ko)
EP (1) EP1103631A2 (ko)
JP (1) JP2001303247A (ko)
KR (1) KR20010051943A (ko)
SG (1) SG86448A1 (ko)
TW (1) TW492074B (ko)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6911124B2 (en) * 1998-09-24 2005-06-28 Applied Materials, Inc. Method of depositing a TaN seed layer
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7469558B2 (en) * 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
DE10135927A1 (de) * 2001-07-24 2003-02-20 Infineon Technologies Ag Verfahren zur Herstellung einer Wortleitung in Speichertechnologien mit Shallow Trench Isolation
US7404877B2 (en) * 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
KR101179727B1 (ko) * 2001-11-14 2012-09-04 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US6884327B2 (en) 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US6709553B2 (en) 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US8236443B2 (en) * 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) * 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8021778B2 (en) * 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US9793523B2 (en) 2002-08-09 2017-10-17 Sapurast Research Llc Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8394522B2 (en) * 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8431264B2 (en) * 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
AU2003261463A1 (en) * 2002-08-27 2004-03-19 Symmorphix, Inc. Optically coupling into highly uniform waveguides
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
KR20040043046A (ko) * 2002-11-15 2004-05-22 삼성전자주식회사 마그네트론 스퍼터링 장치 및 스퍼터링 방법
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US6926390B2 (en) * 2003-02-05 2005-08-09 Hewlett-Packard Development Company, L.P. Method of forming mixed-phase compressive tantalum thin films using nitrogen residual gas, thin films and fluid ejection devices including same
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20040228168A1 (en) * 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) * 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US20060066248A1 (en) * 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
JP2006148075A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
DE602005017512D1 (de) * 2004-12-08 2009-12-17 Symmorphix Inc Abscheidung von licoo2
US7959769B2 (en) * 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
JP4967354B2 (ja) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US7435674B2 (en) * 2006-03-27 2008-10-14 International Business Machines Corporation Dielectric interconnect structures and methods for forming the same
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
JP2010505044A (ja) * 2006-09-29 2010-02-18 インフィニット パワー ソリューションズ, インコーポレイテッド フレキシブル基板のマスキングおよびフレキシブル基板上にバッテリ層を堆積させるための材料拘束
US8197781B2 (en) * 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
JP5521136B2 (ja) * 2007-10-26 2014-06-11 エリコン・アドヴァンスド・テクノロジーズ・アーゲー 3次元半導体パッケージングにおけるSi貫通ビアのメタライゼーションへのHIPIMSの適用
US8133359B2 (en) * 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
KR20150128817A (ko) * 2007-12-21 2015-11-18 사푸라스트 리써치 엘엘씨 전해질 막을 위한 표적을 스퍼터링하는 방법
WO2009089417A1 (en) 2008-01-11 2009-07-16 Infinite Power Solutions, Inc. Thin film encapsulation for thin film batteries and other devices
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP5595377B2 (ja) * 2008-04-02 2014-09-24 インフィニット パワー ソリューションズ, インコーポレイテッド エネルギー取入れに関連したエネルギー貯蔵デバイスに対する受動的過不足電圧の制御および保護
TWI398537B (zh) * 2008-04-03 2013-06-11 Oc Oerlikon Balzers Ag 濺鍍設備及用以製造金屬化結構的方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
JP2012500610A (ja) 2008-08-11 2012-01-05 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギー獲得ための統合コレクタ表面を有するエネルギーデバイスおよびその方法
JP5650646B2 (ja) * 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギーを介したデータ通信のための一体型伝導性表面を有するエネルギーデバイスおよび電磁エネルギーを介したデータ通信のための方法
US8508193B2 (en) * 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
GB0901157D0 (en) * 2009-01-26 2009-03-11 Aviza Technology Ltd A method of plasma vapour deposition
DE102009019422B4 (de) 2009-04-29 2014-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Erzeugen eines Plasmas mittels eines Magnetrons
JP2012527737A (ja) * 2009-05-20 2012-11-08 インフィニット パワー ソリューションズ, インコーポレイテッド 電気化学デバイスを固定具の中および固定具上に一体化する方法
JP5492998B2 (ja) * 2009-09-01 2014-05-14 インフィニット パワー ソリューションズ, インコーポレイテッド 薄膜バッテリを組み込んだプリント回路基板
DE102010007515A1 (de) 2010-02-11 2011-08-11 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Verfahren zum Betreiben einer großflächigen Kathode für Plasmaprozesse mit hohem Ionisierungsgrad
DE102010007516A1 (de) 2010-02-11 2011-08-11 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Großflächige Kathode für Plasmaprozesse mit hohem Ionisierungsgrad
JP2013528912A (ja) 2010-06-07 2013-07-11 インフィニット パワー ソリューションズ, インコーポレイテッド 再充電可能高密度電気化学素子
CN102373427A (zh) * 2010-08-18 2012-03-14 鸿富锦精密工业(深圳)有限公司 铝合金表面防腐处理方法及其制品
KR20120044050A (ko) * 2010-10-27 2012-05-07 주식회사 에이스테크놀로지 Rf 장비 도금 방법 및 이에 사용되는 스퍼터링 장치
JP5719212B2 (ja) * 2011-03-30 2015-05-13 東京エレクトロン株式会社 成膜方法およびリスパッタ方法、ならびに成膜装置
JP2014002293A (ja) * 2012-06-20 2014-01-09 Canon Inc 金属酸化膜形成方法、金属酸化膜形成装置および反射防止膜付き光学素子の製造方法
WO2014209497A1 (en) * 2013-06-26 2014-12-31 Itn Energy Systems, Inc. Waveform for improved energy control of sputtered species
US20150034476A1 (en) * 2013-07-08 2015-02-05 Veeco Instruments, Inc. Deposition of thick magnetizable films for magnetic devices
KR102166237B1 (ko) * 2013-12-19 2020-10-15 인텔 코포레이션 반도체 디바이스상에 랩-어라운드 콘택트를 형성하는 방법
GB201420935D0 (en) 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
US10563304B2 (en) 2017-04-07 2020-02-18 Applied Materials, Inc. Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11638805B2 (en) 2019-02-27 2023-05-02 Avent, Inc. Multi-headed catheter for fluid delivery

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3410774A (en) 1965-10-23 1968-11-12 Ibm Method and apparatus for reverse sputtering selected electrically exposed areas of a cathodically biased workpiece
JPS62287071A (ja) * 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
US5175608A (en) * 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US4874493A (en) 1988-03-28 1989-10-17 Microelectronics And Computer Technology Corporation Method of deposition of metal into cavities on a substrate
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5639357A (en) 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
KR960026261A (ko) 1994-12-14 1996-07-22 제임스 조셉 드롱 재 도입형 콘택 홀을 피복시키거나 또는 충진시키기 위한 방법 및 장치
US5585974A (en) 1995-02-17 1996-12-17 Conner Peripherals, Inc. Disk drive with PRML read channel calibration using a noise generator
US5807467A (en) 1996-01-22 1998-09-15 Micron Technology, Inc. In situ preclean in a PVD chamber with a biased substrate configuration
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
US5725739A (en) * 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
JP3846970B2 (ja) * 1997-04-14 2006-11-15 キヤノンアネルバ株式会社 イオン化スパッタリング装置
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6086730A (en) * 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage

Also Published As

Publication number Publication date
SG86448A1 (en) 2002-02-19
US20020084181A1 (en) 2002-07-04
JP2001303247A (ja) 2001-10-31
US6350353B2 (en) 2002-02-26
EP1103631A2 (en) 2001-05-30
US20010003607A1 (en) 2001-06-14
TW492074B (en) 2002-06-21

Similar Documents

Publication Publication Date Title
KR20010051943A (ko) 측벽 커버리지를 개선하기 위한imp 스퍼터링프로세스의 교대 방법
US20010050220A1 (en) Method and apparatus for physical vapor deposition using modulated power
US6344419B1 (en) Pulsed-mode RF bias for sidewall coverage improvement
KR100719770B1 (ko) 플라즈마 프로세싱 장치 및 기판위에 재료를 증착하는 방법
US6143140A (en) Method and apparatus to improve the side wall and bottom coverage in IMP process by using magnetic field
US6709553B2 (en) Multiple-step sputter deposition
KR100878103B1 (ko) 순차적 증착 및 에칭에 의한 이온화된 pvd
US5830330A (en) Method and apparatus for low pressure sputtering
KR100322330B1 (ko) 재료의 이온 스퍼터링 방법 및 장치
US6554979B2 (en) Method and apparatus for bias deposition in a modulating electric field
US6200433B1 (en) IMP technology with heavy gas sputtering
WO1999014792A1 (en) Adjustment of deposition uniformity in an inductively coupled plasma source
JP2004526868A5 (ko)
JP4344019B2 (ja) イオン化スパッタ方法
US6461483B1 (en) Method and apparatus for performing high pressure physical vapor deposition
US6458251B1 (en) Pressure modulation method to obtain improved step coverage of seed layer
EP0818556A1 (en) A method for providing full-face high density plasma deposition
CN116065121A (zh) Pvd方法及设备

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee