KR101179727B1 - 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마 - Google Patents

스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마 Download PDF

Info

Publication number
KR101179727B1
KR101179727B1 KR1020107009487A KR20107009487A KR101179727B1 KR 101179727 B1 KR101179727 B1 KR 101179727B1 KR 1020107009487 A KR1020107009487 A KR 1020107009487A KR 20107009487 A KR20107009487 A KR 20107009487A KR 101179727 B1 KR101179727 B1 KR 101179727B1
Authority
KR
South Korea
Prior art keywords
chamber
target
sputtering
copper
sip
Prior art date
Application number
KR1020107009487A
Other languages
English (en)
Other versions
KR20100049710A (ko
Inventor
페이준 딩
롱 타오
쳉 수
다니엘 씨. 루벤
수라즈 렌가라잔
마이클 에이. 밀러
아르빈드 선다라잔
시안민 탕
존 씨. 포스터
지안밍 푸
로더릭 씨. 모셀리
푸젠 첸
프라부람 고팔라자
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/993,543 external-priority patent/US6610184B2/en
Priority claimed from US10/202,778 external-priority patent/US20030116427A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority claimed from PCT/US2002/036940 external-priority patent/WO2003042424A1/en
Publication of KR20100049710A publication Critical patent/KR20100049710A/ko
Application granted granted Critical
Publication of KR101179727B1 publication Critical patent/KR101179727B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

SIP 스퍼터링 및 ICP 스퍼터링이 촉진되는 마그네트론 스퍼터 반응기(410) 및 마그네트론 스퍼터 반응기 사용 방법이 개시된다. 다른 챔버(412)에서, 타겟으로부터 기판 쪽으로 일 측면 상에서 마그네트론 스퍼터 반응기의 측벽들(414)을 따라 배치된 보조 자석들의 어레이가 개시된다. 바람직하게 마그네트론(436)은 제 2 극성을 가진 더 약한 내부 자극(440)을 둘러싸는 제 1 극성을 가진 더 강한 외부 자극(442)을 가진 것이고, 여기서, 상기 내부 자극과 외부 자극은 모두 요크(444) 상에 있으며, 상기 마그네트론(436)은 회전 수단(446, 448, 450)을 사용하여 챔버의 축(438)을 중심으로 회전한다. 바람직하게 보조 자석들(462)은 기판(424) 쪽으로 불균형 자계(460)를 끌어당기기 위하여 제 1 극성을 갖고, 기판(424)은 전력(454)이 공급되는 페데스털(422) 상에 있다. 아르곤(426)은 밸브(428)를 통해 공급된다. 타겟(416)에는 전력(434)이 공급된다.

Description

스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마 {SELF-IONIZED AND INDUCTIVELY-COUPLED PLASMA FOR SPUTTERING AND RESPUTTERING}
본 출원은 2000년 10월 10일 출원된 계류중인 출원 09/685,978의 일부 계속 출원, 1999년 10월 8일 출원된 09/414,614의 분할 출원(미국특허번호 6,398,929로서 특허허여됨); 및 2002년 7월 25일 출원된 계류중인 출원 10/202,778의 일부 계속 출원(2001년 8월 30일 출원된 예비 출원 60/316,137, 및 2001년 12월 21일 출원된 60/342,608을 우선권 주장함); 및 2001년 11월 14일 출원된 계류중인 출원 09/993,543의 일부 계속 출원이고, 여기에 참조로써 통합된다.
본 발명은 일반적으로 스퍼터링 및 재스퍼터링(resputtering)에 관한 것이다. 특히, 본 발명은 재료의 스퍼터 증착 및 반도체 집적 회로들의 형성시 증착된 재료의 재스퍼터링에 관한 것이다.
반도체 집적 회로들은 통상적으로 다수의 액티브 반도체 소자들 사이에 전기 접속을 제공하기 위하여 다중 레벨의 금속배선(metallizagtion)을 포함한다. 특히 마이크로프로세서들에 대한 개선된 집적 회로들은 5 이상의 금속배선 레벨들을 포함할 수 있다. 과거에는, 알루미늄이 선호되는 금속배선이었지만, 개선된 집적 회로들을 위한 금속배선으로서 구리가 개발되었다.
통상적인 금속배선 레벨은 도 1의 단면도에 도시된다. 하부 레벨층(110)은 도전 피쳐(112)를 포함한다. 만약 하부 레벨층(110)이 실리카 또는 다른 절연 재료 같은 하부 레벨 유전층이면, 도전 피쳐(112)는 하부 레벨 구리 금속배선일 수 있고, 상부 레벨 금속배선의 수직 부분은 상기 수직 부분이 금속배선의 두 개의 레벨들을 상호접속하기 때문에 비아라 한다. 만약 하부 레벨층(110)이 실리콘층이면, 도전 피쳐(112)는 도핑된 실리콘 영역일 수 있고, 하나의 홀에 형성된 상부 레벨 금속배선의 수직 부분은 그것이 전기적으로 실리콘과 접촉하기 때문에 접촉부라한다. 상부 레벨 유전층(114)은 하부 레벨 유전층(110) 및 하부 레벨 금속배선(112) 상에 증착된다. 라인들 및 트렌치들을 포함하는 홀들에 대한 여러 모양들이 있다. 또한, 하기될 바와 같이 이중 다마신 및 유사한 상호접속 구조들에서, 홀들은 복잡한 모양을 가진다. 몇몇 애플리케이션에서, 홀은 유전층을 통하여 연장하지 않을 수 있다. 다음 논의는 단지 비아 홀들에 대해서만 이루어지지만, 대부분의 환경들에서 상기 논의는 종래에 잘 공지된 몇몇 변형들을 가진 여러 타입의 홀들에 똑같이 적용 가능하다.
통상적으로, 유전체는 선구체로서 테트라에틸오소실리케이트(TEOS)를 사용하여 플라즈마 강화 화학 기상 증착(PECVD)에 의해 형성된 실리콘 산화물이다. 그러나, 다른 조성의 로우-k(low-k) 재료 및 증착 기술들이 고려될 수 있다. 개발된 몇몇의 로우-k 유전체는 질화된 실리케이트 유리 같은 실리케이트들로서 특성화된다. 이후, 실리케이트(산화물) 유전체가 직접적으로 기술되지만, 다른 유전체 조성물들이 사용될 수 있다는 것이 고려된다.
비아 홀은 실리케이트 유전체의 경우 질소 기재 플라즈마 에칭 처리를 사용하여 통상적으로 상부 레벨 유전층(114)으로 에칭된다. 개선된 집적 회로들에서, 비아 홀들은 0.18㎛ 또는 그 이하만큼 작은 폭들을 가질 수 있다. 유전층(114)의 두께는 일반적으로 적어도 0.7㎛이고, 때때로 이에 대해 두 배이므로, 홀의 종횡비는 4:1 또는 그 이상일 수 있다. 6:1 및 그 이상의 종횡비들이 제안된다. 게다가, 대부분의 환경들에서, 비아 홀은 수직 프로파일을 가져야 한다.
라이너층(116)은 홀의 하부 및 측면들과 유전층(114)상에 증착될 수 있다. 라이너(116)는 몇몇 기능들을 수행할 수 있다. 상기 라이너층은 금속막들이 산화물들로부터 벗겨지는 경향이 있기 때문에 유전체 및 금속 사이의 부착층으로서 작동할 수 있다. 상기 라이너층은 산화물 기재 유전체 및 금속 사이의 내부 확산에 대한 배리어로서 작동할 수 있다. 상기 라이너층은 균일한 부착 및 성장과, 가능하면 홀을 충전하는 금속의 증착을 위한 저온 리플로우 및 각각의 시드층의 균일한 성장을 핵으로 하기 위한 시드(seed) 및 핵형성 층으로서 작동한다. 하나 이상의 라이너층들은 증착될 수 있고, 여기에서 하나의 라이너층은 우선 배리어층으로서 작동할 수 있고 다른 라이너층들은 우선적으로 부착, 시드 또는 핵형성 층들로서 기능할 수 있다.
구리 같은 도전 금속의 상호 접속층(118)은 그 다음 라이너층(116)상에 증착되어 홀을 충전하고 유전층(114)의 상부를 커버한다. 통상적인 알루미늄 금속배선들은 금속 층(118)의 편평한 부분의 선택적 에칭에 의해 수평 상호접속부들로 패턴화된다. 그러나, 구리 금속배선 기술, 소위 이중 다마신은 유전층(114)의 홀을 두개의 접속된 부분들로 형성하고, 제 1 부분은 유전층의 하부 부분을 통한 좁은 바이어스들이고 제 2 부분은 바이어스를 상호 접속하는 표면 부분의 보다 넓은 트렌치들이다. 금속 증착후, 화학적 기계적 연마(CMP)는 수행되어 유전체 산화물상에서 노출된 비교적 부드러운 구리를 제거하지만 보다 단단한 산화물상에서 멈춘다. 결과적으로, 다음 하부 레벨의 도전 피쳐(112)와 유사한 상부 레벨의 다중 구리 충전 트렌치들은 서로 절연된다. 구리 충전된 트렌치들은 구리 충전된 바이어스들 사이에서 수평 상호접속부로서 작동한다. 이중 다마신 및 CMP의 결합은 구리를 에칭할 필요성을 제거한다. 몇몇 층 구조들 및 에칭 시퀀스들은 이중 다마신을 위하여 개발되었고, 다른 금속배선 구조들은 유사한 제조 요구조건들을 가진다.
이중 다마신에서 발생하는 것 같은 비아 홀들 및 유사한 고종횡비 구조들을 라이닝하고 충전하는 것은 그 종횡비들이 계속하여 증가할때 계속적인 도전에 직면된다. 4:1의 종횡비들은 일반적이고 그 값은 추가로 증가할 것이다. 여기에 사용된 바와 같은 종횡비는 일반적으로 홀의 깊이 대 상기 홀의 상부 표면 근처에서 홀의 가장 좁은 폭의 비율로서 정의된다. 0.18㎛의 비아 폭들은 일반적이고 상기 값은 추가로 감소할 것이다. 산화물 유전체들에 형성된 개선된 구리 상호접속부들에 대하여, 배리어층의 형성은 명확하게 핵형성 및 시드층으로부터 분리될 것이다. 확산 배리어는 Ta/TaN, W/WN, 또는 Ti/TiN, 또는 다른 구조들의 이중층으로부터 형성될 수 있다. 10 내지 50nm의 배리어 두께는 통상적이다. 구리 상호접속부들에 대하여, 핵형성 및 시드 기능들을 수행하기 위하여 하나 이상의 구리 층들을 증착하는 것이 유용하다는 것이 발견되었다.
통상적인 물리적 기상 증착(PVD), 소위 스퍼터링에 의한 라이너층 또는 금속배선의 증착은 비교적 빠르다. DC 자기 스퍼터링 반응기는 스퍼터 증착될 금속으로 구성되고 DC 전기 소스에 의해 전력이 인가되는 타켓을 가진다. 자석은 타켓의 후면 부근에서 주사되고 그 자기장을 타켓 근처 반응기 부분으로 돌출시켜 플라즈마 밀도를 증가시키고 따라서 스퍼터링 속도를 증가시킨다. 그러나, 통상적인 DC 스퍼터링(도입된 여러 타입의 스퍼터링과 대조하여 PVD라 불림)은 주로 중성자들을 스퍼터링한다. PVD에서 통상적인 이온 밀도들은 109cm-3 미만이다. PVD는 원자들을 타켓 법선에 대해 코사인 종속하는 넓은 각도로 분산시킨다. 상기 넓은 분산은 도 2에 도시된 바와 같이 깊고 좁은 비아 홀(122)을 충전하는데 바람직하지 않을 수 있고, 여기에서 배리어층(124)은 이미 증착되었다. 다수의 오프 각도 스퍼터 입자들은 층(126)이 우선적으로 홀(122)의 상부 모서리들 주변에 증착하도록 하여 오버행(overhang)(128)들을 형성한다. 큰 오버행들은 홀(122)에 대한 입구를 추가로 제한하여 홀(122)의 측벽들(130) 및 하부(132)에 부적당한 커버리지를 유발한다. 또한, 오버행들(128)은 충전되기전 홀(122)에 브리지를 형성할 수 있고 홀(122)내에 금속배선의 보이드(134)를 형성한다. 일단 보이드(134)가 형성되면, 종종 용융점 근처로 금속배선을 가열함으로써 상기 금속배선을 다시 리플로우하는 것은 어렵다. 심지어 작은 보이드는 신뢰성 문제들을 유도할 수 있다. 만약 제 2 금속배선 증착 단계는 전기 도금에 의해 도금될 작정이고, 브리지 오버행은 추후 증착을 보다 어렵게 만든다.
오버행 문제를 개선하기 위한 한가지 방법은 스퍼터링 타켓이 스퍼터 코팅될 웨이퍼 또는 다른 기판으로부터 비교적 멀리 간격지는 롱 쓰로우(long throw) 스퍼터링이다. 예를 들어, 타켓 대 웨이퍼 간격은 적어도 웨이퍼 직경의 50%, 바람직하게 90% 이상, 및 보다 바람직하게 140% 이상이다. 결과적으로, 스퍼터링 분산의 오프-각도 부분은 우선적으로 챔버 벽으로 지향되지만, 중심 각도 부분은 대부분은 웨이퍼쪽으로 지향된다. 절단된 각도 분산은 보다 많은 부분의 스퍼터 입자들이 홀(122)쪽으로 깊숙히 지향되도록 하여 오버행(128)의 범위를 감소시킨다. 유사한 효과는 타켓 및 웨이퍼 사이의 시준기를 배치시킴으로써 달성될수있다. 시준기가 높은 종횡비의 다수의 홀들을 가지기 때문에, 오프 각도 스퍼터 입자들은 시준기의 측벽들을 때리고, 중심 각도 입자들은 통과한다. 롱 쓰로우 타켓들 및 시준기들은 통상적으로 웨이퍼에 도달하는 스퍼터 입자들의 플럭스를 감소시키고 스퍼터 증착 속도를 감소시킨다. 상기 감소는 쓰로우들이 길어지거나 시준이 증가하는 종횡비들의 홀들을 통하여 수용하도록 엄격해질 때보다 두드러진다.
또한, 롱 쓰로우 스퍼터링이 증가될 수 있는 길이는 제한될 수 있다. PVD 스퍼터링에 종종 사용된 수 밀리토르의 아르곤에서, 타켓 대 웨이퍼 간격이 증가할때 스퍼터된 입자들을 아르곤 스퍼터링하는 가능성이 보다 크다. 따라서, 순방향 입자들의 기하학적인 선택은 감소될 수 있다. 롱 쓰로우 및 시준 양쪽을 가지는 다른 문제는 감소된 금속 플럭스가 생산율을 감소시키는 것뿐 아니라, 스퍼터링 동안 웨이퍼가 경험하는 최대 온도를 증가시키는 경향이 있는 보다 긴 증착 기간을 유발한다. 다른 문제점에서, 롱 쓰로우 스퍼터링은 행(hang)상에서 감소할 수 있고 측벽들의 중간 및 상부 부분들에 우수한 커버리지를 제공하지만, 하부 측벽 및 하부 커버리지는 만족스럽지 않다.
깊은 홀 라이닝 및 충전을 위한 다른 기술은 이온화 금속 도금(IMP)이라 불리는 스퍼터링 처리에서 고밀도 플라즈마(HDP)를 사용하여 스퍼터링하는 것이다. 통상적인 고밀도 플라즈마는 플라즈마 외장을 제외하고 플라즈마를 가로질러 평균 플라즈마 밀도가 적어도 1011cm-3이고, 바람직하게 적어도 1012cm-3이다. IMP 증착에서, 각각의 플라즈마 소스 영역은 예를 들어 타켓 및 웨이퍼 사이의 플라즈마 소스 영역 둘레에 감겨진 전기 코일로부터 플라즈마에 RF 전력을 유도 결합함으로써 웨이퍼로부터 떨어진 영역에 형성된다. 이런 방식으로 형성된 플라즈마는 유도 결합된 플라즈마(ICP)라 불린다. 이런 구조를 가진 HDP 챔버는 HDP PVD 반응기로서 캘리포니아 산타 클라라 어플라이드 머티어리얼스사에서 상업적으로 판매되고 있다. 보다 높은 전력은 아르곤 작동 가스를 이온화시킬 뿐 아니라, 스퍼터된 원자들의 이온화 부분을 상당히 증가시킨다. 즉, 금속 이온들을 생성한다. 웨이퍼는 네가티브 전위로 자체 충전되거나 DC 전위를 제어하기 위하여 RF 바이어스된다. 금속 이온들은 그것들이 네가티브적으로 바이어스된 웨이퍼에 접근할때 플라즈마 외장을 가로질러 가속된다. 결과적으로, 각도있는 분산은 순방향으로 강하게 피크되어, 비아 홀쪽으로 깊게 유도된다. 오버행들은 IMP 스퍼터링에서 훨씬 덜 문제가 되고, 하부 커버리지 및 하부 측벽 커버리지는 비교적 높다.
원격 플라즈마를 사용하는 IMP 스퍼터링은 30 밀리토르 또는 그 이상의 보다 높은 압력에서 일반적으로 수행된다. 보다 높은 압력들 및 높은 밀도 플라즈마는 스퍼터 증착될 표면쪽으로 플라즈마 외장을 가로질러 가속되는 다수의 아르곤 이온들을 생성한다. 아르곤 이온 에너지는 형성될 막에 직접적으로 가열됨으로써 종종 소비된다. 구리는 IMP에서 경험된 상승된 온도에서, 즉 50 내지 75℃의 온도에서 조차 탄탈륨 질화물 및 다른 배리어 재료들로부터 듀잇(dewet)된다. 게다가, 아르곤은 현상 막에 내장되는 경향이 있다. IMP는 거칠거나 불연속적인 표면 구조를 가진 도 3의 단면에서 136으로 도시된 바와 같은 구리 막을 증착할 수 있다. 만약 그렇다면, 상기 막은 특히 라이너가 전기 도금을 위한 전극으로 사용될 때 홀을 채우는 것을 조장하지 않을 수 있다.
금속들을 증착하기 위한 다른 기술은 푸(Fu) 등에 의한 1997년 5월 8일 출원된 미국특허출원 08/854,008 및 푸 등에 의한 1999년 8월 12일 출원된 미국특허 6,183,614 B1, 일련번호 09/373,097에 의해 기술된 바와 같은 자체 유지 스퍼터링(sustained self-sputtering)이 있으며, 상기 특허 문헌들은 전체적으로 본 명세서에 참조에 의해 통합된다. 예를 들어, 구리 타켓 근처 충분히 높은 플라즈마 밀도에서, 구리 이온들의 충분히 높은 밀도는 구리 이온들이 유니트상에서 생산율을 갖는 구리 타켓을 리스퍼터할 것을 전개한다. 아르곤 작동 가스의 공급은 구리 플라즈마가 잔존하는 동안 제거될 수 있거나 매우 낮은 압력으로 감소된다. 알루미늄은 SSS에 쉽게 영향을 받지 않는 것으로 믿어진다. Pd, Pt, Ag, 및 Au 같은 몇몇 다른 재료들은 SSS를 경험할 수 있다.
구리의 자체 유지 스퍼터링(sustained self-sputtering; SSS)에 의해 구리 또는 다른 금속들을 증착하는 것은 다수의 장점들을 가진다. SSS에서 스퍼터링 속도는 높다. 플라즈마 외장을 가로질러 바이어스된 웨이퍼쪽으로 가속될 수 있는 구리 이온들의 많은 부분이 있어서, 스퍼터 플럭스의 방향성을 증가시킨다. 챔버 압력은 매우 낮을 수 있고, 종종 후면 냉각 가스의 누설에 의해 제한되고, 아르곤 이온들로부터 웨이퍼 가열을 감소시키고 아르곤에 의해 금속 입자들의 산란을 감소시킨다.
자체 유지 스퍼터링을 조장하기 위한 기술들 및 반응기 구조들이 개발되었다. 서브 유니티 리스퍼터가 이들 동일한 기술들 및 구조들로부터 장점을 형성하고, 가정하여, 부분 자체 이온화 플라즈마(SIP)을 유발하는 부분 자체 스퍼터링으로 인해 몇몇 스퍼터 재료들이 SSS에 영향을 받지 않는다는 것이 관찰되었다. 게다가, 비록 종종 임의의 아르곤 작동 가스없는 SSS가 달성될 수 있지만 낮은 그러나 제한된 아르곤 압력으로 구리를 스퍼터하는 것이 바람직하다. 따라서, SIP 스퍼터링은 SSS가 SIP 타입이도록 작동 가스의 감소된 또는 영의 압력을 포함하는 많은 일반적인 스퍼터링 처리를 위하여 바람직한 용어이다. SIP 스퍼터링은 푸 등에 의한 미국특허 6,290,825 및 1999년 10월 8일 출원된 치앙 등에 의한 미국특허출원 09/414,614에 기술되고, 여기에 참조로써 통합된다.
SIP 스퍼터링은 타켓에 인접한 고밀도 플라즈마(HDP)를 생성하고 플라즈마를 확장하고 웨이퍼쪽으로 금속 이온들을 가이드하도록 통상적인 용량 결합 자기 스퍼터 반응기에 대한 다양한 변형들을 사용한다. 비교적 높은 양의 DC 전력은 200mm 웨이퍼를 위하여 설계된 챔버에 대해 예를 들어 20 내지 40kW로 타켓에 인가된다. 게다가, 자석은 비교적 작은 영역을 가져서 타켓 전력은 자석의 보다 작은 영역에 집중되어, 자석에 인접한 HDP 영역에 공급된 전력 밀도를 증가시킨다. 작은 영역 자석은 타켓의 중심측에 배치되고 보다 균일한 스퍼터링 및 증착을 제공하기 위하여 중심부 부근을 회전한다.
SIP 스퍼터링의 일형태에서, 자석은 밸런스되지 않은 극들을 가진다. 즉 다른 극성의 보다 약한 내부 자극을 둘러싸는 하나의 자석 극성의 강한 외부 자극보다 강한 자극으로부터 발산하는 자기장 라인들은 타켓 면에 인접한 종래 수평 자기장뿐 아니라, 웨이퍼쪽으로 연장하는 수직 자기장으로 분리된다. 수직 필드 라인은 웨이퍼를 향해 연장하고, 또한 금속이온을 웨이퍼로 안내한다. 더욱이, 챔버 벽에 근접한 수직 자기 라인은 플라즈마로부터 접지된 차폐부로의 전자의 확산을 방지하는 역할을 한다. 감소된 전자 손실은 특히 플라즈마 밀도를 증가시키고 프로세싱 공간에 걸쳐 플라즈마를 퍼지게 하는데 효과적이다.
SIP 스퍼터링은 RF 코일의 사용없이 실행될 수도 있다. 작은 HDP 영역은 10 내지 25% 사이로 평가되는 금속 이온의 실질적인 비율로 이온화하는데 충분하며, 이는 깊은 홀로 효과적으로 스퍼터 코팅한다. 특히, 높은 이온화 비율에서, 이온화된 스퍼터링된 금속 원자는 타겟으로 다시 집중하며 추가로 금속 원자를 스퍼터링한다. 그 결과로써, 아르곤의 작용 압력은 플라즈마의 상실없이 감소될 수도 있다. 따라서, 웨이퍼에 대한 아르곤 가열은 문제가 덜 되며, 이는 이온 밀도를 감소시키고 금속 이온 스퍼터링 패턴을 랜덤하게 한다.
SIP에 사용된 언밸런스된 마그네트론의 추가 장점은 더 강한 외부의 환상 극으로부터의 전계가 웨이퍼를 향해 플라즈마 프로세싱 영역 깊숙이 투입된다는 것이다. 이런 투입 필드는 플라즈마 프로세싱 영역의 많은 부분에 걸쳐 강한 플라즈마를 제공하고 이온화된 스퍼터 입자를 웨이퍼로 안내하는 장점을 갖는다. 2000년 7월 10일 출원된 Wei Wang의 미국 특허 출원 No.09/612,861에는 타겟으로부터 웨이퍼를 향해 연장하는 자계 성분을 생성하기 위해 플라즈마 프로세스 영역의 주용 부분을 감싸고 있는 동축 전자기 코일의 사용에 대해 개시한다. 자기 코일은 특히 SIP 스퍼터링을 롱-쓰로우 스퍼터 반응기, 즉 보조 자계가 플라즈마를 보조하고 더욱이 이온화된 스퍼터 입자를 안내하기 때문에 타겟과 웨이퍼 사이에 큰 공간을 갖는 반응기에 적용하는데 효과적이다. Lai는 미국 특허 5,593,551에서 타겟 부근의 작은 코일에 대해 개시하였다.
그러나, SIP 스퍼터링은 여전히 개선될 수 있다. 이와 관련한 근본적인 문제는 자계 구조를 최적화하는데 사용가능한 변수의 제한된 수(number) 이다. 마그네트론은 타겟 전력 밀도를 최대화하기 위해 작아야 하지만, 타겟은 균일하게 스퍼터링될 필요가 있다. 자계는 전자 트래핑을 최대화하기 위해 타겟 부근의 강한 수평 성분을 가져야 한다. 자계의 소정의 성분은 이온화된 스퍼터 입자를 안내하기 위해 타겟으로부터 웨이퍼를 향해 투입된다. Wang의 동축 자계 코일은 이러한 문제점의 단지 일부만을 언급한다. 미국 특허 5,593,551에 개시된, 수평으로 배치된 영구 자석은 이러한 효과에 대해 상세히 언급하지 않는다.
금속은, 상업적으로 Schumacher이라는 이름의 CupraSelect라는 상품명을 갖는 추가의 접착제인 Cu-HFAC-VTMS와 같은 금속 유기(metallo-organic) 선구체를 사용하는 화학기상증착(CVD)에 의해 증착될 수 있다. 열 CVD 프로세스는 기술분야에 공지된 바와 같이, 이러한 선구체와 함께 사용될 수 있지만, 플라즈마 CVD(PECVD) 또한 사용가능하다. CVD 프로세스는 고종횡비 홀에서도 거의 균일한 막을 증착하는데 사용가능하다. 예를 들어, 막은 얇은 시드층으로서 CVD에 의해 증착될 수 있으며, PVD 또는 다른 기술이 최종 홀 충전에 사용될 수도 있다. 그러나, CVD 구리 시드층은 종종 거칠게 되는 것이 발견된다. 거칠기는 시드층으로서 그리고 보다 구체적으로는 증착후 홀 깊숙이 구리의 저온 리프로우를 증진시키는 리프로우 층으로서 사용함으로써 줄어들 수 있다. 또한 거칠기는 50nm 정도의 상대적인 두께를 갖는 CVD 구리 층이 연속적인 시드층을 신뢰할 만하게 코팅하는데 필요하다는 것을 나타낸다. 고려되는 더 좁은 비아 홀에 대해, 소정 두께의 CVD 구리 시드층은 홀을 거의 충전할 수 있다. 그러나, CVD에 의해 실행된 완전한 충전은 중심 시임으로부터 어려움을 겪으며, 이는 장치 신뢰도에 영향을 미친다.
다른 관련 기술은 얇은 구리 핵형성 층을 증착하기 위해 때때로 플래시 증착으로 불려지는 IMP 스퍼터링을 사용하는데, 더 두꺼운 CVD 구리 시드층은 IMP 층에 증착된다. 그러나, 도3에 도시된 바와 같이, IMP 층(136)은 거칠 수 있으며, CVD 층은 대개 기판을 거칠게 하는 경향이 있다. 따라서, IMP 층위의 CVD 층은 거칠게 되는 경향이 있다.
전기화학적 도금(ECP)은 개발되어질 또 다른 구리 증착 기술이다. 이러한 방식으로, 웨이퍼는 구리 전해조에 침지된다. 웨이퍼는 전해조에 대해 전기적으로 바이어싱되며, 그리는 전기화학적으로 통상적으로 컨포멀 프로세스에서 웨이퍼에 증착된다. 무전기 도금 기술이 또한 사용가능하다. 전기 도금 및 관련된 프로세스는 이들이 대기압에서 간단한 설비로 실행될 수 있기 때문에 유리하며, 증착률은 높고, 액체 프로세싱은 연속적인 화학 물리적 연마와 조화된다.
그러나, 전기도금은 그 자체의 필요조건을 갖는다. 시드 및 접착층은 통상적으로 Ta/TaN 같은 배리어층의 상부에 제공되어, 전기 도금된 구리를 핵형성하고 이를 배리어 재료에 접착시킨다. 더욱이, 비아홀(122)을 둘러싸는 통상적인 절연 구조는 유전층(114)과 비아홀(122) 사이에 전기 도금 전극이 형성될 것을 필요로 한다. 탄탈 및 다른 배리어 재료는 통상적으로 상대적으로 낮은 전기적 도전체이며, (구리 전해질을 함유하는)비아홀(122)과 마주하는 배리어층(124)의 통상의 질화물 서브층은 전기 도금에 필요한 긴 횡단 전류 경로에 비해 도전성이 훨씬 덜하다. 따라서, 우수한 도전성 시드 및 접착층은 비하홀의 하부를 효과적으로 충전하는 전기 도금을 용이하게 하기 위해 종종 증착된다.
배리어층(124) 위에 증착된 구리 시드층은 통상적으로 전기 도금 전극으로써 사용된다. 그러나, 연속적이고 평탄하고 균일한 막이 바람직하다. 그렇지 않으면, 전기 도금 전류는 구리로 커버링된 부분으로만 향할 것이며, 우선적으로 두꺼운 구리로 커버링된 영역으로 향할 것이다. 구리 시드층을 증착하는 것은 그 자체의 문제점이 있다. IMP 증착된 시드층은 고종횡비 홀에서 우수한 하부 커버리지를 제공하지만, 그 측면 커버리지는 최종 박막이 되고 불연속적이 되도록 작을 수 있다. 얇은 CVD 증착된 시드는 또한 거칠 수도 있다. 두꺼운 CVD 증착된 시드층 또는 IMP 구리 위의 CVD 구리는 필요한 연속성을 얻기 위해 현저히 두꺼운 시드층을 필요로 할 수 있다. 또한, 전기도금 전극은 본질적으로 높은 측벽 커버리지가 요구되도록 전체 홀 측벽 상에서 동작할 수 있다. 롱 쓰로우는 적절한 측벽 커버리지를 제공하지만, 하부 커버리지는 충분하지 않을 수도 있다.
본 발명의 일 실시예는 하나의 챔버에서 롱-쓰로우 스퍼터링, 자기 이온 플라즈마(SIP) 스퍼터링, 유도성 커플 플라즈마(ICP) 스퍼터링, 및 코일 스퍼터링을 조합함으로써 탄탈 또는 탄탈 질화물과 같은 라이너 재료를 스퍼터 증착하는 것에 관한 것이다. 롱-쓰로우 스퍼터링은 상대적으로 높은 비율의 타겟-대-기판 거리 및 기판 직경에 특징이 있다. 롱-쓰로우 SIP 스퍼터링은 이온화되고 중성 증착 재료 성분의 깊은 홀 코팅을 증진시킨다. ICP 스퍼터링은 접촉 저항을 감소시키기 위해 깊은 홀의 층 하부 커버리지의 두께를 감소시킬 수 있다. ICP 스퍼터링 동안, ICP 코일 스퍼터링은, 스퍼터링에 의한 박층화가 필요하지 않은 홀개구부 부근과 같은 영역 상의 보호층을 증착시킬 수 있다.
본 발명의 또 다른 특징은 하나의 챔버에서 롱-쓰로우 스퍼터링, 자체-이온화된 플라즈마(SIP) 스퍼터링, 및 SIP 재스퍼터링을 조합함으로써, 구리와 같은 인터커넥트 재료를 스퍼터링 증착하는 것에 관한 것이다. 게다가, 롱-쓰로우 SIP 증착은 이온화된 중성 구리 성분의 깊은 홀 코팅을 증진시킨다. SIP 재스퍼터링은 깊은 홀의 우수한 하부 모서리 커버리지를 증진시키기 위해 재분배될 수 있다.
SIP는 5밀리토르 이하, 특히 2밀리토르 이하, 보다 바람직하게는 1밀리토르 이하의 저압에 의해 증진되는 경향이 있다. 특히 이러한 저압에서, SIP는 상대적으로 작은 영역을 갖는 마그네트론에 의해 증진되어 타겟 전력 밀도를 향상시키며, 비대칭 자석을 갖는 마그네트론을 사용함으로써 자계가 기판을 향해 보다 더 침투하게 한다. 이러한 프로세스는 시드층을 증착하는데 사용될 수 있는데, 시드층은 나중에 증착될 층의 핵형성 또는 시딩을 증진시키고 유전층을 통과하는 특히 좁고 깊은 비아 또는 콘택을 형성하는데 유용하다. 추가의 층은 전기 화학적 도금(ECP)에 의해 증착될 수 있다. 또 다른 실시예에서, 추가의 층은 화학 기상 증착(CVD)에 의해 증착된다.
일 실시예는 마그네트론 스퍼터 반응기에서 보조 자석 어레이를 포함하는데, 이는 웨이퍼에 근접한 챔버에 배치되고 제1 수직 자계 극성을 갖는다. 자석은 영구 자석이거나 챔버의 중앙측을 따르는 코일 축을 갖는 전자석의 배열일 수 있다.
일 실시예에서, 제1 자석 극성의 강한 외부 자극을 갖는 회전가능한 자석은 반대 극성의 약한 극을 둘러싼다. 보조 자석은 바람직하게 웨이퍼 부근의 프로세싱 공간의 절반에 바람직하게 배치되어 외부 자극으로부터 웨이퍼를 향해 자계의 언밸런스한 부분을 끌어당긴다.
SIP 챔버에서의 재스퍼터링은 일 실시예에서 웨이퍼의 바이어싱이 증착동안 증가되는 다중 스텝으로 증진될 수 있다. 택일적으로, 타겟에 대한 전력은 비아 및 다른 홀의 하부 모서리에 대한 증착을 재분배하기 위해 증착동안 감소될 수도 있다.
이하에서 설명될 본원 발명의 추가의 특징이 있다. 따라서, 상기한 사항은 본 발명의 실시예 및 특징 중 일부의 요약이다. 본 발명의 추가의 실시예 및 특징은 이하에서 언급된다. 본 발명의 권리범위를 벗아나지 않고 다양한 변경된 실시예가 만들어질 수 있다. 따라서, 전술한 요약은 발명의 사상을 한정하지 않는다. 본 발명의 사상은 첨부된 청구항에 의해 결정된다.
도1은 종래 기술과 같이 유전체의 상부를 커버하는 금속배선(metallization)으로 충전된 비아의 단면도이다.
도2는 비아에 걸쳐서 막고 있는 금속공정으로 충전하는 동안의 비아의 단면도이다.
도3은 이온화된 금속 도금에 의해 증착된 거친 시드층을 갖는 비아의 단면도이다.
도4는 본 발명의 실시예에 사용가능한 스퍼터링 챔버의 개략도이다.
도5는 도4의 스퍼터링 챔버의 다양한 성분의 전기적 인터커넥션의 나타낸 개략도이다.
도6-9b는 본 발명의 일실시예에 따른 비아 라이너 및 금속공정을 위한 비아 라이너 및 금속 공정 및 형성 프로세스의 개략도이다.
도10은 본 발명의 보조 자석 어레이를 포함하는 스퍼터 반응기의 단면도이다.
도11은 도10의 스퍼터 반응기에서 상부 자석의 하부 평면도이다.
도12는 보조 자석 어레이를 지지하는 어셈블리의 실시예의 정사영도이다.
도13은 보조 자석 어레이가 전자석의 어레이를 포함하는 스퍼터 반응기의 개략적인 단면도이다.
도14a 및 14b는 본 발명의 실시예에 따른 비아 시드층 및 비아 시드층 형성 프로세스의 단면도이다.
도15는 본 발명에 사용가능한 또 다른 스퍼터링 챔버의 개략도이다.
도16은 타겟, 차폐부, 절연체 및 타겟 O-링을 상세히 나타낸 도15의 분해 조립도이다.
도17은 플로팅 차폐부의 길이와 플라즈마를 지지하기 위한 최소 압력 사이의 관계를 나타낸 그래프이다.
도18은 본 발명에 따른 비아 금속 공정의 단면도이다.
도19 및 20은 두 개의 상이한 자석 및 상이한 동작 조건을 위한 웨이퍼 양단의 이온 전류를 나타낸 그래프이다.
도21은 본 발명의 일 실시예에 따른 비아 금속 공정의 단면도이다.
도22는 본 발명의 또 다른 실시예에 따른 비아 금속 공정의 단면도이다.
도23은 웨이퍼의 가열을 감소시키는 플라즈마 점화 시퀀스의 흐름도이다.
도24는 본 발명의 또 다른 실시예에 따른 프로세서에 따라 형성된 비아 금속 공정의 단면도이다.
도25는 본 발명의 또 다른 실시예에 따른 스퍼터링 챔버의 개략도이다.
도26은 도25의 스퍼터링 챔버의 다양한 성분의 전기적 인터커넥션의 개락도이다.
도27은 본 발명의 실행될 통합 프로세싱 툴의 개략도이다.
DC 자석 스퍼터링 반응기에서 측벽과 하부 커버리지 사이의 분배는 유전층에서 홀 또는 비아에 요구되는 특성을 갖는 라이너층과 같은 금속층을 형성하기 위해 적용될 수 있다. 고종횡비 비아로 스퍼터 증착된 SIP 막은 적절한 측벽 커버리지를 가질 수 있으며, 걸쳐지지 않는 경향이 있다. 바람직한 하부 커버리지는 박층화되거나, 비하의 하부의 ICP 스퍼터링에 의해 제거될 수도 있다. 본 발명의 일 특징에 따라, 두 스퍼터링 타입의 장점은 각각의 단계에서 실행될 수 있는 SIP 및 CIP 플라즈마 생성 기술의 선택된 특징을 조합한 반응기에서 얻어질 수 있다. 이러한 반응기의 예는 도4에서 150으로 기재된다. 게다가, 라이너층 측벽의 상부 부분은 코일 재료를 기판 상에 증착하기 위해 챔버 내에 배치된 ICP 코일(151)을 스퍼터링 함으로써 재스퍼터링으로부터 보호될 수 있다.
또한 반응기(150)는 플라즈마에 의해 생성된 SIP와 ICP 모두를 바람직하게는 조합하여 그러나 선택적으로도 사용하여 배리어 또는 라이너층과 같은 금속층을 스퍼터 증착하는데 사용될 수 있다. DC 마그네트론 스퍼터링 반응기 내의 이온화된 중성 원자 플럭스 사이의 분포는 홀 내에서 또는 유전체층 내에서 코팅부를 형성하도록 맞추어질(tailor) 수 있다. 이미 언급한 바와 같이, 높은 종횡비(aspect-ratio)로 스퍼터 증착된 SIP 막은 바람직한 상부 측벽 커버리지를 가질 수 있으며 오버행(overhang)을 형성하지 않는다. 한편, ICP 생성된 플라즈마는 홀에 스퍼터 증착된 막이 양호한 기저부(bottom)와 기저부 코너 커버리지를 가질 수 있다. 본 발명의 또 다른 태양(aspect)에 따라서, 두 가지 타입의 스퍼터링이 갖는 장점은 두 개의 증착 기술중에서 선택된 태양과 결합하는 반응기(150)와 같은 반응기에서 얻어질 수 있다. 게다가, 코일 재료는 필요하다면 역시 증착층에 분포되도록 스퍼터링될 수 있다.
라이너, 배리어 및 다른 층들을 형성하기 위한 반응기(150)와 여러 프로세스들이 2002년 7월 25일에 출원된 계류중인 U.S. 특허출원 제10/202,778호(대리인 문서번호 제4044호)에 상세히 개시되어 있으며, 상기 출원 명세서는 그 전체가 본 명세서에서 참조로 포함된다. 여기서 설명한 바와 같이, 예시된 실시예의 반응기(150)는 캘리포니아, 산타 클라라에 있는 어플라이드 머티어리얼스 사에서 입수할 수 있는 Endura PVD Reactor의 변형물에 기초한 DC 마그네트론 타입의 반응기이다. 이러한 반응기는 웨이퍼(158) 상에 스퍼터 증착될 재료로 이루어진 적어도 표면 부분을 갖는 PVD 타겟(156)에 타겟 절연체(154)를 통해 전기적으로 접지되고 밀봉되며 보통 금속으로 이루어진 진공 챔버(152)를 포함한다. 타겟 스퍼터링 표면은 도면에서 평면으로 도시되었지만, 타겟 스퍼터링 표면(들)은 천장이 둥글고 원주형을 포함하는 다양한 형태를 가질 수 있다. 웨이퍼는 150,200,300,450mm를 포함하는 상이한 크기를 가질 수 있다. 예시된 반응기(150)는 롱-쓰로우(long-throw) 모드에서 자기-이온화(self-ionized) 스퍼터링(SIP)을 할 수 있다. 이러한 SIP 모드는 커버리지가 홀의 측벽에 주로 영향을 미치는 일 실시예에서 사용될 수 있다. SIP 모드는 또한 양호한 기저부 커버리지를 달성하는데 사용될 수 있다.
또한 반응기(150)는 RF 에너지를 반응기의 내부에 유도적으로 결합시키는 RF 코일(151)을 포함한다. 코일(151)에 의해 제공된 RF 에너지는 기저부 커버리지를 얇게 하기 위해 이온화된 아르곤을 사용하여 증착층을 재스퍼터링하거나 기저부 커버리지를 개선하기 위해 스퍼터링된 증착 재료를 이온화하도록 플라즈마를 유지시키기 위해 아르곤과 같은 선구 가스를 이온화시킨다. 일 실시예에서, 고밀도 IMP 처리동안 20-60 mTorr와 같이 비교적 높은 압력에서 플라즈마를 유지시키는 것보다는, 압력은 탄탈 질화물을 증착하는 동안 1 mTorr 또는 탄탈을 증착하는 동안 2.5 mTorr와 같이 실질적으로 낮은 압력에서 유지된다. 그러나, 0.1 내지 40 mTorr 범위의 압력은 어플리케이션에 따라 적당할 수 있다. 그 결과, 반응기(150) 내에서 이온화 비율은 통상적인 고밀도 IMP 처리보다 실질적으로 낮을 것이다. 이러한 플라즈마는 증착된 층을 재스퍼터링하기 위해 또는 스퍼터링된 증착 재료를 이온화하기 위해 또는 이들 모두를 위해 사용될 수 있다. 더욱이, 코일(151)은 증착된 재료를 얇게 하는 것이 바람직하지 않은 영역을 위해 웨이퍼 상으로 증착된 재료를 재스퍼터링하는 동안 웨이퍼 상에 보호 코팅부를 제공하거나 또는 추가의 증착 재료를 제공하기 위해 스퍼터링될 수 있다.
일 실시예에서, 양호한 상부 측벽 커버리지와 기저부 코너 커버리지는 하나의 단계에서 RF 전력이 코일에 거의 또는 전혀 제공되지 않는 다중 단계 프로세스에서 이루어질 수 있다. 따라서, 하나의 단계에서, 스퍼터링된 타겟 증착 재료의 이온화는 자기-이온화의 결과에 따라서 주로 발생할 것이다. 따라서, 양호한 상부 측벽 커버리지가 이루어질 것이다. 제 2 단계에서 바람직하게 동일한 챔버에서, RF 전력은 코일(151)에 제공될 수 있지만, 압력이 타겟에 낮게 제공되거나 전혀 제공되지 않는다. 이러한 실시예에서, 재료는 타겟(156)으로부터 거의 스퍼터링되지 않거나 전혀 스퍼터링되지 않지만 선구 가스의 이온화는 코일(151)에 유도적으로 결합된 RF 에너지의 결과에 따라서 주로 발생한다. ICP 플라즈마는 홀의 기저부에서 배리어층 저항을 감소시키기 위해 에치 또는 재스퍼터링에 의해 기저부 커버리지를 얇게 하거나 제거할 수 있다. 게다가, 코일(151)은 얇아지는 것이 바람직하지 않은 보호 재료를 증착하도록 스퍼터링될 수 있다. 일 실시예에서, 압력은 플라즈마 밀도가 비교적 낮아져 코일로부터 스퍼터링된 증착 재료의 이온화를 감소시키도록 비교적 낮게 유지될 수 있다. 그 결과, 스퍼터링된 코일 재료는 상부 측벽 부분들이 얇게 되는 것을 보호하기 위해 상부 측벽 위로 주로 증착되도록 대부분 중성으로 남아있을 수 있다.
예시된 반응기(150)는 자기-이온화된 스퍼터링을 할 수 있기 때문에, 증착 재료는 플라즈마가 RF 코일(151)에 의해 유지되는 결과로뿐만 아니라 타겟(156)의 스퍼터링에 의해서 이온화될 수 있다. 양호한 기저부 커버리지를 갖도록 층을 증착하는 것이 바람직한 경우, 조합된 SIP와 ICP 이온화 처리는 양호한 기저부와 기저부 코너 커버리지를 위해 충분히 이온화된 재료를 제공한다. 그러나, RF 코일(151)에 의해 제공된 낮은 압력의 플라즈마의 낮은 이온화 비율은 상부 측벽 위로 증착되도록 충분한 중성 스퍼터링 재료가 이온화되지 않은 채 남아 있게 한다. 따라서, 이온화된 증착 재료의 결합된 소스는 양호한 상부 측벽 커버리지 뿐만 아니라 기저부 코너 커버리지 모두를 제공할 수 있으며, 이는 하기에서 상세히 설명된다.
선택적인 실시예에서, 양호한 상부 측벽 커버리지, 기저부 커버리지 및 기저부 코너 커버리지는 하나의 단계에서 RF 전력이 코일에 거의 또는 전혀 제공되지 않는 다중 단계 프로세스에서 이루어질 수 있다. 따라서, 하나의 단계에서, 증착 재료의 이온화는 자기-이온화의 결과에 따라 주로 발생할 것이다. 결과적으로, 양호한 상부 측벽 커버리지가 이루어질 수 있다. 제 2 단계에서 바람직하게 동일한 챔버에서, RF 전력은 코일(151)에 제공될 수 있다. 게다가, 일 실시예에서, 압력은 고밀도 플라즈마가 유지되도록 실질적으로 상승할 수 있다. 그 결과, 양호한 기저부와 기저부 코너 커버리지는 제 2 단계에서 이루어질 수 있다.
웨이퍼 클램프(160)는 웨이퍼(158)를 페데스털 전극(162) 위에 홀딩한다. 저항성 히터, 냉각(refrigerant) 채널, 및 페데스털(162) 내의 열 전달 가스 캐버티는 페데스털의 온도가 -40도씨보다 낮은 온도로 제어되어 웨이퍼 온도가 유사하게 제어되도록 제공된다.
부분적으로 중성 플럭스를 갖는 깊은 홀 코팅부를 얻기 위해, 타겟(156)과 웨이퍼(158) 사이의 거리는 롱-쓰로우 모드에서 도작하도록 증가될 수 있다. 이 경우, 타겟-대-기판 간격은 통상적으로 기판 직경의 절반보다 크다. 도시된 실시예에서, 간격은 웨이퍼 직경의 90%보다 크지만(200 mm 웨이퍼에서는 190 mm 간격을 가지며 300 mm 웨이퍼에서는 290 mm 간격을 가짐), 기판 직경의 100%보다 크고 140%보다 클 정도로 80%보다 큰 간격이 적절할 수도 있다. 많은 어플리케이션에서, 50 내지 1000 mm의 타게-대-기판 간격이 적절할 수 있다. 종래 스퍼터링의 롱 쓰로우는 스퍼터링 증착 비율을 감소시키지만, 이온화된 스퍼터 입자는 크게 감소하지 않는다.
제 2 유전체 차폐부 절연체(168)에 의해 분리된 다크스페이스 차폐부(darkspace shield)(164)와 챔버 차폐부(166)는 스퍼터링된 재료로부터 챔버 벽(152)을 보호하기 위해 챔버(152) 내에 홀딩된다. 예시된 실시예에서, 다크스페이스 차폐부(164)와 챔버 차폐부(166)는 접지된다. 그러나, 일부 실시예에서, 차폐부는 비접지 레벨에 플로팅 또는 바이어스될 수 있다. 또한 챔버 차폐부(166)는 캐소드 타겟(156)에 마주한 애노드 접지 평면으로서 기능하고, 이로써 용량적으로 플라즈마를 지지한다. 만약 다크스페이스 차폐부가 전기적으로 플로팅되게 한다면, 일부 전자들은 네거티브 전하가 형성되도록 다크스페이스 차폐부(164) 위에 증착될 수 있다. 네거티브 전위는 전자들이 증착되지 않게 전자들을 튀어나오게 할 뿐만 아니라 주된 플라즈마 영역 내에 전자들을 가둬놓게 할 수 있으며, 이로써 전자 손실을 감소시키고, 저-압 스퍼터링을 유지하며 필요에 따라 플라즈마 밀도를 증가시킨다.
코일(151)은 코일(151)을 지지 차폐부(164)로부터 전기적으로 절연시키는 다수의 코일 스탠드오프(standoff)(180)에 의해 차폐부(164) 상에서 유지되게 한다. 게다가, 스탠드오프(180)는 도전성 재료가 타겟(110)으로부터 코일 스탠드오프(180) 위로 반복하여 증착되게 하지만 코일(151)로부터 차폐부(164)까지 증착된 재료의 완전한 도전 경로가 형성되어 코일(151)이 (통상적으로 접지된) 차폐부(164)에 대해 단락되는 것을 방지하는 엉클어진(labyrinthine) 통로(passageway)를 갖는다.
회로 경로와 같은 코일을 사용할 수 있게 하기 위해, RF 전력은 진공 챔버 벽과 차폐부(164)를 통과하여 코일(151) 단부에 이른다. 진공 피드스루(도시 안됨)가 진공 압력 챔버 외부에 위치한 발생기로부터 RF 전류를 제공하도록 진공 챔버를 통과하여 연장한다. RF 전력은 차폐부(164)를 통과하여 코일(151)까지 피드스루 스탠드오프(182)(도 5)에 의해 제공되며, 피드스루 스탠드오프(182)는 코일 스탠드오프(180)와 유사하고 코일(151)을 차폐부(164)에 대해 단락시킬 수 있는 코일(151)로부터 차폐부(164)까지의 증착된 재료의 경로가 형성되는 것을 방지하기 위해 엉클어진 통로를 갖는다.
플라즈마 다크스페이스 차폐부(164)는 일반적으로 원주형상을 갖는다. 플라즈마 챔버 차폐부(166)는 사발(bowl)-형상을 가지며 스탠드오프(180,182)가 절연적으로 코일(151)을 지지하도록 부착된 일반적으로 원주형상인 수직으로 향한 벽(190)을 포함한다.
도 5는 예시된 실시예에서 플라즈마 발생 장치의 전기 접속을 개략적으로 나타낸다. 플라즈마에 의해 발생된 이온을 끌어당기기 위해, 타겟(156)은 가변 DC 전력원(200)에 의해 예컨대 1-40 kW의 DC 전력으로 네거티브적으로 바이어스된다. 소스(200)는 플라즈마를 점화하고 유지하기 위해 챔버 차폐부(166)와 관련하여 약 -400 내지 -600VDC로 타겟(156)을 네거티브적으로 바이어스한다. 통상적으로 1 내지 5kW 사이의 타겟 전력은 플라즈마를 점화하는데 사용되지만 10kQ보다 큰 전력이 여기서 설명한 SIP 스퍼터링에 바람직하다. 예컨대, 24kW의 타겟 전력은 SIP 스퍼터링에 의해 탄탈 질화물을 증착시키는데 사용될 수 있고 20kW의 타겟 전력은 SIP 스퍼터링에 의해 탄탈을 증착시키는데 사용될 수 있다. ICP 재스퍼터링 동안, 타겟 전력은 플라즈마가 균일하게 유지되도록 예컨대 100-200 와트까지 감소시킬수 있다. 선택적으로, 만약 ICP 재스퍼터링 동안 타겟 스퍼터링이 바람직하다면 타겟 전력은 높은 레벨로 유지될 수 있거나 필요하다면 완전히 턴오프될 수 있다.
페데스털(162)과 웨이퍼(158)는 전기적으로 플로우팅된 상태로 남겨질 수 있지만, 네거티브 DC 자기-바이어스가 기판 위에서 형성될 수 있다. 선택적으로, 페데스털(162)은 이온화된 증착 재료를 기판으로 끌어당기기 위해 기판(158)을 네거티브적으로 바이어스하기 위해 소스(202)에 의해 -30 v DC에서 네거티브로 바이어스될 수 있다. 다른 실시예는 기판 위에서 형성된 네거티브 DC 바이어스를 제어하기 위해 페데스털(162)에 RF 바이어스를 제공할 수 있다. 예컨대, 바이어스 전원 장치(202)는 13.56MHz에서 동작하는 RF 전원장치일 수 있다. SIP 증착시 20mm 웨이퍼에 대해 예컨대 10 와트 내지 5kW의 범위, 더 바람직하게는 150 내지 300와트 범위에 있는 RF 전력이 공급될 수 있다.
코일(151)의 일 단부는 피드스루 스탠드오프(182)에 의해 차폐부(166)를 통과하여 증폭기와 매칭 네트워크(204)의 출력과 같은 RF 소스에 절연적으로 결합된다. 매칭 네트워크(204)의 입력은 RF 발생기(206)에 결합되며, RF 발생기(206)는 본 실시예에서 ICP 플라즈마 발생을 위해 대략 1 또는 1.5 kW에서 RF 전력을 제공한다. 예컨대, 탄탈 질화물 증착을 위한 1.5 kW의 전력 및 탄탈 증착을 위한 1 kW의 전력이 바람직하다. 50 와트 내지 10 kW의 범위가 바람직하다. SIP 증착 동안, 코일에 대한 RF 전력은 필요하다면 턴오프될 수 있다. 선택적으로, RF 전력은 필요하다면 SIP 증착동안 공급될 수 있다.
또한 코일(151)의 다른 단부는 코일(151)에 대해 DC 바이어스를 유지하기 위해 유사한 피드스루 스탠드오프(182)에 의해 차폐부(166)를 통해 접지에 절연적으로 결합되며, 바람직하게는 가변 커패시터일 수 있는 블로킹 커패시터(208)를 통해 결합된다. 코일(151)에 대한 DC 바이어스와 코일 스퍼터링 비율은 미국 특허 제6,375,810호에 개시된 것처럼 코일(151)에 결합된 DC 전력원(209)을 통해 제어될 수 있다. ICP 플라즈마 발생과 코일 스퍼터링을 위한 적절한 DC 전력 범위는 50 와트 내지 10 kW를 포함한다. 코일 스퍼터링 동안 바람직한 값은 500 와트이다. 코일(151)에 대한 DC 전력은 필요하다면 SIP 증착동안 턴오프될 수 있다.
상기 언급한 전력 레벨은 특정 어플리케이션에 따라 가변될 수 있다. 컴퓨터에 기초한 제어기(224)는 특정 어플리케이션에 따라 여러 소스의 전력 레벨, 전압, 전류 및 주파수를 제어하도록 프로그래밍될 수 있다.
RF 코일(151)은 코일로부터 스퍼터링된 재료가 웨이퍼를 가격할 때 낮은 기울기를 갖도록 챔버 내에서 비교적 낮게 위치할 수 있다. 그 결과, 코일 재료는 홀 기저부가 ICP 플라즈마에 의해 재스퍼터링될 때 홀의 부분을 보호하기 위해 홀의 상부 코너 상에 바람직하게 증착될 수 있다. 예시된 실시예에서, 코일은 코일의 주된 기능이 웨이퍼를 재스퍼터링하기 위해 플라즈마를 생성하고 재스퍼터링동안 보호 코팅부를 제공하는 것일 때 타겟 보다는 웨이퍼에 더 가깝게 위치하는 것이 바람직하다. 많은 어플리케이션에 있어서, 0 내지 500mm의 코일 대 웨이퍼 간격이 바람직하다. 그러나 실제 위치는 특정 어플리케이션에 따라 바뀔 수 있다. 코일의 주된 기능은 증착 재료를 이온화하기위해 플라즈마를 생성하는 어플리케이션에서, 코일은 타겟보다 가깝게 위치할 수 있다. 또한, 1996년 7월 10일에 출원된 플라즈마 발생용 스퍼터링 코일이란 제목의 본 출원인의 양수인에게 양도된 미국특허 제6,368,469호(대리인 문서 1390-CIP/PVD/DV)에 상세히 개시된 것처럼, RF 코일은 스퍼터링된 코일 재료를 갖는 증착된층의 균일성을 개선하기 위해 위치할 수 있다. 게다가, 코일은 헬릭스(helix) 또는 스피럴(spiral)로 형성된 다수의 턴(turn)을 가질 수 있고 복잡함과 비용을 감소시키고 세척을 용이하게 하기 위해 하나의 턴과 같이 적은 턴을 가질 수 있다.
다양한 코일 지지 스탠드오프와 피드스루 스탠드오프는 코일을 절연적으로 지지하는데 사용될 수 있다. 특히 SSS, SIP, ICP와 관련한 높은 전력 레벨에서의 스퍼터링이 높은 전압을 포함하기 때문에, 유전 절연체는 통상적으로 상이하게 바이어스된 부분을 분리시킨다. 따라서, 금속 증착으로부터 절연체를 보호하는 것이 바람직하다.
스탠드오프의 내부 구조는 엉켜있으며 이는 본 출원인의 양수인에게 양도되고 2000년 2월 29일에 출원된 "플라즈마 발생용 코일 및 코일 지지부"란 제목의 공동계류중인 미국특허 제09/515,880호에 상세히 개시되어 있다. 코일(151)과 플라즈마에 직접 노출된 스탠드오프의 부분은 증착되는 재료와 동일한 재료로 이루어진다. 따라서, 만약 증착되는 재료가 탄탈로 이루어진다면, 스탠드오프의 외부 부분은 역시 탄탈로 이루어진다. 증착된 재료의 접착을 용이하게 하기 위하여, 금속의 노출된 표면은 증착된 재료로부터 입자가 흐르는 것을 감소시키기 위해 비드 블래스팅(bead blasting)에 의해 처리될 수 있다. 탄탈 외에, 코일과 타겟은 구리, 알루미늄 및 텅스텐을 포함하는 다양한 증착 재료로 이루어질 수 있다. 엉켜짐은 코일로부터 차폐부까지 완전한 도전 경로의 형성을 방해할 정도의 크기를 가져야 한다. 이러한 도전 경로는 도전 증착 재료가 코일과 스탠드오프 위로 증착될 때 형성될 수 있다. 특정 어플리케이션에 따라, 엉클어진 통로의 크기, 형상 및 수가 다를 수 있다. 엉클어진 구조에 영향을 미치는 인자는 증착되는 재료의 타입과 스탠드오프가 세척되거나 대체되기 이전에 필요한 증착 회수를 포함한다. 스탠드오프까지 연장되는 볼트 또는 다른 전도성 부재에 RF 전력이 인가되는 점을 제외하고 적당한 피드스루 스탠드오프가 비슷한 방식으로 구성될 수 있다.
코일(151)은 중첩되지만 이격된 단부들을 갖는다. 이러한 배치에서 각 단부의 피드스루 스탠드오프(182)들은 도 4에 나타낸 바와 같이 진공 챔버 타겟(156)과 기판 홀더(162) 사이의 플라즈마 챔버 중심 축과 평행한 방향으로 적층된다. 그 결과, 코일의 일 단부로부터 코일의 다른 단부로의 RF 경로가 유사하게 중첩될 수 있어 웨이퍼 상의 공백을 피할 수 있다. 이러한 중첩 배치는 1998년 3월 16일자 제출되어 본 출원의 양수인에게 양도된 동시계속출원 제 09/039,695호에 개시된 바와 같이 플라즈마 발생, 이온화 및 증착의 균일성을 향상시킬 수 있는 것으로 여겨진다.
지지 스탠드오프(180)는 나머지 코일 둘레에 분배되어 적당한 지지력을 제공한다. 설명하는 실시예들에서 코일들은 각각의 바깥 면에 90도 간격으로 배치된 3개의 허브 부재(504)를 갖는다. 스탠드오프의 개수 및 간격은 특정 응용에 따라 달라질 수 있는 것으로 인식되어야 한다.
설명하는 실시예들의 코일(151)은 단일 회전 코일로 형성된 2 ×1/4 인치의 튼튼한 비드 블라스트 탄탈 또는 구리 리본으로 각각 만들어진다. 그러나, 그 밖의 고도의 도전 물질 및 형태가 이용될 수도 있다. 예를 들어, 코일의 두께는 1/16 인치로 감소되고 폭은 2 인치로 증가될 수도 있다. 또한, 웨이퍼 냉각이 요구되는 경우에 특히 공동 배관이 이용될 수 있다.
적당한 RF 발생기 및 매칭 회로들은 당업자들에게 잘 알려진 부품들이다. 예를 들어, 매칭 회로 및 안테나와의 최상 주파수 매칭을 위한 주파수 추적 능력을 갖는 ENI 제네시스 시리즈와 같은 RF 발생기가 적당하다. 코일에 RF 전력을 발생시키는 발생기의 주파수는 2 MHz가 바람직하지만 예를 들어 1 MHz 내지 200 MHz 및 비-RF 주파수 등의 다른 A.C. 주파수에서 범위가 달라질 수 있는 것으로 예상된다. 이러한 성분들은 프로그래밍 가능 제어기(224)에 의해서도 제어될 수 있다.
도 4로 돌아가면, 웨이퍼(158)를 지지하는 페데스털(162)의 상부 뒤에서 챔버 차폐부(166)의 하부 원통부(296)가 아래쪽으로 계속해서 솟아나온다. 그리고 챔버 차폐부(166)가 볼 부분(302)에서 방사상 안쪽으로 연장하고 가장 깊은 원통부(151)에서 거의 웨이퍼(158)의 높이로 페데스털(162)의 방사상 바깥에 이격되어 수직 위쪽으로 연장한다.
차폐부(164, 166)는 일반적으로 스테인레스 강철로 구성되며, 그 안쪽 면은 비드 블라스트 되거나 거칠게 되어 그 위에 스퍼터 증착된 물질의 점착을 촉진시킨다. 그러나 장기간의 스퍼터링 동안 어떤 지점에서, 증착된 물질이 보다 박리되기 쉬운 두께까지 쌓아 올려져 해로운 입자들이 생기게 한다. 이 지점에 이르기 전에 차폐부들이 세척되거나 새로운 차폐부들로 교체될 수 있게 되어야 한다. 그러나 보다 고가의 절연체(154, 168)는 대부분의 지속 주기에 교체될 필요가 없다. 더욱이, 지속 주기는 절연체들의 단락에 의해서가 아니라 차폐부들의 박리에 의해 결정된다.
가스 소스(314)는 질량 유량계(316)를 통해 스퍼터링 작업 가스, 통상적으로는 화학적 불활성 희가스 아르곤을 챔버(152)에 공급한다. 작업 가스는 챔버의 상부에 또는 도시한 바와 같이 차폐부 챔버 차폐부(166)의 바닥 또는 챔버 차폐부(166), 웨이퍼 클램프(160) 및 페데스털(162) 사이의 갭(318)을 통해 장치를 관통하는 하나 이상의 입구 파이프를 갖는 챔버 바닥에 수용될 수도 있다. 넓은 펌프 포트(322)를 통해 챔버(152)에 접속된 진공 펌프 시스템(320)은 챔버를 저압으로 유지한다. 기저 압력이 약 10-7 Torr 또는 더욱 낮게 유지될 수 있더라도, 작업 가스의 압력은 종래의 스퍼터링에서 통상적으로 약 1 내지 1000 milliTorr, SIP 스퍼터링에서 약 5 milliTorr 미만으로 유지된다. 컴퓨터 기반 제어기(224)는 DC 타겟 전원(200), 바이어스 전원(202) 및 질량 유량계(316)를 포함하는 반응기를 제어한다.
효과적인 스퍼터링을 제공하기 위해, 타겟(156)의 뒤에 마그네트론(330)이 배치된다. 마그네트론(330)은 자기 요크(336)에 의해 접속 및 지지된 자석(332, 334)을 마주 배치시킨다. 자석들은 챔버(152) 내에 마그네트론(330)에 인접한 자계를 형성한다. 자계는 전자를 트랩하고, 중성 전하에 대해 이온 밀도 또한 증가하여 고밀도 플라즈마 영역(338)을 형성한다. 마그네트론(330)은 보통 모터 구동 샤프트(342)에 의해 타겟(156)의 중심(340)에 대해 회전하여 타겟(156)의 스퍼터링에서 완전 커버리지를 달성한다. 충분한 이온화 밀도의 고밀도 플라즈마(338)를 달성하여 자체 유지 스퍼터링을 가능하게 하기 위해, 마그네트론(330)에 인접한 영역으로 전달되는 전력 밀도가 높아질 수 있다. 이는 상기 인용된 특허에서 Fu 및 Chiang에 의해 개시된 바와 같이 DC 전원(200)으로부터 전달되는 전력 레벨을 증가시키고 마그네트론(330)의 영역을 예를 들어 삼각형이나 트랙 형태로 감소시킴으로써 달성될 수 있다. 첨단이 타겟 중심(340)과 거의 일치하는 60도 삼각형 마그네트론은 언제라도 타겟의 약 1/6만을 커버한다. SIP 스퍼터링이 가능한 통상의 반응기에서는 1/4의 커버리지가 바람직한 최대치이다.
전자 손실을 감소시키기 위해, 내부 자석(332) 및 자극 표면으로 나타낸 내부 자극은 상당한 구멍을 갖지 않고 외부 자석(334) 및 극 표면으로 나타낸 연속하는 외부 자극에 의해 둘러싸여 져야 한다. 게다가, 이온화된 스퍼터 입자들을 웨이퍼(158)로 안내하기 위해, 외부 자극이 내부 자극보다 훨씬 더 높은 자속을 발생시켜야 한다. 연장하는 자계 라인들은 전자들을 포획하여 플라즈마를 웨이퍼(158)에 더 가깝게 확장시킨다. 자속 비는 적어도 150%, 바람직하게는 200%보다 커야한다. Fu의 삼각형 마그네트론의 두 가지 실시예는 동일한 세기지만 반대 극성을 갖는 6개 또는 10개의 내부 자석 및 25개의 외부 자석을 갖는다. 2차원 타겟 표면과 공동하여 설명하였지만, 다양한 불균형 마그네트론이 다양한 타겟 형상으로 사용되어 자기 이온화 플라즈마를 발생시킬 수 있다. 자석들은 원형 또는 그 밖의 형상을 포함하는 삼각형 이외의 형상을 가질 수 있다.
아르곤이 챔버에 수용되면, 타겟(156)과 챔버 차폐부(166) 사이의 DC 전압 차가 플라즈마에서 아르곤을 연소시키고, 양극 대전된 아르곤 이온들이 음극 대전된 타겟(156)에 끌어당겨 진다. 이온들은 상당한 에너지로 타겟(156)에 충돌하여 타겟 원자들 또는 원자 클러스터들이 타겟(156)으로부터 스퍼터링 되게 한다. 타겟 입자들의 일부는 웨이퍼(158)에 충돌함으로써 증착되고, 이로써 타겟 물질의 막을 형성한다. 금속 질화물의 반응성 스퍼터링에서, 질소가 소스(343)로부터 챔버에 추가로 수용되고, 이는 스퍼터링된 금속 원자들과 반응하여 웨이퍼(158) 상에 금속 질화물을 형성한다.
도 6 내지 도 9b는 본 발명의 일 측면에 따른 라이너층 형성의 연속하는 단면도를 나타낸다. 도 6을 참조하면, 층간 유전체(345)(예를 들어 실리콘 이산화물)가 상호 접속(348)의 제1 금속층(예를 들어 제1 구리층(347a)) 상에 증착된다(도 9b). 그리고 층간 유전체(345)에 비아(349)가 에칭되어 제1 구리층(347a)을 노출시킨다. 제1 금속층은 CVD, PVD, 전해 도금 또는 그 밖의 공지된 금속 증착 방법을 이용하여 증착될 수 있고, 하부에 있는 반도체 웨이퍼에 형성된 디바이스에 유전층을 통해 콘택에 의해 접속된다. 제1 구리층을 도금한 산화물이 에칭되는 에칭 챔버로부터 웨이퍼가 이동되여 제1 구리층과 금속층이 증착되는 제2 구리층 사이에 비아 형성을 위한 구멍을 형성하는 경우와 같이, 제1 구리층(347a)이 산소에 노출되면, 그 위에 절연/고저항 구리 산화물 층(347a')을 쉽게 형성할 수 있다. 이에 따라, 구리 상호 접속(348)의 저항을 감소시키기 위해, 임의의 구리 산화물 층(347a') 및 비아(349) 내 임의의 처리 부산물이 제거된다.
구리 산화물 층(347a')을 제거하기 전에 층간 유전체(345) 상부 및 노출된 제1 구리층(347a) 상부에 배리어층(351)이 증착된다(예를 들어 도 4의 스퍼터링 챔버 내에서). 탄탈, 탄탈 질화물, 티타늄 질화물, 텅스텐 또는 텅스텐 질화물을 바람직하게 포함하는 배리어층(351)은 이어서 증착된 구리층들이 층간 유전체(345)에 결합되어 열화되는 것을 방지한다(이전에 설명한 바와 같이).
예를 들어, 탄탈 질화물층의 증착을 위해 스퍼터링 챔버(152)가 구성되면, 탄탈 타겟(156)이 채용된다. 일반적으로, 아르곤과 질소 가스 모두 가스 입구(360)(각 가스마다 하나씩 다수의 입구가 사용될 수 있다)를 통해 스퍼터링 챔버(152)로 유입되는 한편, DC 전원(200)에 의해 타겟(156)에 전력 신호가 인가된다. 선택적으로, 전력 신호는 또한 제1 RF 전원(206)에 의해 코일(151)에 인가될 수도 있다. 안정 상태 처리 동안, 질소는 탄탈 타겟(156)과 반응하여 탄탈 타겟(156) 상에 질화물 막을 형성하므로 탄탈 질화물이 스퍼터링된다. 추가로, 비-질화 탄탈 원자들 또한 타겟으로부터 스퍼터링되고, 원자들은 질소와 결합하여 계단에 또는 페데스털(162)에 의해 지지된 웨이퍼(도시 생략) 상에 탄탈 질화물을 형성한다.
동작중, 배출구(362)에 효과적으로 연결된 스로틀 밸브가 중앙 위치에 배치되어 처리 가스(들)가 챔버에 유입되기 전에 증착 챔버(152)를 약 1 ×10-8의 바람직한 낮은 진공 레벨로 유지한다. 스퍼터링 챔버(152) 내에서 처리를 시작하기 위해, 아르곤과 질소 가스의 혼합물이 가스 입구(360)를 통해 스퍼터링 챔버(152)에 유입된다. DC 전원(200)에 의해 DC 전력이 탄탈 타겟(156)에 인가된다(가스 혼합물이 가스 입구(360)에 의해 스퍼터링 챔버(152)에 계속해서 유입되고 펌프(37)에 의해 그곳으로부터 배출되는 동안). 타겟(156)에 인가된 DC 전력은 아르곤/질소 가스 혼합물이 SIP 플라즈마를 형성하게 하고, 끌어 당겨져 타겟 물질(예를 들어 탄탈 및 탄탈 질화물)이 그로부터 배출되게 하는 타겟(156)에 충돌하는 아르곤 및 질소 이온들을 발생시키게 한다. 배출된 타겟 물질은 페데스털(162)에 의해 지지되는 웨이퍼(158)로 이동하여 증착된다. SIP 공정에 따라, 불균형 마그네트론에 의해 생성된 플라즈마가 스퍼터링된 탄탈 및 탄탈 질화물의 일부를 이온화시킨다. 기판 지지 페데스털(162)에 인가되는 RF 전력 신호를 조정함으로써, 기판 지지 페데스털(162)과 플라즈마 사이에 음의 바이어스가 형성될 수 있다. 기판지지 페데스털(162)과 플라즈마 사이의 음의 바이어스는 탄탈 이온, 탄탈 질화물 이온 및 아르곤 이온을 발생시켜 페데스털(162) 및 그 위에 지지된 임의의 웨이퍼 쪽으로 가속시킨다. 이에 따라, 중성 및 이온화된 탄탈 질화물이 웨이퍼 상에 증착되어, SIP 스퍼터링에 따른 양호한 측벽 및 상부 측벽 커버리지를 제공한다. 또한, RF 전력이 ICP 코일에 선택적으로 인가되면, 타겟(156)으로부터의 탄탈 질화물 물질이 웨이퍼 상에 증착되는 동시에 웨이퍼가 아르곤 이온에 의해 스퍼터-에칭될 수도 있다(즉, 동시 증착/스퍼터-에칭).
배리어층(351)의 증착 다음에, 바닥을 얇게 하거나 제거하는 것이 바람직하다면, 비아(349) 바닥에서의 배리어층(351)의 일부 및 그 밑의 구리 산화물 층(347a')( 및 임의의 처리 잔류물)이 도 7에 도시한 바와 같이 아르곤 플라즈마에 의해 스퍼터-에칭 또는 재스퍼터링될 수 있다. RF 전력을 ICP 코일에 인가함으로써 주로 이 단계에서 아르곤 플라즈마가 발생되는 것이 바람직하다. 이 실시예에서 스퍼터링 챔버(152)(도 4) 내의 스퍼터-에칭 동안, 타겟(156)에 인가되는 전력은 타겟(156)으로부터의 현저한 증착을 억제 또는 방지하도록 낮은 레벨(예를 들어, 100 또는 200W)로 이동 또는 감소되는 것이 바람직하다. 무-타겟 전력보다는 낮은 타겟 전력 레벨이 보다 균일한 플라즈마를 제공할 수 있고 현재 바람직하다.
ICP 아르곤 이온들은 전계(예를 들어 음의 자기 바이어스를 페데스털 상에 형성하게 하는 도 4의 제2 RF 전원(41)에 의해 기판 지지 페데스털(162)에 인가된 RF 신호)에 의해 배리어층(351)쪽으로 가속되어, 배리어층(351)에 충돌하고, 추진력 이송으로 인해 비아 구멍의 베이스로부터 배리어층 물질을 스퍼터링하고 이를 비아(349)의 측벽을 코팅하는 배리어층(351)의 일부를 따라 재분배한다. 아르곤 이온은 기판에 거의 수직인 방향으로 끌어당겨 진다. 그 결과, 비아 측벽의 스퍼터링이 거의 일어나지 않고 비아 베이스의 상당한 스퍼터링이 일어난다. 재스퍼터링을 용이하게 하기 위해, 페데스털 및 웨이퍼에 인가된 바이어스는 예를 들어 400 와트이다.
재스퍼터링 공정 파라미터들의 특정 값들은 특정 응용에 따라 달라질 수 있다. 동시계속출원 또는 계류중인 출원 제08/768,058호; 제09/126,890호; 제09/449,202호; 제09/846,581호; 09/490,026; 및 09/704,161호는 재스퍼터링 공정을 개시하며 그 전체가 여기에 참조로 포함된다.
본 발명의 다른 특징에 의하면, ICP 코일(151)은 타겟(156)과 같은 방식으로 탄탈 등의 라이너 물질로 형성되고 스퍼터링되어 웨이퍼 상에 탄탈 질화물을 증착하는 동시에 비아 바닥이 재스퍼터링된다. 재스퍼터링 공정중의 비교적 낮은 압력 때문에, 코일(151)로부터 스퍼터링된 증착 물질의 이온화 속도는 비교적 낮다. 그러므로 웨이퍼 상에 증착된 스퍼터링 물질은 주로 중성 물질이다. 또한, 코일(151)은 챔버에서 웨이퍼를 둘러싸고 인접하며 비교적 낮게 배치된다.
따라서, 코일(151)로부터 스퍼터링된 물질의 탄도는 비교적 작은 입사각을 갖는 경향이 있다. 그러므로 코일(151)로부터 스퍼터링된 물질은 웨이퍼 홀에서 깊이보다는 웨이퍼 표면과 웨이퍼에서 홀 또는 비아의 개구 둘레의 층(364)에 증착되는 경향이 있다. 코일(151)로부터의 이러한 증착 물질은 배리어층을 얇게 하는 것이 바람직하지 않은 측벽 위와 홀 개구 둘레보다는 주로 홀의 바닥을 재스퍼터링함으로써 배리어층이 얇아지도록 재스퍼터링으로부터 어느 정도의 보호를 제공하는데 사용된다.
배리어층(351)이 비아 베이스로부터 스퍼터-에칭되면, 아르곤 이온이 구리 산화물 층(347a')에 충돌하고, 산화물 층이 스퍼터링 되어 비아 베이스로부터 구리 산화물 층 물질을 재분배하며, 스퍼터링 물질의 일부 또는 전부가 비아(349)의 측벽을 코팅하는 배리어층(351)의 일부를 따라 증착된다. 구리 원자(347a") 또한 비아(349)의 측벽 상에 배치된 배리어층(351, 364)을 코팅한다. 그러나 비아 베이스로부터 비아 측벽으로 재분배되게 하는 원래 증착된 배리어층(351)은 구리 원자(347a")에 대한 확산 배리어이기 때문에, 구리 원자(347a")는 배리어층(351) 내에서 거의 부동이며, 층간 유전층(345)에 이르는 것이 억제된다. 따라서, 측벽 상에 증착되는 구리 원자(347a")는 코팅되지 않은 측벽 상에 재분배될 때 일반적으로 비아 대 비아 누설 전류를 발생시키지 않는다.
그 후에, 탄탈과 같은 제2 물질의 제2 라이너층(371)이 동일한 챔버(152) 또는 SIP 및 ICP 능력을 모두 갖는 유사한 챔버에서 이전 배리어층(351) 상에 증착된다(도 8). 탄탈 라이너층은 하부에 있는 탄탈 질화물 배리어층과 이어서 증착된 구리와 같은 도전체의 금속 상호 접속층 사이에 우수한 점착력을 제공한다. 그러나, 어떤 응용에서는 시드층 또는 홀 충진 이전에 단지 배리어층이나 단지 라이너층만을 증착하는 것이 바람직할 수도 있다.
제 2 라이너층(371)은 제 1 라이너층(351)과 동일한 방식으로 증착될 수 있다. 즉, 탄탈 라이너(371)는 타겟 마그네트론(330)에 의해 플라즈마가 우선 생성되는 제 2 SIP 단계에서 증착될 수 있다. 그러나, 질소는 탄탈 질화물 이외의 탄탈이 증착되도록 허용하지 않는다. SIP 스퍼터링에 따라, 양호한 측벽 및 상부 측벽 커버리지가 달성될 수 있다. ICP 코일(151)로의 RF 전력은 원한다면 감소되거나 제거될 수 있다.
탄탈 라이너층(371)의 증착 이후, 하부의 비아(349)의 저면에서 라이너층(371) 부분(및 임의의 처리 잔유물)은 저면의 박막화 또는 제거를 원한다면, 도 9a에 도시된 것처럼, 라이너층(351)의 저면과 동일한 방식으로 아르곤 플라즈마에 의해 스퍼터링-에칭되거나 재-스퍼터링될 수 있다. 아르곤 플라즈마는 RF 전력을 ICP 코일에 인가함으로써 이러한 단계에서 우선적으로 생성되는 것이 바람직하다. 다시, 스퍼터링 챔버(152)내의 스퍼터링-에칭시(도 4), 타겟(156)에 인가되는 전력은 제거되거나 낮은 레벨(예, 500W)로 감소되어, 제 2 라이너층(371)의 저면 커버리지의 박막화 또는 제거 동안, 타겟(156)으로의 많은 증착을 중지하거나 방지할 수 있다. 또한, 코일(151)은 라이너 측벽들과 상부들이 저면부 재-스퍼터링동안 거의 박막화되는 것을 방지하기 위해 아르곤 플라즈마로 층 저면을 재-스퍼터링하면서, 라이너 물질(374)을 증착하도록 스퍼터링하는 것이 바람직하다.
전술한 실시예에서, 비아의 측벽들상의 타겟 물질의 SIP 증착은 하나의 단계에서 우선적으로 수행되고, 비아 저면들의 ICP 재-스퍼터링과 코일(151)의 ICP 증착은 순차적인 단계에서 우선 수행된다. 원한다면, 타겟 물질, 및 비아(349)의 측벽들상의 코일 물질의 증착은 동시에 수행될 수 있다는 것을 이해해야 한다. 또한, 원한다면, 비아(349)의 저면에서의 증착되는 물질의 ICP 스퍼터링-에칭은 타겟 및 측벽들의 코일 물질의 증착과 동시에 수행될 수 있다는 것을 이해해야 한다. 동시적인 증착/스퍼터링 에칭은 코일(151), 타겟(156), 및 페데스털(162)에 인가되는 전력 신호들을 조정함으로써, 도 4의 챔버(152)와 함께 수행될 수 있다. 코일(151)은 플라즈마를 유지하는데 사용될 수 있기 때문에, 플라즈마는 웨이퍼상의 낮은 상대적 바이어스로(플라즈마를 유지하는데 필요한 것보다 더 적음) 웨이퍼에 스퍼터링할 수 있다. 스퍼터링 임계치에 도달하면, 특정 웨이퍼에 대하여 타겟(156)에 인가되는 DC 전력("DC 타겟 전력")과 비교해 볼 때 와이어 코일(151)에 인가되는 RF 전력("RF 코일 전력")의 비율은 스퍼터링-에칭과 증착간의 관계에 영향을 미친다. 예를 들어, 웨이퍼로 증가되는 이온화 및 순차적으로 증가되는 이온 충돌로 인해, RF:DC 전력 비가 더 높을수록 더 많은 스퍼터링-에칭이 일어날 것이다. 웨이퍼 바이어스를 증가시키면(예, 지지 페데스털(162)에 공급되는 RF 전력을 증가시키면), 스퍼터링 수율과 에칭율을 증가시키는 입력 이온들의 에너지가 증가된다. 예를 들어, 페데스털(162)에 인가되는 RF 신호의 전압 레벨을 증가시키면, 웨이퍼상에 발생되는 이온 에너지가 증가되는 반면에, 페데스털(162)에 인가되는 RF 신호의 듀티 사이클(duty cycle)을 증가시키면 발생 이온들의 수가 증가한다.
따라서, 웨이퍼 바이어스의 전압 레벨과 듀티 사이클은 모두 스퍼터링 속도를 제어하기 위해 조정될 수 있다. 또한, DC 타겟 전력을 유지하면, 증착에 이용가능한 배리어 물질의 양을 감소시킨다. 제로의 DC 타겟 전력은 스퍼터링-에칭만을 초래한다. 높은 RF 코일 전력 및 웨이퍼 바이어스와 결합되는 낮은 DC 타겟 전력을 통해 비아 측벽 증착과 비아 저면 스퍼터링을 동시에 수행할 수 있다. 따라서, 물질과 기하학 구조들에 대한 처리가 조정될 수 있다. 200mm 웨이퍼상에 통상 3:1 종횡비의 비아를 위해서, 배리어 물질로서 탄탈 또는 탄탈 질화물을 이용하고, 500W 내지 1kW의 DC 타겟 전력, 2 내지 3kW 이상의 RF 코일 전력, 250W 내지 400W 이상의 웨이퍼 바이어스가 동시에 인가되면(예, 100% 듀티 사이클), 웨이퍼 측벽들상의 배리어 증착 및 비아 저면으로부터 물질의 제거를 발생시킬 수 있다. DC 타겟 전력을 더 낮추면, 측별들상에 증착되는 물질이 적어진다. DC 타겟 전력을 더 높히면, 비아의 저면을 스퍼터링하기 위해 더 많은 RF 코일 전력 및/또는 웨이퍼 바이어스 전력이 필요하다. 코일(151)상의 2kW RF 코일 전력 레벨, 및 페데스털(162)상의 100% 듀티 사이클을 갖는 250W RF 웨이퍼 전력 레벨은 예를 들어, 증착/스퍼터링-에칭을 동시에 수행하는데 이용될 수 있다. 충분한 비아 측벽 커버리지를 통해 비아 저면으로부터 스퍼터링-에칭되는 물질에 의한 측벽들의 오염물을 방지할 수 있도록, 동시적인 증착/스퍼터링-에칭시 초기에(예, 특정 기하학적 구조들/물질들에 따라 몇 초 또는 그 이상 동안) 어떤 웨이퍼 바이어스도 인가하지 않는 것이 바람직할 수 있다.
예를 들어, 비아(349)의 동시적인 증착/스퍼터링-에칭시 초기에 웨이퍼 바이어스를 인가하지 않으면, 층간 유전체(345)의 측벽들상에 초기 배리어층의 형성을 용이하게 하고, 스퍼터링되는 구리 원자들이 이후의 증착/스퍼터링-에칭 동작동안 층간 유전체(345)를 오염시키지 못하도록 한다. 선택적으로, 증착/스퍼터링-에칭은 동일한 챔버내에서 "순차적으로" 수행될 수도 있거나, 제 1 처리 챔버내에서 배리어층(351)을 증착하고 별도의 제 2 처리 챔버(예, 어플라이드 머티어리얼스의 Preclean II 챔버와 같은 스퍼터-에칭 챔버)내에서 배리어층(351)과 구리 산화물층(374a')을 스퍼터링-에칭함으로써 "순차적으로" 수행될 수도 있다.
제 2 라이너층(371)의 증착과 저면 커버리지의 박막화 이후에, 구리 상호접속부(348)를 형성하기 위해 제 2 금속층(347b)이 증착된다(도 9b). 제 2 구리층(347b)은 제 2 라이너층(371) 상부 및 각 비아의 베이스에서 노출되는 제 1 구리층(347a)의 부분 상부에 도 9b에 도시된 것처럼, 코팅 또는 구리 플러그(347b')로서 증착될 수 있다. 구리층(347b)은 구리 시드층을 포함할 수 있다. 제 1 및 제 2 구리층들(347a, 347b)은 배리어층(351) 또는 제 2 라이너층(371)을 통해 접촉되는 것이 아니라 직접 접촉되기 때문에, 구리 상호접속부(348)의 저항은 감소될 수 있고 비아-대-비아 누설 전류도 감소될 수 있다. 그러나, 몇가지 응용예에서, 라이너층 또는 배리어층 또는 비아의 저면에서 둘 다의 코팅을 남겨두는 것이 바람직할 수 있다는 것을 이해해야 한다.
상호접속부가 라이너층 또는 층들과는 상이한 도전체 금속으로 형성되면, 상호접속층은 상이한 도전체 금속의 타겟을 갖는 스퍼터링 챔버에서 증착될 수 있다. 스퍼터 챔버는 SIP 타입 또는 ICP 타입일 수 있다. 그러나 현재의 구리 시드층의 증착은 도 10과 연계하여 이하에서 기술되는 형태의 챔버에서 이루어지는 것이 바람직하다. 금속 상호접속부는 CVD 및 전기화학적 도금을 포함하는 다른 형태의 챔버들과 장치에서 다른 방법들로 증착될 수도 있다.
구리 시드층은 도 10의 개념적인 횡단면도에서 도시된 것처럼 다른 플라즈마 스퍼터링 반응기(410)에 의해 증착될 수 있다. 시드층 및 다른 층들을 형성하기 위한 반응기(410)와 다양한 프로세스들은 2001년 11월 14일자로 제출된 공동 출원 시리얼 넘버 09/993,543(attorney docket No. 6265)에 개시되어 있고, 그 전체가 참조로 본 발명에 포함된다. 상기 출원에 기재된 바와 같이, 진공 챔버(412)는 대체로 원통형의 측벽들(414)을 포함하고, 상기 측벽들(414)은 전기적으로 접지된다. 전형적으로, 도시되지 않은 접지된 교체가능 차폐부들이 측벽들(414)이 스퍼터 코팅되는 것을 방지하기 위하여 측벽들(414) 내부에 배치되나, 상기 차폐부들은 진공 유지를 제외하고는 챔버 측벽들로서 작용한다. 스퍼터링될 금속으로 구성된 스퍼터 타겟(416)은 전기적 절연체(418)를 통해 챔버(412)에 실링된다. 페데스털 전극(422)은 기판(424)이 타겟(416)에 평행하게 대향하여 스퍼터 코팅되도록 지지한다. 프로세싱 공간은 차폐부들 내부에서 타겟(416)과 기판(424) 사이에서 한정된다.
스퍼터링 작용 가스, 바람직하게 아르곤은 질량 유량 제어기(mass flow controller)(428)를 통해 가스 공급기(426)로부터 챔버 안으로 측정(meter)된다. 도시되지 않은 진공 펌핑 시스템은 전형적으로 10-8 Torr 이하의 매우 낮은 기저 압력(base pressure)에서 챔버(412) 내부를 유지한다. 플라즈마 점화 동안, 아르곤 압력은 대략 5 milliTorr의 챔버 압력을 생성하는 양으로 공급되나, 이하에서 설명되는 바와 같이, 이러한 압력은 그 후 감소된다. DC 전원(434)은 아르곤 작용 가스가 전자들 및 양의 아르곤 이온들을 함유하는 플라즈마로 여기되도록 타겟(416)을 대략 -600VDC로 음으로 바이어싱한다. 양의 아르곤 이온들은 음으로 바이어싱된 타겟(416)으로 유인되고, 타겟으로부터 금속 원자들을 스퍼터링한다.
본 발명은 작은 내포 마그네트론(nested magnetron)(436)이 타겟(416) 뒤의 도시되지 않은 백 플레이트 상에서 지지되는 SIP 스퍼터링에 특히 유용하다. 챔버(412) 및 타겟(416)은 중심 축(438)에 대하여 대체로 원형 대칭이다. SIP 마그네트론(436)은 제 1 수직 자기 극성을 가진 내부 자극(440) 및 반대의 제 2 수직 자기 극성을 가진 둘레를 감싸는 외부 자극(442)을 포함한다. 두 자극은 자기 요크(magnetic yoke)(444)에 의해 지지되고, 자기 요크(444)를 통해 자기적으로 결합된다. 상기 요크(444)는 중심 축(438)을 따라 연장되는 회전 축(448) 상에서 지지되는 회전 암(446)에 고정된다. 축(448)에 연결되는 모터(450)는 마그네트론(436)이 중심 축(438)을 중심으로 회전하도록 한다.
불균형 마그네트론에서, 외부 자극(442)에 의해 생성된 면적에 대해 적분(integrated) 총 자속(magnetic flux)은 내부 자극(440)에 의해 생성된 것보다 더 크고, 바람직하게 적어도 150%의 자기 강도의 비율을 갖는다. 대향하는 자극들(440, 442)은 챔버(412) 내부에서 자계를 형성하고, 상기 자계는 타겟의 면에서 고밀도 플라즈마를 형성하여 스퍼터링 속도를 증가시키고 스퍼터링된 금속 원자들의 이온화 비율을 증가시키기 위하여, 타겟(416)의 면에 근접하여 평행한 강력한 성분들을 가진 세미-토로이드형(semi-toroidal)이다. 외부 자극(442)은 내부 자극(440)보다 자기적으로 더 강하기 때문에, 외부 자극(442)으로부터 나온 자계 부분은 자기 회로를 완성하기 위하여 외부 자극(442) 뒤편으로 다시 루핑(loop)되기 이전에 페데스털(422) 쪽으로 멀리 돌출된다.
예를 들어, 13.56MHz의 주파수를 갖는 RF 전원(454)은 기판(24) 상에서 음의 자기-바이어스를 형성하기 위하여 페데스털 전극(422)에 연결된다. 상기 바이어스는 인접한 플라즈마의 외장(sheath)을 가로질러 양으로 하전된 금속 원자들을 유인하고, 그에 의하여 인터-레벨 비아와 같은 기판의 높은 종횡비 홀들의 측면과 바닥을 코팅한다.
SIP 스퍼터링에서, 마그네트론은 작고, 높은 자력(magnetic strength)을 가지며, 높은 DC 전력량이 타겟에 인가되어 플라즈마 밀도가 타겟(416) 근처에서 1010cm-3 이상으로 상승한다. 이러한 플라즈마 밀도의 존재 하에서, 다수의 스퍼터링된 원자들은 양으로 하전된 금속 이온들로 이온화된다. 금속 이온 밀도는 더 멀리 금속 이온들을 스퍼터링하기 위하여 다수의 금속 이온이 타겟 후방으로 유인되기에 충분히 높다. 그 결과, 금속 이온들은 스퍼터링 프로세스에서 유효 작용 종으로서 아르곤 이온들을 적어도 부분적으로 대체할 수 있다. 즉, 아르곤 압력이 감소될 수 있다. 감소된 압력은 금속 이온들의 산란(scattering) 및 탈이온(deionization)의 이점을 갖는다. 구리 스퍼터링에 대하여, 소정의 조건하에서, 일단 플라즈마가 점화되면 자체 유지 스퍼터링(sustained self-sputtering; SSS)으로 불리우는 프로세스에서 아르곤 작용 가스를 완전히 제거하는 것이 가능하다. 알루미늄 또는 텅스텐 스퍼터링에 대하여, SSS는 가능하지 않으나, 아르곤 압력은 일반적인 스퍼터링에서 사용되는 압력으로부터 예를 들어, 1 milliTorr 이하로 상당히 감소될 수 있다.
본 발명의 일 실시예에서, 영구 자석들(462)의 보조 어레이(460)는 챔버 측벽들(414) 둘레에 배치되고, 대체로 기판(424)쪽으로 프로세싱 공간의 절반에 배치된다. 이러한 실시예에서, 보조 자석들(462)은 외부 자극(442)으로부터 자계의 불균형 부분을 끌어 내리기 위하여 내포 마그네트론(436)의 외부 자극(442)과 동일한 제 1 수직 자기 극성을 갖는다. 이하에서 상세히 설명되는 실시예에서는, 8개의 영구 자석들이 존재하나, 중심 축(438) 주위에 분포된 4 또는 그 이상의 임의의 개수가 유사하게 양호한 결과를 제공할 것이다. 프로세싱 영역에서의 유효 강도를 증가시키기 위하여 보조 자석들(462)을 챔버 측벽들(414) 내부에 그러나 바람직하게는 얇은 측벽 차폐부 외부에 배치시키는 것이 가능하다. 그러나, 측벽들(414) 외부의 배치는 전체 프로세싱 결과에 대해 바람직하다.
보조 자석 어레이는 원형 대칭 자계를 생성하기 위하여 중심 축(438)을 중심으로 대체로 대칭적으로 배치된다. 반면, 내포 마그네트론(436)은 중심 축(438)을 중심으로 비대칭적으로 배치된 자계 분포를 갖는데, 상기 자계 분포는 회전 시간에 걸쳐 평균하면 대칭이 된다. 다수 형태의 내포 마그네트론(436)이 존재한다. 덜 바람직하지만 가장 간단한 형태는 둥근 고리형 외부 자극(442)에 의해 둘러싸인 버튼 중심 자극(button center pole)(440)을 갖는 형태이고, 그리하여 자계는 챔버 축(438)로부터 변위된 축에 대해 대칭이며 내포 마그네트론 축은 챔버 축(438)을 중심으로 회전된다. 도 11의 하부 평면도에 도시된, 바람직한 내포 마그네트론은 삼각형이고, 중심 축(438) 근처에 정점을 갖고 타겟(416)의 외주변 근처에 기저부를 갖는다. 이러한 형태는 자계의 시평균이 원형 내포 마그네트론보다 더 균일하기 때문에 특히 유리하다.
회전 사이클 동안 특정 순간의 유효 자계는 도 10의 점선에 의해 도시된다. 세미-토로이드형 자계 BM는 타겟(16)의 표면에 근접하고 타겟(16) 표면에 평행한 강한 수평 성분을 제공하고, 그에 의하여 플라즈마의 밀도, 스퍼터링 속도, 및 스퍼터링된 입자들의 이온화 비율을 증가시킨다. 보조 자계 BA1, BA2는 보조 자석 어레이(460)로부터 그리고 내포 마그네트론(436) 자계의 불균형 부분으로부터 나온 자계의 합이다. 내포 마그네트론(436)으로부터 떨어진 챔버의 측면 상에서는, 내포 마그네트론(436) 자계의 불균형 부분으로부터 나온 성분 BA1이 우세한데, 그것은 기판(424) 쪽으로 멀리 연장되지 않는다. 그러나, 내포 마그네트론(436)의 측면 상의 챔버 측벽(414) 근처에서, 보조 자석(462)이 외부 자극(442)에 강하게 결합되고, 그 결과 기판(424) 쪽으로 멀리 돌출하는 자계 성분 BA2을 초래한다. 예시된 평면에서, 자계 성분은 두 성분 BA1, BA2의 결합이다.
이러한 구조는 보조 자석들(442)의 자기 극성들과 강한 외부 자극들(442)의 정렬 때문에 강한 수직 자계가 챔버 측벽 둘레를 스위핑(sweep)하는 내포 마그네트론(436) 바로 아래 영역 내 챔버 측벽(414)의 상당한 길이를 따라 상기 챔버 측벽(414) 근처에서 생성되는 결과를 낳는다. 그 결과, 가장 강하게 스퍼터링되는 타겟(416)의 영역에 인접한 챔버(412) 외부 측면 상에서 강한 수직 자계가 존재한다. 이러한 돌출 자계(projecting field)는 플라즈마의 영역을 연장시키는데 그리고 이온화된 입자들을 기판(424)으로 안내하는데 효과적이다.
보조 자석 어레이(460)는 2개의 반원형 자석 캐리어들(470)을 사용하여 구현될 수 있고, 자석 캐리어들 중 하나가 도 12에 정사도법으로 예시된다. 각각의 캐리어(470)는 캐리어 내부를 향하고 하나의 자석(462)을 포함하는 각각의 자석 어셈블리(474)를 수용하도록 크기가 정해진 4개의 리세스(472)를 포함한다. 자석 어셈블리(474)는 호(弧)형 상부 클램프 부재(476) 및 하부 클램프 부재(478)를 포함하고, 상기 클램프 부재들은 2개의 나사(480)가 2개의 클램프 부재들(476, 478)을 함께 조일 때 리세스들 안으로 원통형 자석(462)을 포착한다. 캐리어들(470) 및 클램프 부재들(476, 478)은 알루미늄과 같은 비자기 재료로 형성될 수 있다. 하부 클램프 부재(478)는 리세스(472) 안에 들어맞는 길이를 가지나, 상부 클램프 부재(476)는 리세스(472) 너머로 연장되는 단부들을 갖고, 상부 클램프 부재(476)를 관통하여 2개의 관통 홀(482)이 드릴링된다. 나사(484)가 자석 캐리어(470) 내 태핑된 홀들(486)에 고정되도록 하기 위하여 2개의 나사(484)는 각각의 관통 홀들을 통과하여, 자석(462)을 자석 캐리어(470) 상의 제자리에 고정한다. 상기와 같이 조립된 2개의 반원형 자석 캐리어(470)들은 챔버 벽(414) 둘레에 링으로 배치되고 일반적인 고정 수단에 의해 챔버 벽에 고정된다. 이러한 구조는 자석들(462)을 챔버 벽(414)의 외부에 직접 인접하도록 배치시킨다.
Wei Wang의 전자기 코일 내에 형성된 솔레노이드 자계는 영구 자석들로 이루어진 환형 어레이에 의해 형성된 원주형 쌍극 자계보다 반응기 챔버의 직경에 걸쳐 상당히 더 균일하다. 그러나, 도 13의 단면도에 도시된 바와 같이, 영구 자석들(462)을 챔버 벽의 주변 둘레에 배치된 전자기 코일들(490)의 환형 어레이로 대체함으로써 유사한 형태의 쌍극 자계를 형성하는 것이 가능하다. 코일들(490)은 전형적으로 중심 축(438)에 평행한 각각의 축들에 대해 나선형으로 감기고, 챔버 내부에 거의 동일한 쌍극 자계를 생성하기 위하여 전기적으로 전력이 공급된다. 그러한 설계는 보조 자계 강도 및 자계 극성의 신속한 조정을 허용하는 이점을 갖는다.
본 발명은 구리의 SIP 스퍼터링에 적용되었다. 종래의 SIP 반응기는 시트 저항 측정들에 의해 결정된 9%의 불균일성을 갖는 구리 막을 스퍼터링하는 반면, 보조 마그네트론은 단지 1%만의 불균일성을 생성하기 위하여 최적화될 수 있다. 개선된 균일성은 감소된 증착 속도의 희생으로 얻을 수 있지만, 깊은 홀들 내 얇은 구리 시드층들의 증착을 위하여, 더 낮은 증착 속도는 더 나은 프로세스 제어에 바람직할 수 있다.
비록 본 발명은 SIP 스퍼터 반응기의 사용을 개시하였지만, 보조 영구자석 어레이는, 미국특허 6,251,242의 SIP* 반응기, 미국특허 6,179,973의 중공 캐소드 타겟 또는 2000년 7/8월, 클로운 외, J.Vac. Sci Technology의 "개선된 금속배선을 위한 중공-캐소드 마그네트론 소스를 이용한 이온화된 물리적-기상 증착", 미국특허 6,045,547의 유도 결합된 IMP 반응기, 또는 예를 들어 2000년 IEEE, 와다 등의 "이온 반사기를 갖는 자기 이온 스퍼터링(SIS)을 이용한 0.13 마이크로미터 기술 세대를 위한 Cu 듀얼 다마신 처리"에 기술되는 것처럼 이온반사기를 이용하여 기판으로의 이온 플럭스를 제어하는 자기 이온 스퍼터링(SIS) 시스템과 같이, 다른 타겟과 전력 장치들에 바람직하게 적용될 수 있다. 밸런스된 마그네트론 및 고정 마그네트론들과 같은, 다른 마그네트론 장치들이 이용될 수도 있다. 또한, 보조 자석들의 극성은 상부 마그네트론의 외부 폴의 자기 극성에 평행하거나 평행하지 않을 수 있다. Al, Ta, Ti, Co, W 등과 이들 중 몇 가지 내화 금속들의 질화물들을 포함하는 다른 물질들이 스퍼터링될 수 있다.
따라서, 보조 저속 어레이는 마그네트론 스퍼터링에 유용한 자기장의 부가적인 제어를 제공한다. 그러나, 부분적으로 중성 플럭스로 더 깊은 홀 코팅을 달성하기 위해, 타겟(416)과 웨이퍼(424) 사이의 거리를 증가시키는 것, 즉 롱-쓰로우(long-throw) 모드로 동작하는 것이 바람직하다. 도 4의 챔버와 연계하여 전술한 바와 같이, 롱-쓰로우에서, 타겟-대-기판 간격은 기판 직경의 절반보다 통상 더 크다. SIP 구리 시드 증착에 이용될 때, 200mm 웨이퍼에 대해 140% 웨이퍼 직경보다 더 크고(예, 290mm 간격), 300mm 웨이퍼에 대해 130%보다 더 크지만(예, 400mm 간격), 기판 직경의 90% 및 100%보다 더 큰것을 포함하여 80%보다 더 큰 간격이 적절하다고 판단된다. 많은 응용예들에서, 50 내지 1000mm의 웨이퍼 간격에 대한 타겟이 적합하다고 판단된다. 종래의 스퍼터링의 롱-쓰로우는 스퍼터링 속도를 감소시키지만, 이온화된 스퍼터 입자들은 그렇게 많은 감소를 일으키지 않는다.
도 4의 챔버 및 도 10의 챔버에 의해 형성될 수 있는 구조의 일 실시예는 도 14a의 횡단면으로 도시된 비아이다. 시드 구리층(492)은 도 4의 챔버에서 형성되는 라이너층들 상부의 비아 홀(494)내에 도 10의 챔버로 증착되고, SIP 및 ICP를 촉진하는 조건들하에서 전술한 TaN 배리어(351, 364) 및 Ta 라이너층들(371, 374)과 같은 하나 이상의 배리어 및 라이너층들을 포함할 수 있다. SIP 구리층(492)은 예를 들어, 50 내지 300nm, 바람직하게는 80 내지 200nm의 블랭킷 두께로 증착될 수 있다. SIP 구리 시드층(492)은 비아 측벽들상에 2 내지 20nm, 더욱 바람직하게는 7 내지 15nm 범위의 두께를 갖는 것이 바람직하다. 좁은 홀들의 관점에서, 50nm를 초과하는 측벽 두께는 몇 가지 어플리케이션들에 최적이 아닐 수 있다. 막의 품질은 페데스털 온도를 0℃ 미만, 바람직하게는 -40℃ 미만으로 감소시킴으로써 몇 가지 어플리케이션들에서 개선될 수 있다. 그러한 어플리케이션들에서, 신속한 SIP 증착은 장점이다.
만약 예를 들어, 스퍼터링 챔버(410)가 구리층들의 증착을 위해 구성되면, 구리 타겟(416)이 사용된다. 동작시, 챔버 배기 출구와 결합되어 동작되는 스로틀 밸브는 챔버로 처리 가스(들)의 유입 이전에, 약 1×10-8torr의 원하는 낮은 진공 레벨로 증착 챔버(410)를 유지하기 위해 중간-위치에 배치된다. 스퍼터링 챔버(410)내에 처리를 개시하기 위해, 아르곤 가스가 가스 입구(428)를 통해 스퍼터링 챔버(410)로 유입된다. 롱 쓰로우 SIP 챔버에 구리 시드의 증착을 위해, 0-2mTorr와 같은 매우 저압이 바람직하다. 도시된 실시예에서, 0.2mTorr의 압력이 적합하다. DC 전력은 DC 전력 공급기(434)를 통해 구리 타겟(416)에 인가된다(가스 혼합물은 가스 입구(360)를 통해 스퍼터링 챔버(410)로 계속 유입되고 적정 펌프를 통해 이로부터 펌프되면서). 타겟(416)에 인가되는 전력은 200mm 웨이퍼, 구리 타겟에 대해 20-60kW 범위일 수 있다. 일 예로서, 전력 공급기(434)는 -600V DC 전압에서 구리 타겟(416)에 38kW를 인가할 수 있다. 300mm 웨이퍼들과 같은 대형 웨이퍼에 대해, 56kW와 같은 더 큰 값들이 적합할 수 있음을 예상해야 한다. 특정 어플리케이션에 따라 다른 값들이 사용될 수도 있다.
타겟(416)에 인가되는 DC 전력은 아르곤이 SIP 플라즈마를 형성하도록 하고 부착되는 아르곤 이온들을 생성하며, 타겟 물질(예, 구리)이 배출되도록 타겟(416)과 충돌한다. 배출되는 타겟 물질은 이동하여 페데스털(422)에 의해 지지되는 웨이퍼(424)상에 증착된다. SIP 처리에 따라, 불균형 마그네트론에 의해 생성되는 플라즈마는 스퍼터링되는 구리의 일부를 이온화한다. 기판 지지 페데스털(422)에 인가되는 RF 전력 신호를 조정함으로써, 네거티브 바이어스가 기판 지지 페이스털(422)과 플라즈마 사이에 생성될 수 있다.
페데스털(422)에 인가되는 전력은 구리 시드 증착에 대해 0-1200W 범위일 수 있다. 일 예로서, RF 전력 공급기(454)는 200mm 웨이퍼에 대해 300W를 페데스털(422)로 인가할 수 있다. 300mm 웨이퍼들과 같은 대형 웨이퍼들을 위해, 더 큰 값들이 적합할 수 있음을 예상해야 한다. 특정 어플리케이션에 따라, 다른 값들도 사용될 수 있다.
기판 지지 페데스털(422)과 플라즈마 사이의 네거티브 바이어스는 구리 이온들과 아르곤 이온들이 페데스털(422)과 그 상부에 지지되는 웨이퍼를 향해 가속되도록 한다. 따라서, 중성 및 이온화된 구리는 웨이퍼상에 증착될 수 있고, SIP 스퍼터링에 따라 양호한 저면, 측벽 및 구리 측벽 커버리지를 제공할 수 있다. 또한, 웨이퍼는 타겟(416)으로부터 구리 물질이 웨이퍼상에 증착되는 동시에, 아르곤 이온들에 의해 스퍼터링-에칭될 수 있다(즉, 동시적인 증착/스퍼터링-에칭).
시드층(492)의 증착 이후 또는 증착 동안, 비아(494)의 저면(496)에서 시드층(492)의 부분은 저면의 재분배를 원한다면, 도 14b에 도시된 것처럼, 아르곤 플라즈마를 통해 스퍼터링-에칭되거나 재-스퍼터링될 수 있다. 저면(496)은 도 14b에 도시된 것처럼, 구리 시드층의 저면 코너 영역들(498)의 커버리지 두께를 증가시키도록 재분배될 수 있다. 많은 어플리케이션들에서, 구리 시드층 저면(496)은 비아를 통해 적정한 시드층 커버리지를 제공하기 위해 완전히 제거되지 않는 것이 바람직하다.
아르곤 플라즈마는 전력을 타겟 및 페데스털에 인가함으로써 SIP 플라즈마로서 이러한 재-스퍼터링 단계에서 생성되는 것이 바람직하다. SIP 아르곤 이온들은 전기장(예, 페데스털 상에 네거티브 자기 바이어스를 형성하도록 하는, 도 10의 제 2 RF 전력 공급기(454)를 통해 기판 지지 페데스털(422)로 인가되는 RF 신호)에 의해 시드층(492)을 향해 가속되고, 시드층(492)에 충돌하며, 운동량 전이로 인해, 비아 개구의 베이스로부터 시드층 물질을 스퍼터링하고 이를 비아(349)의 저면 코너들을 코팅하는 시드층(492)의 부분(498)을 따라 재분배한다.
아르곤 이온들은 이와 거의 수직 방향으로 기판에 부착된다. 따라서, 비아 측벽의 스퍼터링은 거의 없지만, 실질적으로 비아 베이스의 스퍼터링이 발생한다. 이러한 실시예에서 스퍼터링 챔버(410)내에 구리 시드층의 스퍼터링동안(도 10), 페데스털(422)에 인가되는 전력은 예를 들어 600-1200W, 또는 900W와 같이, 더 높은 값으로 증가되어, 구리 시드층 저면의 재분배를 용이하게 할 수 있다. 따라서, 본 예에서, 페데스털 전력은 재-스퍼터링의 재분배 효과를 향상시키기 위해 600W 이하의 레벨(예, 300W)에서 600W 보다 더 큰 레벨(예, 900W)로 상승된다.
다른 예로서, 타겟(416)에 인가되는 전력은 예를 들어, 30kW 내지 28kW와 같이, 더 낮은 값으로 감소되어, 구리 시드층 저면의 재분배를 용이하게 하도록 타겟(416)으로부터 증착을 중지시킬 수 있다. 타겟 전력이 없는 것을 제외하고, 낮은 타겟 전력 레벨은 더 균일한 플라즈마를 제공할 수 있고, 현재 타겟 전력이 시드층 저면 재분배를 위해 감소되는 상기한 실시예들에서 바람직하다. 따라서, 이러한 예에서, 타겟 전력은 30000 이상의 레벨(예, 39kW)에서 30000 이하의 레벨(예 28kW)로 하강되어 재-스퍼터링을 향상시킨다.
또 다른 예로서, 구리 시드층 저면의 재-스퍼터링은 타겟 및 페데스털 전력 레벨들이 시드층 증착시 상대적으로 일정하게(각각 38kW 및 300W와 같이) 유지될 수 있도록 구리 시드층 증착을 통해 동시에 수행될 수 있다. 다른 실시예들에서, 타겟 전력 감소는 선택적일 수 있거나 시드층 저면 재분배를 용이하게 하기 위해 페데스털 전력과 결합되어 증가될 수 있다.
재-스퍼터링 처리 파라미터들의 특정 값들은 특정 어플리케이션에 따라 변할 수 있다. 공동출원 또는 발행된 출원들 08/768,058; 09/126,890; 09/449,202; 09/846,581; 09/490,026; 및 09/740,161은 재-스퍼터링 처리들을 개시하고 있고, 그 전체가 본 발명에 참조로 포함된다.
SIP 구리 시드층(492)은 양호한 저면 및 측벽 커버리지를 갖고 향상된 저면 코너 커버리지를 갖는다. 구리 시드층(492)이 증착된 후, 전기도금 전극들 중 하나로서 시드층(492)을 이용하여 바람직하게 전기화학적 도금에 의해 도 1에서처럼, 홀은 구리층(18)으로 충진된다. 선택적으로, SIP 구리 시드층(492)의 부드러운 구조는 또한 표준 스퍼터링 또는 물리적 기상 증착(PVD)에 의해 구리의 리플로우 또는 고온 증착을 촉진시킨다.
도 4 및 도 10의 챔버들은 이온화 및 중성 원자 플럭스를 이용한다. 본 발명에 그 전체가 참조로 포함되는 미국특허 6,398,929(attorney docket No. 3920)에 개시된 것처럼, DC 마그네트론 스퍼터링 반응기에서 이온화 및 중성 원자 플럭스 사이의 분재는 유전체층의 홀 내에 바람직한 층을 생성하도록 조정될 수 있다. 그러한 층은 스퍼터링되는 구리 중성자 층 상부에 화학적 기상 증착(CVD)에 의해 증착되는 구리 시드층과 결합되거나 그 자체로 사용될 수 있다. 구리 라이너층은 특히 전기도금된 구리를 위한 얇은 시드층으로서 유용하다.
종래기술의 DC 마그네트론 스퍼터링 반응기들은 종래의 작업 가스 스퍼터링 또는 자체 유지 스퍼터링에 관한 것이었다. 상기 두 가지 방법들은 상이한 형태의 스퍼터링을 강조한다. 한편, 구리 라이너를 위한 반응기는 이온화된 구리 원자들과 중성자들 사이의 분배를 조절하기 위한 다양한 종래기술의 특징들을 결합하는 것이 바람직하다. 일 예로서 반응기(550)는 도 15의 개념적 횡단면도에 도시된다. 도 4, 10 및 13의 반응기들은 캘리포니아 산타클라라의 어플라이드 머티어리얼스사에서 이용가능한 Endura PVD 반응기의 변형을 기반으로 하는 도 15의 반응기의 이러한 특징들을 이용할 수 있다. 반응기(550)는 일반적으로 금속으로 이루어지고 전기적으로 접지되며, 타겟 절연기(554)를 통해 웨이퍼(558)상에 스퍼터링 증착되는 구리 또는 구리 합금으로 구성되는 적어도 표면부를 갖는 PVD 타겟(556)으로 밀봉되는 진공 챔버(552)를 포함한다. 합금 엘리먼트는 통상 5 wt% 미만이고, 특히 적정한 배리어들이 형성되지 않는다면 순수 구리가 사용될 수 있다. 웨이퍼 클램프(560)는 페데스털 전극(562) 상에 웨이퍼(558)를 유지시킨다. 도시되지 않은 저항성 히터들, 냉매 채널들, 및 페데스털(562)의 열 전달 가스 캐비티는 페데스털의 온도가 -40℃ 미만의 온도로 제어될 수 있도록 하므로, 웨이퍼 온도를 유사하게 제어할 수 있다.
제 2 유전체 차폐부 절연기(568)와 분리된 플로팅 차폐부(564) 및 접지된 차폐부(566)는 스퍼터링되는 물질로부터 챔버 벽(552)을 보호하도록 챔버(552)내에 유지된다. 접지된 차폐부(566)는 또한 캐소드 타겟(556)과 반대로 애노드 접지면으로서 작용하여, 용량적으로 플라즈마를 지원한다. 소정의 전자들은 음전하를 만들기 위해서 플로팅 차폐부(564) 상에 증착한다. 음 전위는 부가의 전자들이 증착되는 것을 밀어낼 뿐만 아니라 메인 플라즈마 영역에 전자들을 제한하고, 전자 손실을 감소시키고, 저압 스퍼터링을 유지하고, 플라즈마 밀도를 증가시킨다.
타겟 및 차폐부의 세부사항은 도16에 확대 단면도로 도시된다. 타겟(556)은 알루미늄 또는 티타늄 후방 플레이트(570)를 포함하고, 거기에 구리 타겟부(572)가 납땜 또는 확산 결합된다. 후방 플레이트(570)의 플랜지(573)는 타겟 절연체(554)에 중합 타겟 O-링(574)을 통해서 밀폐된 진공상태에 의존하며 진공상태이고, 이는 바람직하게 알루미나와 같은 세라믹으로 구성된다. 타겟 절연체(554)는 챔버(552)에 어댑터 O-링(575)을 통해서 밀폐된 진공상태에 의존하며 진공상태이고, 실제로 메인 챔버 바디부에 밀폐된 알루미늄 어댑터이다. 금속 클램프 링(576)은 내부의 방사면 상에서 위쪽으로 연장되는 환형 림(577)을 가진다. 도시되지 않은 볼트들이 금속 클램프 링(576)을 챔버(552)의 안쪽으로 연장한 선반(578)에 고정하고, 접지 차폐부(566)의 플랜지(579)를 포획한다. 따라서, 접지 차폐부(566)는 기계 및 전기적으로 접지 챔버(552)에 연결된다.
차폐 절연체(568)는 자유롭게 클램프 링(576)에 의존하고, 알루미나와 같은 세라믹 재료로부터 기계가공된다. 이는 컴팩트하지만, 반응기의 온도 순환 동안에 강도를 제공하기 위해서 더 작은 폭과 비교해서 대략 165㎜의 비교적 큰 높이를 가진다. 차폐 절연체(568)의 더 낮은 부분은 클램프 링(576)의 림(577)의 외부를 고정하는 내부 환형 리세스를 가진다. 림(577)은 클램프 링(576)에 대해서 차폐 절연체(568)의 중심 내부 직경으로 역할할 뿐만 아니라 세라믹 차폐 절연체(568) 및 메인 프로세싱 영역에 도달하는 것으로부터 금속 링 클램프(576) 사이의 슬라이딩 표면(580)에서 생성된 먼지들에 대한 장벽으로 역할한다.
플로팅 차폐부(564)의 플랜지(581)는 자유롭게 차폐 절연체(568)에 장착되고, 플랜지(581) 외부에는 차폐 절연체(568)의 위쪽 외부 코너에서 형성된 환형 리세스로 하향 연장하는 탭 또는 림(582)을 가진다. 따라서, 탭(582)은 차폐 절연체(568)의 외부 직경에서 타겟(556)을 기준으로 플로팅 차폐부(564)와 중심설정된다. 차폐 탭(582)은 차폐 절연체(568)로부터 플라즈마 다크 스페이스를 정렬시키기에 충분히 작고, 차폐 절연체(568)의 충돌을 방지하기에 충분히 큰 좁은 갭 만큼 분리되어 있고, 플로팅 차폐부(581)는 탭(582)의 내부 및 위에 슬라이딩 접촉 영역(583)의 차폐 절연체(568)에 의존한다.
좁은 채널(584)은 플로팅 차폐부(564)의 헤드(585) 및 타겟(556) 사이에 형성된다. 이는 플라즈마 다크 스페이스로 역할하기 위해서 대략 2mm의 폭을 가진다. 좁은 채널(584)은 차폐 헤드(585) 및 타겟 절연체(554) 사이의 상부 후방 갭(584a)에 후방 플레이트 플랜지(574)의 아래쪽 돌출 리지(586)를 통과하는 것으로 예시된 것보다 훨씬 방사상 안쪽으로 연장한 경로에서 연속한다. 상기 엘리먼트들의 구조 및 성질들은 Tang 등에 의해서 개시되고, 1998년 10월30일에 제출된 미국 특허출원 09/191,253호의 구조 및 성질들과 유사하다. 상부 후방 갭(584a)은 실온에서 대략 1.5mm의 폭을 가진다. 차폐 엘리먼트들이 온도 순환될 때, 변형되기 쉽다. 타겟(556)의 근방에 좁은 채널(584)보다 더 작은 폭을 가지는 상부 후방 갭(584a)은 좁은 채널(584)에서 플라즈마 다크 스페이스를 유지하기에 충분하다. 후방 갭(584a)은 차폐 절연체(568) 및 링 클램프(576) 사이의 하부 후방 갭(584b)으로 내부로 및 외부의 챔버 바디부(552)로 아래쪽으로 연속한다. 하부 후방 갭(584b)은 세라믹 차폐 절연체(568) 및 클램프 링(576) 및 플로팅 차폐부(564) 사이의 슬라이딩 표면들(580,583)에서 생성된 세라믹 입자들을 모으기 위해서 공동(cavity) 역할을 한다. 차폐 절연체(568)는 또한 방사상 안쪽면 위에 슬라이딩 표면(583)으로부터 세라믹 입자들을 모으기 위해서 상부 안쪽 코너에 좁은 리세스(583a)를 포함한다.
플로팅 차폐부(564)는 아래쪽으로 연장하고, 플랜지(581)로부터 아래로 연장한 넓은 상부 원통부(588)를 포함하고, 하부단에서 전이부(592)를 통해서 더 좁은 원통부(590)에 연결된다. 유사하게, 접지 차폐부(566)는 외부에 더 넓은 상부 원통부(594)를 가지고, 플로팅 차폐부(564)의 상부 원통부(588)보다 더 넓다. 접지 상부 원통부(594)는 상부단에서 접지 차폐 플랜지(580)에 연결되고, 하부단에서 대략 챔버의 방사상으로 연장한 전이부(598)를 통해서 좁은 하부 원통부(596)에 연결된다. 접지 하부 원통부(596)는 외부를 고정하고, 플로팅 하부 원통부(590)보다 더 넓다; 하지만, 플로팅 상부 원통부(590)보다는 대략 3mm의 방사상 분리만큼 더 작다. 2개의 전이부들(592,598)은 둘 다 수직 및 수평으로 오프셋된다. 따라서 복잡한 좁은 채널(600)은 플로팅 및 접지 차폐부들(564,566) 사이에 형성되고, 접지 하부 원통부(576) 및 2개의 수직 채널부 사이의 시야의 직선을 보증하지 않는 플로팅 상부 원통부(564) 사이의 오프셋을 가진다. 채널(600)의 목적은 구리 증착으로부터 클램프 링(576) 및 차폐 절연체(568)를 보호하면서 2개의 차폐부들(564,566)을 전기적으로 절연시키는 것이다.
차폐부들(564,566)의 하부 원통부들(590,596) 사이의 채널(600)의 하부는 4:1 이상, 바람직하게는 8:1 이상의 종횡비를 가진다. 채널(600)의 하부는 예시적으로 0.25cm의 폭 및 2.5cm의 길이를 가지고, 바람직한 범위는 0.25 내지 0.3cm 및 2 내지 3cm를 가진다. 따라서, 구리 이온들 및 채널(600)을 침투하는 산란된 구리 원자들은 클램프 링(576) 및 차폐 절연체(568)를 향해서 자신들의 길을 찾기 전에, 차폐부들로부터 여러 번 바운스하고, 접지 원통부(594)에서 정지한다. 한 번의 바운스는 차폐부에 의해서 흡수된 이온을 만든다. 2개의 전이부들(592,598) 사이의 채널(600)에서의 2개의 인접한 90도 턴 및 구부림은 또한 구리 플라즈마로부터 차폐 절연체(568)를 절연시킨다. 유사하고 감소된 효과는 60도 굽힘 또는 45도 굽힘으로 달성되지만, 더 효과적인 90도 굽힘이 차폐 재료를 형성하기에 더 쉽다. 90도 턴은 어떠한 방향에서 들어오는 구리 입자들이 적어도 하나의 높은 각도의 충돌을 가지고, 따라서 상부 접지 원통부(594)에서 정지되어 에너지를 가장 많이 잃을 가능성이 증가하기 때문에 훨씬 더 효과적이다. 90도 턴은 구리 입자들에 의해서 직접 방사되는 것으로부터 클램프 링(576)과 차폐 절연체(568)를 가린다. 구리는 바람직하게 플로팅 전이부(592)의 바닥 수평 표면상 및 수직 상부 접지 원통부(594) 상에서 90도 턴의 하나의 양쪽 끝에서 증착한다. 또한, 컨볼루트 채널(600)은 접지 차폐부(566)의 수평 전이부(598) 상에서의 프로세싱 동안에, 차폐 절연체(568)로부터 생성된 세라믹 입자들을 모은다. 상기 모아진 입자들은 또한 모아진 구리에 의해서 붙여진다.
도15의 확대도로 돌아가서, 접지 차폐부(566)의 하부 원통부(596)는 웨이퍼(558)를 지지하는 페데스털(562)의 상부 후방의 웰에 아래쪽으로 연속한다. 접지 차폐부(562)는 보울부(602)의 방사상 아래쪽으로 및 가장 안쪽 원통부(604)의 수직 위쪽으로 연속하고, 웨이퍼(558)는 대략 상승하지만, 페데스털(562)의 방사상 바깥으로 떨어져 있다.
차폐부들(564,566)은 일반적으로 스테인레스강으로 구성되고, 내부면들은 비드 블레이스트되거나 또는 그렇지 않으면 증착된 구리 스퍼터의 접착을 증가하기 위해서 거칠어진다. 그러나 연장된 스퍼터링 동안에 몇몇 포인트에서, 구리는 플레이크하는 두께까지 만들어져서, 해로운 입자들을 만든다. 상기 포인트에 도달하기 전에, 차폐부들은 클린되거나 또는 신선한 차폐부들로 대체되어야만 한다. 그러나 더 고가의 절연체(554, 568)는 대부분 유지 순환에서 대체될 필요가 없다. 또한, 유지 순환은 절연체들의 전기 쇼트에 의해서가 아니라 차폐부의 플레이킹에 의해서 결정된다.
언급된 것처럼, 플로팅 차폐부(564)는 소정의 원자 전하들을 축적하고, 음전위를 만든다. 따라서, 플로팅 차폐부(564)에 부가의 원자 손실을 밀어내고, 타겟(556)에 더 가까운 플라즈마를 제한한다. Ding등은 미국 특허 5,736,021호와 다소 유사한 구조를 가진 유사한 효과를 개시했다. 그러나 도 16의 플로팅 차폐부(564)는 Ding등의 상응하는 부분에서 했던 것보다 훨씬 더 멀리 연장하는 하부 원통부(590)를 가지고, 따라서 큰 부피에 대해서 플라즈마를 제한한다. 그러나 플로팅 차폐부(564)는 타겟(556)으로부터 접지 차폐부(566)를 차폐하여 타겟(556)으로부터 너무 많이 연장하지 못하게 한다. 너무 길다면, 플라즈마를 때리기가 어렵고; 너무 짧다면, 원자 손실이 증가되어 플라즈마가 저압에서 유지될 수 없고, 플라즈마 밀도가 떨어진다. 최적의 길이는 도16에 도시된 것처럼, 플로팅 차폐부(564)의 하부 팁(606)이 7.6cm인 플로팅 차폐부(564)의 전체 축 길이를 가진 타겟(556)의 면으로부터 6cm분리된 곳에서 발견된다. 3개의 서로 다른 플로팅 차폐부(564)가 구리 스퍼터링이 유지되는 최소 압력에 대해서 실험되었다. 결과들은 타겟 전력의 1kW 및 18kW로 도17에 도시된다. 가로축은 전체 차폐 길이로 표현되고, 차폐 팁(606) 및 타겟(556) 사이의 분리는 1.6cm이하이다. 분리의 바람직한 범위는 5 내지 7cm이고, 길이는 6.6 내지 8.6cm이다. 차폐 길이를 10cm로 연장하는 것은 최소 압력을 다소 감소시키지만, 플라즈마를 충동시키는 어려움을 증가시킨다.
도15를 다시 참조하여, 선택 DC 전원장치(610)는 플라즈마를 점화하고 유지하기 위해서, 접지 차폐부(566)에 대해서 대략 -400 내지 -600VDC로 타겟(556)을 네거티브로 바이어스한다. 1 및 5kW 사이의 타겟 전력은 일반적으로 플라즈마를 점화하기 위해서 사용되고, 10kW 이상의 전력은 여기에 설명된 SIP 스퍼터링에 대해서 바람직하다. 일반적으로, 페데스털(562) 및 웨이퍼(558)는 전기적으로 플로팅하게 남겨지지만, 그럼에도 불구하고, 네거티브 DC 자기-바이어스는 웨이퍼 위에서 전개된다. 다른 한 편으로, 소정의 설계들은 전개되는 네거티브 DC 바이어스를 제어하기 위해서 페데스털(562)에 DC 또는 RF 바이어스를 인가하는 제어가능한 전원장치(612)를 사용한다. 테스트한 구성에서, 바이어스 전원장치(612)는 13.56㎒에서 동작하는 RF 전원장치이다. 6000W의 RF 전력까지 공급되고, 바람직한 범위는 200mm 웨이퍼에 대해서 350 내지 550W이다.
가스 소스(614)는 질량 유량 제어계(616)를 통해서 챔버(552)에 스퍼터링 동작가스, 일반적으로 화학적 비활성 희가스 아르곤을 공급한다. 동작가스는 도시된 것처럼, 챔버 상부 또는 하부에 수용되고, 접지 차폐부(566)의 하부 또는 접지 차폐부(566) 및 웨이퍼 클램프(560) 및 페데스털(562) 사이의 갭(618)을 통해서 개구들을 침투하는 하나 이상의 인입 파이프들을 가진다. 와이드 펌핑 포트(622)를 통해서 챔버(522)에 연결된 진공 펌프 시스템(620)은 저압으로 챔버를 유지한다. 기저 압력이 대략 10-7 토르(Torr) 또는 그 이하로 유지될 수 있지만, 종래의 스퍼터링에서 동작가스의 압력은 일반적으로 대략 1 내지 1000 밀리토르 사이에서 유지되고, SIP 스퍼터링에서 대략 5 밀리토르 이하이다. 컴퓨터-기초 제어기(624)는 DC 타겟 전원장치, 바이어스 전원장치 및 질량 유량 제어기(616)를 포함한 반응기를 제어한다.
효과적인 스퍼터링을 제공하기 위해서, 마그네트론(630)은 타겟(556)의 후방에 위치한다. 상기 마그네트론은 자기 요크(636)에 의해서 연결되고 지지되는 반대 자석들(632,634)을 가진다. 자석들은 챔버(552)내에서 마그네트론(630)에 인접한 자기장을 만든다. 자기장은 전자들을 트랩하고, 중성 전하에 대해서, 이온 밀도는 고-밀도 플라즈마 영역(638)을 형성하기 위해서 또한 증가한다. 마그네트론(630)은 일반적으로 타겟(556)의 스퍼터링의 완전한 커버리지를 달성하기 위해서 모터-구동축(642)에 의해서 타겟(556)의 중심(640)에 대해서 회전된다. 구리의 자체 유지 스퍼터링을 유지하게 하는 충분한 이온화 밀도의 고-밀도 플라즈마(638)를 달성하기 위해서, 마그네트론(630)에 인접한 영역에 전달되는 전력 밀도는 높아야만 한다. 이는 예를 들어 삼각형 또는 레이스트랙 형상으로, 마그네트론(630)의 영역을 감소시킴으로써 그리고 DC 전원장치(610)로부터 전달된 전력 레벨을 증가시킴으로써, 앞서 인용된 Fu에 의한 특허에 개시된 것처럼 달성될 수 있다. 타겟 중심(640)과 대략 일치하는 팁을 가지고 회전되는 삼각 마그네트론(601)은 항상 타겟의 1/6 만을 커버한다. 1/4의 커버리지는 SIP 스퍼터링이 가능한 상업용 반응기에서의 바람직한 최대치이다.
전자 손실을 줄이기 위해서, 내부 자석(632)에 의해서 나타나는 내부 자기폴 및 도시되지 않은 자기폴 면을 뚜렷한 개구를 가지지 않고, 외부 자기폴(634)에 의해서 나타나는 연속적인 외부 자기폴 및 도시되지 않은 폴 페이스에 의해서 감싸진다. 또한, 웨이퍼(558)에 이온 스퍼터 입자들을 가이드하기 위해서, 외부 폴들은 내부 폴보다 더 높은 자기 플럭스를 만들어야 한다. 연장하는 자기장 라인들은 전자들을 트랩하고, 플라즈마를 웨이퍼(558)에 더 가깝게 연장한다. 자기 플럭스의 비는 적어도 150%이고, 바람직하게 200%보다 더 커야한다. Fu의 삼각 마그네트론의 2개의 실시예들은 동일한 크기지만 극성이 다른 25 외부 자기들 및 6 또는 10 내부 자기들을 가진다.
아르곤이 챔버로 수용될 때, 타겟(556)과 접지 차폐부(566) 사이의 DC 전압차는 아르곤을 플라즈마로 점화하고, 포지티브로 대전된 아르곤 이온은 네거티브로 대전된 타겟(556)으로 끌린다. 이온은 실질적인 에너지로 타겟(556)과 충돌하고, 타겟(556)으로부터 스퍼터링되는 타겟 원자 또는 원자 클러스터를 유도한다. 소정의 타겟 입자들은 웨이퍼(558)와 충돌하고, 따라서 그 위에 증착되고, 따라서 타겟 재료막을 형성한다. 금속 질화물의 반응성 스퍼터링에서, 부가적으로 질소가 챔버로 수용되고, 웨이퍼(558) 상에서 금속 질화물을 형성하기 위해서 스퍼터링된 금속 원자들과 반응한다.
예시된 챔버는 자체 유지 스퍼터링을 포함하는 구리의 자기 이온 스퍼터링을 할 수 있다. 상기 경우에, 플라즈마가 점화되고 난 후, 아르곤의 공급이 SSS의 경우에 중단되고, 구리 이온들은 1 보다 더 큰 수율을 가지고 구리 타겟을 재 스퍼터링하기 위해서 충분히 높은 밀도를 가진다. 선택적으로, 감소된 유량 속도, 챔버 압력에서 불충분한 타겟 전력 밀도를 가지고, 순수한 자체 유지 스퍼터링을 지지하기 위해서 소정의 아르곤 공급이 계속되지만, 그럼에도 불구하고 뚜렷하게 자기 스퍼터링의 비율은 감소한다. 아르곤 압력이 뚜렷하게 5 밀리토르 이상으로 증가되면, 아르곤은 구리 이온들로부터 에너지를 제거하고, 자기 스퍼터링을 감소시킨다. 웨이퍼 바이어스는 구리 입자를 홀로 깊게 끌어당긴다.
그러나 부분적으로 중성 플럭스를 가진 더 깊은 홀 코팅을 달성하기 위해서, 타겟(556)과 웨이퍼(558) 사이의 거리를 증가시키는 것이 바람직하고, 즉 롱-쓰로우(long-throw) 모드로 동작하는 것이다. 롱-쓰로우에서, 타겟-대-기판 공간은 일반적으로 기판 직경의 절반보다 더 크다. 사용될 때, 90%의 웨이퍼 직경보다 더 큰 것이 바람직하지만, 그러나 기판 직경의 100% 및 140%를 포함하는 80%보다 더 큰 공간은 적당하다. 실시예에서 언급된 쓰로우는 200mm 웨이퍼로 언급된다. 종래의 스퍼터링에서 롱-쓰로우는 스퍼터링 증착 속도를 감소시키지만, 이온 스퍼터링 입자들은 그러한 큰 감소에 영향받지 않는다.
종래의(아르곤-기초) 스퍼터링 및 자체 유지 스퍼터링(SSS) 사이의 조정된 디비전은 중성 및 이온 스퍼터 입자들 사이의 분배의 제어를 가능하게 한다. 상기 제어는 높은 종횡비의 비아(via) 홀에서 구리 시드층의 스퍼터 증착에 대해서 특히 유리하다. 스퍼터링된 원자들의 이온 수의 제어는 자기 이온 플라즈마(SIP) 스퍼터링으로 언급된다.
본 발명에 의해서 만들어진 일 실시예는 도18에 단면도로 도시된 비아이다. 시드 구리층(650)은, 예를 들어, 도 15의 롱-쓰로우 스퍼터 반응기를 사용하고 SIP를 활성화시키는 조건하에서 비아홀(22)에서 배리어층(24)상에 증착된다. SIP 구리층(65)은, 예를 들어, 50 내지 300㎚ 또는 보다 바람직하게는 80 내지 200㎚의 블랭킷 두께(blanket thickness)까지 증착될 수 있다. SIP 구리 시드층(650)은 측벽들 상에서 2 내지 20㎚ 범위의, 보다 바람직하게는 7 내지 15㎚ 범위의 두께를 갖는다. 좁은 홀의 견지에서, 측벽 두께는 50㎚를 초과해서는 안된다. 박막의 특질은 페데스털(pedestal) 온도를 0℃ 이하까지, 바람직하게는 -40℃ 이하까지 내림으로써 향상되므로 신속한 SIP 증착에 의하여 제공되는 냉각이 중요하게 된다.
SIP 구리 시드층(650)은 양호한 바닥 커버리지(coverage)와 향상된 측벽 커버리지를 갖는다. 실험적으로 배리어층(24) 상에 직접 증착된 IMP 또는 CVD 구리보다 훨씬 더 평탄화하는 것이 관찰되었다. 구리 시드층(650)이 증착된 후에, 홀은 바람직하게는 상기 시드층(650)을 전기도금(electroplanting) 전극들 중 하나로서 사용하는 전기-화학 도금에 의하여, 도 1에서와 같이 구리층(118)으로 충진된다. 그러나 SIP 구리 시드층(650)의 평탄 구조는 또한 리플로우(reflow) 또는 표준 스퍼터링 또는 물리적 기상 증착(PVD)에 의한 구리의 고온 증착을 활성화시킨다.
SIP에서 1.2㎛의 산화물 내의 0.12㎛-너비의 비아홀 내부로 상기 시드층을 증착하는 몇몇 실험들이 수행되었다. 290㎜의 타겟-투-기판 간격, 0.1 milliTorr이하의 챔버 압력(SSS 모드를 나타낸다) 및 601 트라이앵글 마그네트론으로 타겟에 인가되는 14㎸의 DC 전력에 대하여, 산화물 상부 위에 0.2㎛ 블랭킷 두께의 구리를 형성시키는 증착으로 비아 바닥 상에 18㎚, 그리고 비아 측벽들 상에 약 12㎚가 형성된다. 30s 및 그 이하의 증착 시간이 통상적이다. 타겟 전력이 18㎸까지 증가될 때, 바닥 커버리지는 측벽 두께의 큰 변화없이 37㎚까지 증가된다. 보다 높은 전력에서의 보다 높은 바닥 커버리지는 보다 높은 이온화율(ionization fraction)을 나타낸다. 두 경우에 대하여, 증착된 구리 박막은 IMP 또는 CVD 구리에 대하여 보여지는 것보다 훨씬 평탄함이 관찰된다.
단지 0.2㎛/min인 IMP 증착률과 비교하여 볼 때 SIP 증착은 0.5와 1.0㎛/min사이로 상대적으로 빠르다. 빠른 증착률은 짧은 증착 주기를 야기하며, 아르곤 이온 가열의 부재(absenece)와 결합하여 열적 부담(thermal budget)을 현저히 감소시킨다. 저온 SIP 증착이 매우 평탄한 구리 시드층을 야기한다고 믿어진다.
10개 내부 자석들과 25개 외부 자석들을 이용하는 Fu의 표준 트라이앵글 마그네트론에 대하여 290㎚ 쓰로우가 사용되었다. 여러 조건들에서 이온 전류 플럭스(ion current flux)가 타겟 중앙으로부터의 반경에 대한 함수로 측정되었다. 결과가 도 19의 그래프에서 도시된다. 곡선(660)은 16㎸의 타겟 전력과 0 milliTorr의 챔버 압력에 대하여 측정되었다. 곡선들(662, 664, 664)은 18㎸의 타겟 전력과 0, 0.2, 그리고 1 milliTorr의 챔버 압력에 대하여 각각 측정되었다. 이러한 전류들은 1011과 1012-3 사이의 이온 밀도에 대응되는데, 이는 통상적인 마그네트론과 스퍼터 반응기에 있어서의 109-3 이하와 비교된다. 0 압력 조건들이 구리 이온화율을 측정하는데 사용되었다. 공간 종속성(spatial dependence)은 10%와 20% 사이에서 변화하는 이온화율에 대하여 DC 타겟 전력에 대한 직접적인 종속성과 대략적으로 동일하다. 상대적으로 낮은 이온화율은 롱 쓰로우가 없는 SIP는 통상적인 PVD의 불리한 깊은 충진(deep filling) 특성을 가지는 중성 구리 플럭스의 많은 부분을 가질 것임을 설명한다. 결과들은 증가된 이온화 때문에 보다 높은 전력에서의 작동이 보다 양호한 스텝 커버리지를 위해 바람직함을 나타낸다.
그 후, Fu 마그네트론 내의 내부 자석들의 개수가 6개까지 감소시키면서 테스트들이 반복된다. 즉, 제 2 마그네트론은 자기 플럭스에 있어서의 일정성을 향상시켰으며, 이는 웨이퍼를 향하는 스퍼터링된 이온 플럭스의 일정성을 증대시킨다. 그 결과들이 도 20에서 도시된다. 곡선(668)은 12㎸의 타겟 전력과 0 milliTorr의 압력에 대한 이온 전류 플럭스를 도시한다; 곡선(679)은 18㎸에 대하여. 14㎸와 16㎸에 대한 곡선들은 중간에 있다. 따라서, 수정된 마그네트론은 웨이퍼를 향하는 보다 일정한 이온 전류를 형성하는데, 이는 다시 타겟 전력에 의존하며 보다 높은 전력이 바람직하다.
10% 내지 20%의 상대적으로 낮은 이온화율은 IMP의 90% 내지 100% 분율과 비교할 때 실질적인 중성 구리의 플럭스를 나타낸다. 웨이퍼 바이어스가 구리 이온을 홀 깊숙히 인도할 수 있는 반면, 롱 쓰로우는 구리 중성들을 위해 매우 동일한 것을 성취한다.
일련의 테스트들은 스퍼터 입자들의 분포에 대한 쓰로우와 챔버 압력의 결합된 효과를 결정하는데 사용되었다. 0 챔버 압력에서, 140㎚의 쓰로우는 약 45도의 분포를 형성한다; 190㎚의 쓰로우는 약 35도; 그리고, 290㎚의 쓰로우는 약 25도이다. 압력은 190㎚의 쓰로우에 대하여 변화되었다. 중앙 분포는 1, 0.5 및 1 milliTorr에 대하여 대략 동일하게 유지된다. 그러나 낮은-레벨 꼬리들은 가장 높은 압력에 대하여 약 101 밀려나가며, 소정의 입자들의 스캐터링을 나타낸다. 이러한 결과는 만족스러운 결과들은 5 milliTorr이하에서 얻어지며, 그러나 바람직한 범위는 2 milliTorr이하이며, 보다 바람직한 범위는 1 milliTorr이하이며, 가장 바람직한 범위는 0.2 milliTorr와 그 이하임을 나타낸다. 또한, 기대대로, 롱 쓰로우들에 대하여 분포가 가장 좋다.
고 종횡비(high-aspect ratio)의 홀 내부로 증착된 SIP 박막은 유리한 상부 측벽 커버리지를 가지며 오버행(overhang)들을 형성하지 않는 경향이 있다. 다른 측면에서, 상기 홀 내부로 증착된 IMP 박막은 보다 양호한 바닥 및 바닥 코너 커버리지를 가지며, 그러나 측벽 박막은 불충분한 커버리지를 가지며 거친 경향이 있다. 스퍼터링의 양 유형의 장점들은 2단계의 구리 시드 스퍼터 증착을 사용함으로써 결합될 수 있다. 제 1 단계에서, 예를 들어 RF 유도 소스 파워의 사용에 의하여 고밀도 플라즈마를 형성시키는 IMP 반응기에서 증착된다. 예시적인 증착 조건들은 20 내지 60 milliTorr의 압력, 1 내지 30㎾의 DC 타겟 전력, 및 150W의 바이어스 전력이다. 제 1 단계는 양호하지만 거친 바닥 및 바닥 측벽 커버리지를 제공한다. 제 2 그리고 바람직한 후속 단계에서, 구리가 위에서 설명된 종류의 SIP 반응기에서 증착되어 보다 작은 정도의 구리 이온화를 형성시킨다. 예시적인 증착 조건들은 1Torr 압력, 18 내지 24㎾의 DC 타겟 전력 그리고 500W의 바이어스 전력이다. 제 2 단계는 양호한 평탄한 상부 측벽 커버리지를 제공하며 나아가 이미 증착된 IMP층을 평탄화시킨다. 2개의 단계들에 대한 블랭킷 증착 두께는 바람직하게는 IMP 증착에 대해서는 50에서 100㎚의 범위이며 SIP층에 대해서는 100 내지 200㎚의 범위이다. 블랭킷 두께들은 30:70 내지 70:30의 비율일 수 있다. 또는, SIP층이 IMP층보다 먼저 증착될 수 있다. 구리 시드층이 2단계의 공정에 의하여 스퍼터 증착된 후, 홀의 잔류부는 예를 들어 전기도금에 의하여 충진된다.
SIP 측벽 커버리지는 매우 좁고 고-종횡비 비아에 대하여 문제가 될 수 있다. 0.13㎛ 비아 및 그보다 작은 비아를 위한 기술이 발전되고 있다. 약 100㎚의 블랭킷 두께 이하에서, 측벽 커버리지는 불연속적일 수 있다. 도 21의 단면도에서 도시되는 바와 같이, 불리한 구조가 SIP 구리 박막(680)이 비아 측벽(30) 상에서 보이드(void)들 또는 다른 결함(682)을 포함하는 불연속적인 박막들로 형성될 수 있게 한다. 결함(682)은 구리의 결핍이거나 또는 국소적으로 전기도금 캐소드로서 작용할 수 없는 구리의 얇은 층일 수 있다. 그럼에도 불구하고, SIP 구리 박막(680)은 결함들(682)을 제외하고는 평탄하며 양호하게 핵형성(nucleate)된다. 이러한 도전적인 구조들에서, 구리 CVD 시드층(684)을 SIP 구리 핵형성막(nucleation film)(680) 상에 증착하는 것이 유리하다. 이는 화학 기상 증착에 의하여 증착되므로, 통상적으로 컨포멀(conformal)하고 SIP 구리 막(680)에 의하여 양호하게 응결된다. CVD 시드층(684)은 결함들(682)을 채우고, 홀(22)의 충진을 완료하기 위한 이후의 구리 전기도금을 위하여 연속적이고 거칠지 않은(non-rough) 시드층을 제공한다. CVD층은 어플라이드 머티리얼즈가 시판하는 CuxZ 챔버와 같은 구리 증착을 위하여 고안된 CVD 챔버내에서 증착될 수 있다.
20㎚의 CVD 구리가 SIP 구리 핵형성층과 IMP 핵형성층 상에 택일적으로 증착되는 실험들이 수행되었다. SIP와의 결합은 상대적으로 평탄한 CVD 시드층을 형성시키는 반면, IMP와의 결합은 불연속이라고 해도 좋을 정도로 CVD층에서 매우 거친 표면을 생성시킨다.
CVD층(684)은, 예를 들어 5 내지 20㎚의 범위의 두께까지 증착될 수 있다. 그 후, 홀의 잔류부분들은 다른 방법들에 의하여 구리로 충진될 수 있다. SIP 구리의 핵형성층의 상부 위에 CVD에 의하여 형성된 매우 평탄한 시드층은 나타난 좁은 비아에 전기도금 또는 통상적인 PVD 기술들에 의해 구리로 홀이 효율적으로 충진되어지게 한다. 특히 전기도금에 대하여, 평탄한 구리 핵형성층과 시드층은 전기도금 공정에 전원을 공급하기 위한 연속적이며 거의 일정한 전극을 제공한다.
초 고-종횡비를 갖는 비아 또는 다른 홀을 충진시킴에 있어서, 전기도금을 불필요하게 하거나 대신, 비아를 완전히 충진시키기 위하여 도 22의 단면도에서 도시된 바와 같이 충분히 두꺼운 CVD 구리층(688)을 SIP 구리 핵결정층(680)위에 증착하는 것이 유리할 수 있다. CVD 충진의 장점은 별도의 전기도금 단계의 필요성을 제거한다는 점이다. 또한, 전기도금은 0.13㎛ 이하의 너비를 갖는 홀에서 제어하기 힘들 수 있는 유체 플럭스를 요구한다.
본 발명의 이러한 실시예의 구리 이중층의 장점은 그 이중충은 구리 증착이 상대적으로 낮은 열적 부담으로 수행될 수 있게 한다는 점이다. 탄탈은 보다 높은 열적 부담에서 산화물로부터 디웨트(dewet)하는 경향이 있다. IMP는 깊은 홀 충진을 위한 다수의 동일한 커버리지 장점들을 가지며, 그러나 IMP는 그것이 증착되는 층에서 에너지를 발산하는 활성화된 아르곤 이온들의 높은 플럭스를 생성시키기 때문에 훨씬 높은 온도에서 작동하는 경향이 있다. 나아가, IMP는 변함없이 소정의 아르곤을 증착된 막 내부로 주입한다. 대조적으로 상대적으로 얇은 SIP층은 상대적으로 고율로 증착되고 SIP 공정은 아르곤의 부재로 인해 본질적으로 고온이 아니다. 또한, SIP 증착률은 IMP보다 훨씬 빠르며 따라서 어떠한 고온 증착도 1/2 계수까지로, 훨씬 더 짧다.
또한, 열적 부담은 SIP 플라즈마의 저온 점화에 의하여 감소된다. 저온 플라즈마 점화와 프로세싱 시퀀스는 도 23의 흐름도에서 도시된다. 웨이퍼가 로드 락 밸브(load lock valve)를 통해 스퍼터 반응기 내부로 삽입되었을 때, 로드 락 밸브는 닫히고, 단계(690)에서 기체 압력이 평행을 이루게 된다. 아르곤 챔버 압력은 점화를 위해 사용되는 압력, 통상적으로는 2와 약 5 내지 10 milliTorr사이의 압력으로 상승되며, 아르곤 백사이드(backside) 냉각 기체에는 약 5 내지 10 Torr의 백사이드 압력으로 웨이퍼의 후면에 제공된다. 단계(692)에서, 아르곤은 낮은 레벨, 통상적으로 10 내지 5㎾의 범위의 타겟 전력에서 점화된다. 플라즈마가 검출되어 점화한 후에는, 단계(694)에서 타겟 전력은 낮은 레벨에 유지된 채로 챔버 압력이 빠르게, 예를 들어 3s동안 감소된다. 만일 자체 유지 스퍼터링(sustained self-sputtering)이 계획된다면, 챔버 아르곤 공급은 정지되며, 그러나 플라즈마는 SSS모드에서 계속된다. 자기-이온화 플라즈마 스퍼터링에 대하여, 아르곤 공급은 감소된다. 백사이드 냉각 기체는 계속해서 공급된다. 일단 아르곤 압력이 감소되었다면, 단계(696)에서 타겟 전력은 의도된 스퍼터링 레벨까지, 예를 들어 10 내지 24㎾ 또는 200㎚ 웨이퍼에 대해서는 그 이상까지 신속하게 상승되는데, 상기 레벨은 SIP 또는 SSS 스퍼터링을 위하여 선택된다. 동시에 압력을 감소시키고 전력을 상승시킴으로써 단계들(694 및 696)을 결합시킬 수 있다. 단계(698)에서는, 선택된 레벨에서 재료를 선택된 두께로 스퍼터 증착하는데 필요한 시간동안 타겟에 계속해서 전원이 공급된다. 이러한 점화 시퀀스는 점화를 위하여 의도된 스퍼터링 전력 레벨을 사용하는 경우보다 저온이다. 보다 높은 아르곤 압력은 점화를 용이하게 하지만 스퍼터 증착을 위해 의도된 보다 높은 전력 레벨에서 계속된다면 스퍼터링된 핵들에 나쁜 영향을 미칠 것이다. 보다 낮은 점화 전력에서는, 감소된 전력에서 낮은 증착률 때문에 매우 적은 구리가 증착된다. 또한, 페데스털 냉각은 점화 공정으로 통해 웨이퍼가 낮은 온도로 유지되게 한다.
본 발명의 장치 및 공정에 대한 많은 특징들이 롱 쓰로우를 포함하지 않는 스퍼터링에 적용될 수 있다.
본 발명이 현재 구리 레벨 간 금속배선 및 배리어(barrier)와 라이너(liner) 증착에 특히 유용함에도 불구하고, 본 발명의 다른 측면들이 다른 목적들을 위한 다른 재료들의 스퍼터링에 적용될 수 있다.
본 명세서에서 그 전체가 참조로 통합된, 2002년 7월 25일에 출원되어 계류중인 출원 번호 제10/202,778호에서 설명된 바와 같이, 소자 간 연결층 또는 층들이 또한 SIP와 ICP 플라즈마를 생성시키는 챔버(152)(도 4)와 유사한 스퍼터 챔버에서 증착될 수 있다. 예를 들어, 챔버(152)와 같은 챔버에서 증착된다면, 타겟(156)은 구리와 같은 증착 재료로 형성될 것이다. 또한, ICP 코일(151) 역시 동일한 증착 재료로 형성될 수 있으며, 특히 코일 스퍼터링이 소자 간 연결 금속 증착의 일부 또는 전부를 위하여 의도되는 경우 그러하다.
위에서 언급한 바와 같이, 도시된 챔버(152)는 자체 유지 스퍼터링을 포함하면서 구리에 대한 자기-이온화 스퍼터링이 가능하다. 이 경우, 플라즈마가 점화된 후에, SSS의 경우 아르곤의 공급은 중단될 수 있으며, 구리 이온들이 1보다 큰 수율로 구리 타겟을 재스퍼터하기에(resputter) 충분히 높은 밀도를 갖는다. 또는, 감소된 플로우율과 챔버 압력에서 그리고 아마도 순수 자체 유지 스퍼터링을 지원하기에 불충분한 타겟 전력 밀도에 대하여, 그러나 그럼에도 불구하고 현저히 감소된 자기-스퍼터링 부분에 대하여, 어느 정도의 아르곤이 계속하여 공급될 수 있다. 아르곤 압력이 5 milliTorr 이상으로 현저히 증가된다면, 아르곤은 구리 이론들로부터 에너지를 제거하여 자기-스퍼터링을 감소시킬 것이다. 웨이퍼 바이어스는 구리 입자의 이온화된 부분을 홀 깊숙히 끌어당긴다.
그러나 부분적으로 중성의 플럭스로 보다 깊은 홀 코팅을 성취하기 위하여, 타겟(156)과 웨이퍼(158) 사이의 거리를 증가시키는 것, 즉 위에서 논의된 바와 같이 롱-쓰로우 모드에서 작동하는 것이 바람직하다. 자기-이온화 플라즈마(SIP) 스퍼터링, 유도 결합 플라즈마(ICP) 스퍼터링 그리고 자체 유지 스퍼터링(SSS) 사이의 제어된 디비전은 중성입자와 이온화된 입자 사이의 분포에 대한 제어를 가능하게 한다. 그러한 제어는 고 종횡비 비아홀에 구리 시드층의 스퍼터 증착을 위하여 특히 유리하다. 스퍼터링된 이온화율의 제어는 자기-이온화 플라즈마(SIP) 스퍼터링과 유도 결합 플라즈마(ICP) 스퍼터링의 혼합에 의하여 달성된다.
본 발명에 따른 구조의 하나의 실시예가 도 24의 단면도에서 도시된 비아이다. 구리 시드층(700)은 라이너층(704)(위에서 언급된 TaN 배리어와 Ta 라이너층들과 같은 2 이상의 배리어와 라이너층들을 포함할 수 있음) 위에 비아홀(702) 내에 증착되는데, 이는 예를 들어 도 4에서 도시된 유형의 롱-쓰로우 스퍼터 반응기를 사용하여, SIP와 ICP의 결합 및/또는 SIP와 ICP의 교대를 촉진하는 조건하에서 이루어진다. 여기서, 반응기는 구리 또는 다른 시드층 증착 재료를 포함하는 타겟을 가질 것이다. SIP-ICP 구리층(700)은, 예를 들어, 50 내지 300㎚ 또는 보다 바람직하게는 80 내지 200㎚의 블랭킷 두께까지 증착될 수 있다. 바람직하게는, SIP-ICP 구리 시드층(700)은 비아 측벽들 상에서 2 내지 20㎚ 범위의 두께를 갖는다. 좁은 홀의 견지에서, 측벽 두께는 50㎚를 초과해서는 안된다. 막의 특질은 페데스털 온도를 0℃ 이하로, 바람직하게는 -40℃ 이하로 하강시킴으로써 향상되므로 신속한 SIP 증착에 의하여 제공되는 냉각이 중요하게 된다.
SIP-ICP 구리 시드층(700)이 양호한 바닥 커버리지와 향상된 측벽 커버리지를 가질 것으로 믿어진다. 이하에서 더 상세히 설명되는 바와 같이, 구리 시드층(copper seed layer)(700)은 보통 비아 바닥의 중심부에 더 얇은 커버리지를 남겨두면서 비아의 내부 바닥 코너들에서의 커버리지를 증가시키기 위하여 구리 증착 재료를 재분포시키도록 별개의 단계에서 또는 초기 증착 동안 재스퍼터링될 수 있다. 구리 시드층(700)이 증착된 이후(바람직하다면, 증착되고 재분포된 이후), 홀은 바람직하게 전기도금 전극들 중 하나로서 시드층(700)을 사용하는 전기화학 도금에 의하여 도 14b의 구리 층(347b')과 유사한 구리 층으로 충진될 수 있다. 그러나 SIP-ICP 구리 시드층(700)의 평탄한 구조는 또한 표준 스퍼터링 또는 물리적 기상 증착(PVD)에 의하여 리플로우(reflow) 또는 구리의 고온 증착을 촉진한다.
일 실시예에서, SIP-ICP 층은 하나의 단계에서 SIP 증착 기술과 ICP 증착 기술 모두의 선택된 특징들을 결합하는 프로세스에서 형성될 수 있고, 상기 단계는 본 명세서에서 일반적으로 SIP-ICP 단계로서 언급된다. 부가하여, 대안적인 실시예에 따른 반응기(715)는 도 25에 도시된 코일(151)에 부가하여 제 2 코일(716)을 구비한다. 코일(151)과 동일한 방식으로, 코일(716)의 한 단부는 증폭기 및 매칭 네트워크(matching network)(717)의 출력에 피드스루 스탠드오프(182)에 의하여 다크스페이스 차폐부(darkspace shield)(164')를 통해 절연성(insulatively) 결합된다. 매칭 네트워크(717)의 입력은 RF 발생기(718)에 결합된다. 코일(716)의 다른 단부는 코일(716) 상에 DC 바이어스를 제공하기 위하여 차단 커패시터(blocking capacitor)(719)를 경유하여 피드스루 스탠드오프(182)에 의해 접지에 차폐부(164')를 통해 절연성 결합된다. DC 바이어스는 별개의 DC 소스(721)에 의해 제어될 수 있다.
ICP 또는 결합된 SIP-ICP 단계에서, RF 에너지는 예를 들어, 1-3 kW 및 2Mhz의 주파수에서 RF 코일들(151 및 716) 중 하나 또는 둘 다에 인가된다. 전력이 공급될 때 코일들(151 및 716)은 반응기의 내부로 RF 에너지를 유도성 결합시킨다. 코일들에 의해 제공된 RF 에너지는 스퍼터링된 증착 재료를 이온화하기 위해 플라즈마를 유지하기 위하여 아르곤과 같은 선구 가스를 이온화한다. 그러나 고밀도 IMP 프로세스에 대해 전형적인 20-60 mTorr와 같은 비교적 높은 압력에서 플라즈마를 유지하기보다 바람직하게 압력은 예를 들어, 2mTorr와 같은 상당히 더 낮은 압력에서 유지된다. 결과적으로, 반응기(150) 내 이온화율(ionization rate)은 전형적인 고밀도 IMP 프로세스보다 상당히 더 낮을 것이다.
앞서 설명한 것에 부가하여, 도시된 반응기(150)는 또한 롱-쓰로우 모드(long-throw mode)에서 자기 이온화 스퍼터링(self-ionized sputtering)이 가능하다. 결과적으로, 증착 재료는 RF 코일 또는 코일들에 의해 유지된 저압 플라즈마의 결과에 의해서뿐만 아니라, 타겟의 DC 마그네트론 스퍼터링에 의해 자기-생성된 플라즈마에 의해 이온화될 것이다. 결합된 SIP와 ICP 이온화 프로세스들은 양호한 바닥 및 바닥 코너 커버리지에 대해 충분히 이온화된 재료를 제공할 수 있다. 그러나 RF 코일들(151 및 716)에 의해 제공된 저압 플라즈마의 더 낮은 이온화율은 충분한 중성 스퍼터링 재료가 반응기의 롱-쓰로우 성능에 의해 상부 측벽들 상에 증착되기 위하여 이온화되지 않은 상태로 남겨지도록 한다. 그리하여, 이온화된 증착 재료의 결합된 SIP와 ICP 소스들은 양호한 바닥 및 바닥 코너 커버리지 및 양호한 상부 측벽 커버리지를 제공할 수 있다. 또 다른 실시예에서, 코일들(151 및 716)로의 전력은 하나의 단계에서 하부 코일(151)에 인가된 전력에 비례하여 상부 코일(726)로의 전력이 제거되거나 감소되도록 교번(alternate)될 수 있다. 이러한 단계에서, 유도성 결합된 플라즈마의 중심은 타겟으로부터 기판에 더 근접하여 이동된다. 상기와 같은 구성은 타겟에 인접하여 생성된 자기 이온화된 플라즈마와 하나 이상의 코일들에 의하여 유지되는 유도성 결합 플라즈마 사이의 상호작용을 감소시킬 수 있다. 결과적으로, 중성 스퍼터링 재료의 더 높은 비율이 유지될 수 있을 것이다.
제 2 단계에서, 하부 코일(151)로의 전력은 상부 코일(716)에 인가된 전력에 비례하여 제거되거나 감소되도록 역전될 수 있다. 이러한 단계에서, 유도성 결합 플라즈마의 중심은 기판으로부터 타겟쪽으로 이동될 수 있다. 상기 구성은 이온화된 스퍼터 재료의 비율을 증가시킬 수 있다.
또 다른 실시예에서, 층은 2 이상의 단계들로 형성될 수 있고, 본 명세서에서 일반적으로 SIP 단계로 언급되는 하나의 단계에서 RF 전력이 어느 한쪽 코일에 거의 인가되지 않거나 또는 전혀 인가되지 않는다. 부가하여, 압력은 비교적 낮은 레벨에서, 바람직하게 5mTorr 이하에서, 보다 바람직하게는 2mTorr 이하에서, 예를 들어, 1mTorr에서 유지될 것이다. 부가하여, 타겟에 인가되는 전력은 예를 들어, 18-24 kW DC의 범위와 같이 상대적으로 높을 것이다. 바이어스는 또한 예를 들어, 500 watt의 전력 레벨에서 기판 지지부에 인가될 수 있다. 이러한 조건들 하에서, 증착 재료의 이온화는 주로 자기-이온화 플라즈마(SIP)의 결과로서 발생한다. 반응기의 롱-쓰로우 모드 구성과 결합되어, 양호한 상부 측벽 커버리지는 낮은 오버행(overhang)으로 달성될 수 있다. 이러한 초기 단계에서 증착된 층의 부분은 예를 들어, 1000-2000 angstrom의 범위에 있을 수 있다.
본 명세서에서 일반적으로 ICP 단계로 언급되는 제 2 단계에서, 바람직하게 동일한 챔버 내에서, RF 전력은 코일들(151 및 716) 중 하나 또는 둘 다에 인가될 수 있다. 부가하여, 일 실시예에서, 압력은 고밀도 플라즈마가 유지될 수 있도록 상당히 상승될 수 있다. 예를 들어, 압력은 20-60mTorr로 상승될 수 있고, 코일로의 RF 전력은 1-3kW의 범위로 상승될 수 있으며, 타겟으로의 DC 전력은 1-2kW로 감소되고, 기판 지지부로의 바이어스는 150watt로 감소된다. 이러한 조건들 하에서, 증착 재료의 이온화는 주로 고밀도 ICP의 결과로서 발생할 것이다. 결과적으로, 양호한 바닥 및 바닥 코너 커버리지가 제 2 단계에서 달성될 수 있다. 전력은 전술한 바와 같이 양 코일들이 동시에 또는 교대로 인가될 수 있다.
구리 시드층이 SIP와 ICP를 결합하는 프로세스에 의해 스퍼터 증착된 이후, 홀의 나머지는 동일한 또는 다른 프로세스에 의해 충진될 수 있다. 예를 들어, 홀의 나머지는 전기도금 또는 CVD에 의해 충진될 수 있다.
SIP 단계와 ICP 단계의 순서는 역전될 수 있고, 소정의 RF 전력은 SIP 단계에서 하나 이상의 코일들에 인가될 수 있으며, 소정의 자기 이온화는 ICP 단계에서 유도될 수 있다. 부가하여, 자체 유지 스퍼터링(sustained self sputtering; SSS)은 하나 이상의 단계에서 유도될 수 있다. 그러므로 압력, 전력 및 타겟-기판 거리를 포함하는 프로세스 매개변수들은 목적하는 결과를 달성하기 위하여 특정 응용예에 의존하여 가변될 수 있다.
이전에 언급한 바와 같이, 코일들(151 및 516)은 독립적으로 동작될 수 있거나 또는 함께 동작될 수 있다. 일 실시예에서, 코일들은 함께 동작될 수 있고, 여기서, 하나의 코일에 인가된 RF 신호는 헬리콘 파동(helicon wave)을 생성하기 위하여 다른 코일에 인가되는 다른 RF 신호에 대하여 위상 이동된다. 예를 들어, RF 신호들은 미국 특허 제 6,264,812호에 기재된 파장의 부분만큼 위상 이동될 수 있다.
본 발명의 일 실시예는 바람직하게 도 27의 평면도에서 개략적으로 도시된 엔듀라(Endura)(5500) 플랫폼과 같은 일체형 다중 챔버 툴 상에서 수행되는 통합된 프로세스를 포함한다. 상기 플랫폼은 Tepman 등에 의한 미국 특허 제 5,186,718호에서 기능적으로 기술되었다.
절연층의 비아 홀들 또는 다른 구조로 이미 에칭되었던 기판들은, 각각의 로드 락 챔버(load lock chamber)들 안으로 로딩된 기판 카세트들로부터 기판들을 상기 시스템 안으로 그리고 상기 시스템 밖으로 기판들을 전달하도록 구성된 2개의 독립적으로 동작되는 로드 락 챔버들(732, 734)을 통해 상기 시스템 안으로 그리고 상기 시스템 밖으로 로딩된다. 기판 카세트가 로드 락 챔버(732, 734)로 로딩된 이후, 챔버는 예를 들어, 10-3 내지 10-4 Torr 범위의 적절히 낮은 압력으로 펌핑되고, 로드 락 챔버와 제 1 기판 전달 챔버(736) 사이의 슬릿 밸브는 개방된다. 이후, 제 1 기판 전달 챔버(736)의 압력은 그러한 낮은 압력에서 유지된다.
제 1 전달 챔버(736)에 위치한 제 1 로봇(738)은 기판을 카세트로부터 2개의 가스제거(degassing)/지향(orienting) 챔버들(740, 742) 중 하나로 전달하고, 그 다음에 제 1 플라즈마 예비 세정 챔버(744)로 전달하고, 상기 제 1 플라즈마 예비 세정 챔버(744)에서 수소 또는 아르곤 플라즈마는 기판의 표면을 세정한다. CVD 장벽층이 증착된다면, 그 다음 제 1 로봇(738)은 기판을 CVD 장벽 챔버(746)에 전달한다. CVD 장벽층이 증착된 이후, 로봇(738)은 기판을 통과 챔버(pass through chamber)(748)로 전달하고, 상기 통과 챔버(748)로부터 제 2 로봇(750)은 기판을 제 2 전달 챔버(752)로 전달한다. 슬릿 밸브들은 프로세싱 및 압력 레벨들을 격리하기 위하여 제 1 전달 챔버(736)로부터 상기 챔버들(744, 746, 748)을 분리시킨다.
제 2 로봇(750)은 그 주변에 배치된 반응 챔버들로 그리고 반응 챔버들로부터 선택적으로 기판들을 전달한다. 제 1 IMP 스퍼터 챔버(754)는 구리 증착 전용일 수 있다. 전술한 챔버(410)와 유사한 SIP 스퍼터 챔버(756)는 SIP 구리 시드층 또는 핵형성 층의 증착 전용이다. 이러한 챔버는 전술한 바와 같이 하나의 단계 또는 다수의 단계로 하부 및 측벽 커버리지를 위한 SIP와 개선된 바닥 코너 커버리지를 위한 재스퍼터링을 결합한다. 또한, 예를 들어, Ta/TaN의 적어도 장벽층 일부는 SIP 스퍼터링과 코일 스퍼터링 및 ICP 재스퍼터링에 의해 증착되고, 따라서, SIP-ICP 스퍼터 챔버(760)는 아마도 반응성 질소 플라즈마에서 내화성 금속을 스퍼터링하는데 전용이다. 동일한 SIP-ICP 챔버(760)는 내화성 금속 및 그 질화물을 증착하는데 사용될 수 있다. CVD 챔버(758)는 구리 핵형성, 시드 또는 라이너층의 증착에, 또는 홀의 충진 완성에, 또는 둘 다에 적용된다. 각각의 챔버(754, 756, 758, 760)는 슬릿 밸브들에 의하여 제 2 전달 챔버들(752)에 선택적으로 개방된다. 상이한 구성을 사용하는 것이 가능하다. 예를 들어, 특히, CVD가 홀 충진을 완성하기 위해 사용된다면, IMP 챔버(754)는 제 2 CVD 구리 챔버에 의해 대체될 수 있다.
저압 프로세싱 이후, 제 2 로봇(750)은 기판을 중간에 배치된 열 챔버(intermediately placed thermal chamber)(762)로 전달하고, 상기 열 챔버(762)는 선행 프로세싱이 고온이었다면 냉각 챔버일 수 있거나 또는 금속배선의 어닐링이 요구되는 급속 열 프로세싱(RTP) 챔버일 수 있다. 열 처리 이후에, 제 1 로봇(738)은 기판을 인출하여 기판을 로드 락 챔버들(732, 734) 중 하나의 카세트로 재전달한다. 물론, 본 발명이 통합된 프로세스의 단계들에 의존하여 수행될 수 있는 다른 구성들이 가능하다.
전체 시스템은 각각의 챔버와 관련된 서브-제어기들과 통신하는 제어 버스(772) 상에서 동작하는 컴퓨터 기반 제어기(770)에 의해 제어된다. 프로세스 내역(process recipes)은 제어기(770) 내로 삽입가능한, 자기 플로피 디스크 또는 CD-ROM와 같은 리코딩 가능한 매체(774)에 의해, 또는 통신 링크(776) 상에서 제어기 내로 판독된다.
본 발명의 장치 및 프로세스의 다수의 특징들은 롱 쓰로우에 관련되지 않은 스퍼터링에 적용될 수 있다. 비록 본 발명은 현 시점에서 탄탈 및 탄탈 질화물 라이너층 증착 및 구리 인터-레벨 금속배선에 특히 유용하지만, 본 발명의 다른 태양들이 다른 재료들을 스퍼터링하는데에 그리고 다른 목적을 위해 적용될 수 있다. 2001년 8월 30일자로 출원된 미국 가출원 제 60/316,137호는 스퍼터링 및 재스퍼터링 기술에 관한 것이고, 이것은 본 명세서에 참조로서 결합된다.
물론, 여러 가지 태양의 본 발명의 변형예들이 당업자에게 자명하고, 소정의 변형예들은 단지 본 명세서를 숙지함으로부터 자명하고, 다른 변형예들은 통상적인 물리적 설계 및 프로세스 설계의 사항이다. 다른 실시예들이 또한 가능하고, 다른 실시예의 특정 설계는 특정 응용예에 의존한다. 이와 같이, 본 발명의 범위는 본 명세서에 기재된 특정 실시예들에 의해 제한되는 것이 아니라 첨부된 청구범위와 그 균등물에 의해 정해진다.
150: 반응기 152: 진공 챔버
156: 타겟 158: 웨이퍼
204: 매칭 네트워크 206: RF 발생기
330: 마그네트론 332, 334: 자석
336: 자기 요크 338: 고밀도 플라즈마 영역
349: 비아 352: 배출구
360: 가스 입구 416: 타겟
418: 전기적 절연체 424: 기판
434: DC 전원 444: 요크
446: 회전 암 462: 영구 자석
476: 클램프 부재 550: 반응기
554: 타겟 절연기 558: 웨이퍼
564: 플로팅 차폐부 576: 링
577: 림 581: 플랜지
600: 채널 610: DC 전원 장치
616: 질량 유량 제어계 630: 마그네트론
650: SIP 구리 시드층 732, 734: 로드 락 챔버
744: 예비 세정 챔버 770: 제어기

Claims (20)

  1. 기판의 유전층에 형성된 적어도 4:1의 종횡비를 가지는 홀 속에 금속을 증착하는 장치로서,
    전달 챔버;
    상기 전달 챔버에 결합되며 그 내부에 유도 결합된 플라즈마를 형성하고 상기 유도 결합된 플라즈마에서 상기 홀 내에 금속을 포함하는 증착 물질을 스퍼터 증착하는 IMP 스퍼터 챔버; 및
    상기 전달 챔버에 결합되며 그 내부에 자기-이온화된 플라즈마를 형성하고 상기 자기-이온화된 플라즈마에서 상기 홀 내에 금속을 포함하는 증착 물질을 스퍼터 증착하는 SIP 챔버;를 포함하고,
    상기 SIP 챔버가,
    중심축 주위에 배열된 측벽;
    상기 SIP 챔버내의 상기 기판을 지지하는 페데스털;
    상기 중심축을 따라 상기 페데스털 맞은편에 배치된 스퍼터링 타겟- 상기 페데스털, 상기 타겟, 및 상기 측벽 사이의 영역에는 프로세싱 공간이 형성됨-;
    상기 프로세싱 공간 맞은편의 상기 타겟 측면상에 배치된 마그네트론; 및
    상기 중심축을 따라 제 1 자기 극성을 가지며 적어도 부분적으로 상기 프로세싱 공간 주위에 배치된 보조 자석들을 포함하는,
    금속 증착 장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 타겟은 상기 기판 직경의 50% 보다 큰 쓰로우 간격(throw distance)만큼 상기 페데스털로부터 떨어져 있는 것을 특징으로 하는 금속 증착 장치.
  4. 제 1 항에 있어서,
    상기 IMP 챔버는 상기 기판을 지지하고 바이어스시키는 페데스털을 포함하며, 상기 장치는 증착 물질을 재스퍼터링하기 위해 상기 유도 결합된 플라즈마 이온을 끌어당기도록 상기 기판이 바이어스되도록 상기 페데스털을 제어하는 제어기를 포함하는 것을 특징으로 하는 금속 증착 장치.
  5. 제 4 항에 있어서,
    상기 재스퍼터링은 상기 홀 하부에 증착된 증착 물질 제거를 포함하는 것을 특징으로 하는 금속 증착 장치.
  6. 제 1 항에 있어서,
    상기 SIP 챔버는 탄탈을 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  7. 제 1 항에 있어서,
    상기 SIP 챔버는 구리를 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  8. 제 1 항에 있어서,
    상기 IMP 챔버는 탄탈을 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  9. 제 1 항에 있어서,
    상기 IMP 챔버는 구리를 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  10. 제 1 항에 있어서,
    상기 IMP 챔버는 상기 유도 결합된 플라즈마에 RF 에너지를 유도적으로 결합시키는 내부 RF 코일을 구비하는 것을 특징으로 하는 금속 증착 장치.
  11. 기판의 유전층에 형성된 적어도 4:1의 종횡비를 가지는 홀 속에 금속을 증착하는 장치로서,
    전달 챔버;
    상기 전달 챔버에 결합되며 그 내부에 유도 결합된 플라즈마를 형성하고 상기 유도 결합된 플라즈마에서 상기 홀 내에 금속을 포함하는 증착 물질을 스퍼터 증착하는 IMP 스퍼터 챔버; 및
    상기 전달 챔버에 결합되며 그 내부에 자기-이온화된 플라즈마를 형성하고 상기 자기-이온화된 플라즈마에서 상기 홀 내에 금속을 포함하는 증착 물질을 스퍼터 증착하는 SIP 챔버;를 포함하고,
    상기 IMP 및 SIP 챔버 중 하나 이상이 상기 기판을 지지하고 바이어스시키는 페데스털을 포함하며,
    상기 장치는, 상기 홀 하부에 증착된 증착 물질을 제거하기 위하여, 상기 홀 내의 증착 물질을 재스퍼터링하기 위해 플라즈마 이온을 끌어당기도록 상기 기판이 바이어스되도록 상기 페데스털을 제어하는 제어기를 더 포함하고,
    상기 SIP 챔버는,
    중심축 부근에 배열된 측벽;
    상기 SIP 챔버내의 상기 기판을 지지하는 페데스털;
    상기 중심축을 따라 상기 페데스털 맞은편에 배치된 스퍼터링 타겟- 상기 페데스털, 상기 타겟, 및 상기 측벽 사이의 영역에는 프로세싱 공간이 형성됨-;
    상기 프로세싱 공간 맞은편의 상기 타겟 측면상에 배치된 마그네트론; 및
    상기 중심축을 따라 제 1 자기 극성을 가지며 상기 프로세싱 공간 부근에 적어도 부분적으로 배치된 보조 자석들을 포함하는 것을 특징으로 하는 금속 증착 장치.
  12. 삭제
  13. 제 11 항에 있어서,
    상기 타겟은 상기 기판 직경의 50% 보다 큰 쓰로우 간격(throw distance)만큼 상기 페데스털로부터 떨어져 있는 것을 특징으로 하는 금속 증착 장치.
  14. 제 11 항에 있어서,
    상기 IMP 챔버는 상기 기판을 지지하고 바이어스시키는 페데스털을 포함하며, 상기 장치는 증착 물질을 재스퍼터링하기 위해 상기 유도 결합된 플라즈마 이온을 끌어당기도록 상기 기판이 바이어스되도록 상기 페데스털을 제어하는 제어기를 포함하는 것을 특징으로 하는 금속 증착 장치.
  15. 제 14 항에 있어서,
    상기 재스퍼터링은 상기 홀 하부에 증착된 증착 물질 제거를 포함하는 것을 특징으로 하는 금속 증착 장치.
  16. 제 11 항에 있어서,
    상기 SIP 챔버는 탄탈을 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  17. 제 11 항에 있어서,
    상기 SIP 챔버는 구리를 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  18. 제 11 항에 있어서,
    상기 IMP 챔버는 탄탈을 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  19. 제 11 항에 있어서,
    상기 IMP 챔버는 구리를 포함하는 스퍼터 타겟을 가지는 것을 특징으로 하는 금속 증착 장치.
  20. 제 11 항에 있어서,
    상기 IMP 챔버는 상기 유도 결합된 플라즈마에 RF 에너지를 유도적으로 결합시키는 내부 RF 코일을 포함하는 것을 특징으로 하는 금속 증착 장치.
KR1020107009487A 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마 KR101179727B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/993,543 2001-11-14
US09/993,543 US6610184B2 (en) 2001-11-14 2001-11-14 Magnet array in conjunction with rotating magnetron for plasma sputtering
US34260801P 2001-12-21 2001-12-21
US60/342,608 2001-12-21
US10/202,778 2002-07-25
US10/202,778 US20030116427A1 (en) 2001-08-30 2002-07-25 Self-ionized and inductively-coupled plasma for sputtering and resputtering
PCT/US2002/036940 WO2003042424A1 (en) 2000-10-10 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020047007317A Division KR100993046B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합플라즈마

Publications (2)

Publication Number Publication Date
KR20100049710A KR20100049710A (ko) 2010-05-12
KR101179727B1 true KR101179727B1 (ko) 2012-09-04

Family

ID=34468346

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020127010137A KR101312690B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
KR1020107009485A KR101179726B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
KR1020107009487A KR101179727B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
KR1020047007317A KR100993046B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합플라즈마

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020127010137A KR101312690B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
KR1020107009485A KR101179726B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020047007317A KR100993046B1 (ko) 2001-11-14 2002-11-14 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합플라즈마

Country Status (3)

Country Link
JP (4) JP5296956B2 (ko)
KR (4) KR101312690B1 (ko)
CN (2) CN1656243B (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR101312690B1 (ko) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
US8936702B2 (en) * 2006-03-07 2015-01-20 Micron Technology, Inc. System and method for sputtering a tensile silicon nitride film
JP2008010532A (ja) * 2006-06-28 2008-01-17 Sony Corp 半導体装置の製造方法
JP4336739B2 (ja) 2007-06-04 2009-09-30 キヤノンアネルバ株式会社 成膜装置
CN101447274B (zh) * 2008-09-26 2011-05-11 东莞宏威数码机械有限公司 磁路机构和具有该机构的磁控溅射阴极及制造方法
US9752228B2 (en) * 2009-04-03 2017-09-05 Applied Materials, Inc. Sputtering target for PVD chamber
US8795487B2 (en) * 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
KR101903199B1 (ko) * 2010-12-08 2018-10-01 에바텍 아크티엔게젤샤프트 기판 상에 레이어를 증착하는 방법 및 장치
CN102820255A (zh) * 2011-06-08 2012-12-12 无锡华润上华半导体有限公司 一种pvd沉积薄膜的方法
US9159964B2 (en) 2012-09-25 2015-10-13 Front Edge Technology, Inc. Solid state battery having mismatched battery cells
US8753724B2 (en) * 2012-09-26 2014-06-17 Front Edge Technology Inc. Plasma deposition on a partially formed battery through a mesh screen
US9580795B2 (en) * 2013-03-05 2017-02-28 Applied Materials, Inc. Sputter source for use in a semiconductor process chamber
US20160108515A1 (en) * 2013-05-23 2016-04-21 Evatec Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9779920B2 (en) * 2013-08-14 2017-10-03 Applied Materials, Inc. Sputtering target with backside cooling grooves
CN104593735B (zh) * 2013-11-01 2017-10-13 北京北方华创微电子装备有限公司 一种用于反应腔的屏蔽结构
CN103572239B (zh) * 2013-11-15 2015-10-28 清华大学 一种提高偏心旋转磁控溅射系统的准直性方法
CN105810545B (zh) * 2014-12-30 2017-09-29 中微半导体设备(上海)有限公司 一种电感耦合等离子反应器
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte
GB201505578D0 (en) 2015-03-31 2015-05-13 Spts Technologies Ltd Method and apparatus for depositing a material
KR20180091948A (ko) * 2016-01-06 2018-08-16 어플라이드 머티어리얼스, 인코포레이티드 전기화학 증착 동안 작업부재의 피쳐들을 차폐하기 위한 시스템들 및 방법들
GB201706284D0 (en) * 2017-04-20 2017-06-07 Spts Technologies Ltd A method and apparatus for controlling stress variation in a material layer formed via pulsed DC physical vapour deposition
KR101985830B1 (ko) * 2017-05-23 2019-06-05 (주)울텍 물리 기상 증착 장치
CN110112094B (zh) * 2018-02-01 2021-06-04 长鑫存储技术有限公司 铝膜低温溅镀方法、铝导线层制造方法及具有其的结构
TWI772656B (zh) * 2018-06-28 2022-08-01 日商愛發科股份有限公司 濺鍍成膜裝置
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
JPWO2021245893A1 (ko) 2020-06-04 2021-12-09
FR3130851A1 (fr) * 2021-12-21 2023-06-23 Societe Des Ceramiques Techniques Procédé de fabrication d’une couche sous-stœchiométrique en oxygène d’un oxyde de titane, de vanadium, de tungstène ou de molybdène
CN114686831B (zh) * 2022-03-11 2023-11-07 中国电子科技集团公司第四十八研究所 一种用于深孔pvd的金属自离子化装置及镀膜方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001226767A (ja) 1999-10-08 2001-08-21 Applied Materials Inc 銅スパッタリング用自己イオン化プラズマ

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH04297575A (ja) * 1991-03-25 1992-10-21 Bridgestone Corp 薄膜コーティング方法
JP2973058B2 (ja) * 1992-07-27 1999-11-08 日本真空技術株式会社 高真空・高速イオン処理装置
JPH06158299A (ja) * 1992-11-19 1994-06-07 Hitachi Ltd 薄膜形成法及び装置並びに集積回路装置
US5496455A (en) * 1993-09-16 1996-03-05 Applied Material Sputtering using a plasma-shaping magnet ring
KR970002891A (ko) * 1995-06-28 1997-01-28 배순훈 브이씨알 헤드의 박막 증착용 스퍼터링 장치
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
JP3847866B2 (ja) * 1996-11-21 2006-11-22 株式会社アルバック スパッタリング装置
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JPH111770A (ja) * 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
JP3500564B2 (ja) * 1997-12-19 2004-02-23 富士通株式会社 半導体装置の製造方法
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
JP3448227B2 (ja) * 1998-10-30 2003-09-22 アプライド マテリアルズ インコーポレイテッド セルフスパッタリング方法
US6254745B1 (en) * 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
JP2000332106A (ja) * 1999-05-19 2000-11-30 Sony Corp 半導体装置およびその製造方法
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
KR100365643B1 (ko) * 2000-10-09 2002-12-26 삼성전자 주식회사 반도체 장치의 다마신 배선 형성 방법 및 그에 의해형성된 다마신 배선 구조체
KR101312690B1 (ko) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
JP2010153219A (ja) * 2008-12-25 2010-07-08 Toyota Motor Corp 燃料電池システム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001226767A (ja) 1999-10-08 2001-08-21 Applied Materials Inc 銅スパッタリング用自己イオン化プラズマ

Also Published As

Publication number Publication date
JP6336945B2 (ja) 2018-06-06
JP2010283360A (ja) 2010-12-16
CN101847598B (zh) 2012-06-20
JP5960087B2 (ja) 2016-08-02
JP5296956B2 (ja) 2013-09-25
KR20100049710A (ko) 2010-05-12
JP2005510045A (ja) 2005-04-14
CN101847598A (zh) 2010-09-29
JP2013189711A (ja) 2013-09-26
KR100993046B1 (ko) 2010-11-08
CN1656243B (zh) 2010-06-16
CN1656243A (zh) 2005-08-17
JP5876213B2 (ja) 2016-03-02
KR101179726B1 (ko) 2012-09-04
JP2015201662A (ja) 2015-11-12
KR20040065222A (ko) 2004-07-21
KR20120043163A (ko) 2012-05-03
KR101312690B1 (ko) 2013-09-27
KR20100051882A (ko) 2010-05-18

Similar Documents

Publication Publication Date Title
KR101179727B1 (ko) 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
US8696875B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100843514B1 (ko) 구리 스퍼터링용 자기-이온화 플라즈마
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7048837B2 (en) End point detection for sputtering and resputtering
US20030116427A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20180327893A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
TWI328258B (en) Aluminum sputtering while biasing wafer
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 7