CN112970096A - 蚀刻室中的方向性沉积 - Google Patents

蚀刻室中的方向性沉积 Download PDF

Info

Publication number
CN112970096A
CN112970096A CN201980072838.2A CN201980072838A CN112970096A CN 112970096 A CN112970096 A CN 112970096A CN 201980072838 A CN201980072838 A CN 201980072838A CN 112970096 A CN112970096 A CN 112970096A
Authority
CN
China
Prior art keywords
mask
patterned
target layer
vertical growth
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980072838.2A
Other languages
English (en)
Inventor
谭忠魁
谢丽斯
山口叶子
石川靖
帕特里克·蓬那特
钟成珍
桑军·帕克
李源哲
崔佳英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112970096A publication Critical patent/CN112970096A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明描述了一种用于在蚀刻应用中形成竖直生长掩模的方法。所公开的实施方案包含导入含钨沉积前体以及一或多种载气,同时点燃等离子体,以选择性地在图案化蚀刻掩模的正特征的场域上沉积钨而不实质沉积在正特征的侧壁上或在图案化蚀刻掩模下方的目标层的暴露表面上。

Description

蚀刻室中的方向性沉积
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
半导体设备的制造包括微处理器、逻辑和储存器设备的制造。可以使用多种技术来制造这样的设备,所述技术包括实现各种类型的掩模的图案化技术。一些工艺涉及形成包括硅氧化物和硅氮化物的结构。用于形成这种结构的一些技术可能限于同时包括蚀刻和沉积的图案化技术。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文提供了用于处理半导体衬底的方法和装置。一个方面涉及一种方法,其涉及:提供半导体衬底,其具有位于目标层上方的图案化蚀刻掩模,所述图案化蚀刻掩模包含间隔开的正特征,每一间隔开的正特征均具有场域和侧壁;并且相对于所述目标层而选择性地在所述间隔开的正特征的所述场域上沉积竖直生长掩模。
在多种实施方案中,所述竖直生长掩模包含至少一个特征;且所述竖直生长掩模的所述至少一个特征的关键尺寸与所述图案化蚀刻掩模的相对应的间隔开的正特征的关键尺寸实质相同。
在一些实施方案中,在所述场域上沉积所述竖直生长掩模是在介于约0℃至约160℃之间的衬底温度下执行。
所述方法还包含使用所述图案化蚀刻掩模以及所述竖直生长掩模两者作为掩模来蚀刻所述目标层。在一些实施方案中,沉积所述竖直生长掩模以及蚀刻所述目标层同时执行。在一些实施方案中,所述沉积和所述蚀刻通过将所述半导体衬底暴露于氟碳化物气体以及含钨气体并点燃等离子体而同时执行。所述氟碳化物气体以及所述含钨气体可以以所述氟碳化物气体流率比所述含钨气体流率介于约10:1至约1:1之间的比率输送。在一些实施方案中,所述氟碳化物气体是以低于约80%的氟碳化物气体以及含钨气体的总体积的流率输送。
在一些实施方案中,所述目标层包含非晶碳,且所述沉积和所述蚀刻是通过将所述半导体衬底同时暴露于氧气等离子体以及含钨等离子体而同时执行的。
在一些实施方案中,所述方法还包含循环交替进行选择性沉积所述竖直生长掩模以及蚀刻所述目标层。在一些实施方案中,所述目标层包含非晶碳,且所述蚀刻是通过将所述目标层暴露于氧气等离子体而执行的。
在多种实施方案中,所述竖直生长掩模的间隔开的正特征之间的空间的尺寸与所述图案化蚀刻掩模的所述间隔开的正特征之间的空间的尺寸基本上相同。在一些实施方案中,所述图案化蚀刻掩模的所述间隔开的正特征之间的所述空间的尺寸是在所述竖直生长掩模的所述间隔开的正特征之间的所述空间的尺寸的约100%内。
在多种实施方案中,所述间隔开的正特征之间的空间具有介于约50:1至约100:1之间的深宽比。
在多种实施方案中,两相邻的间隔开的正特征之间的空间的尺寸为介于约10nm至约200nm之间。
在多种实施方案中,在所述场域上选择性沉积所述竖直生长掩模包含:将所述场域暴露于含钨气体。在一些实施方案中,所述含钨气体与稀释气体一同输送。所述稀释气体可以是下列项中的一或多者:氩气、氢气、以及其混合物。所述含钨气体可以是钨卤化物。在一些实施方案中,所述钨卤化物选自由六氟化钨以及六氯化钨所组成的群组。在一些实施方案中,在所述场域上选择性沉积所述竖直生长掩模还包含:在含有含钨气体的环境中点燃等离子体。所述等离子体是在介于约100W至约500W之间的等离子体功率下产生的。
在多种实施方案中,在所述场域上选择性沉积所述竖直生长掩模是在具有介于约10mTorr至约100mTorr之间的室压强的处理室中执行的。
在多种实施方案中,在所述场域上选择性沉积所述竖直生长掩模通过调节例如等离子体功率、室压强或衬底温度之类的处理条件而执行。
在多种实施方案中,所述图案化蚀刻掩模的厚度介于约5nm至约200nm之间。
在多种实施方案中,所述图案化蚀刻掩模包含选自由硅氧化物、硅氮化物、硅氧氮化物及其组合组成的群组的材料。
在多种实施方案中,所述图案化蚀刻掩模包含光致抗蚀剂。所述目标层可以是抗反射涂层。在多种实施方案中,所述目标层的厚度介于约500nm至约5000nm之间。
另一方面涉及一种方法,其包含:提供半导体衬底,其具有位于目标层上方的图案化蚀刻掩模,所述图案化蚀刻掩模包含间隔开的正特征,每一间隔开的正特征均具有场域和侧壁;并且相对于目标层而选择性地在所述间隔开的正特征的所述场域上沉积竖直生长的含钨掩模。
另一方面涉及一种方法,其包含:提供半导体衬底,其包含含碳目标层、抗反射层以及图案化的光致抗蚀剂;图案化所述抗反射层以形成图案化抗反射掩模;将所述半导体衬底暴露于含钨前体并且在条件下点燃等离子体以选择性地沉积含钨掩模,以在所述图案化的抗反射掩模的场域上形成图案化的含钨掩模;以及利用所述图案化的抗反射掩模以及所述图案化的含钨掩模而使所述含碳目标层图案化。
另一方面涉及一种方法,其包含:提供半导体衬底,其包含目标层以及具有第一关键尺寸的图案化蚀刻掩模;并且将所述半导体衬底暴露于等离子体,以在所述图案化的蚀刻掩模上形成具有第二关键尺寸的钨材料,所述等离子体在低于约160℃的衬底温度下利用在约100W和约500W之间的等离子体功率由氩和氢气的混合物以及含钨前体产生,使得所述第二关键尺寸在所述第一关键尺寸的约150%内。
另一方面涉及一种装置,其包含:反应室,其包含用以保持衬底的基座;等离子体源,其耦合至所述反应室,并且被配置成产生等离子体;耦合至所述反应室的一或多个第一气体入口;耦合至所述反应室的第二气体入口;以及控制器,其包含用于执行下列操作的指令:使氩气和氢气的混合物以及含钨前体导入;使利用介于约100W至约500W之间的等离子体功率产生所述等离子体;以及使所述基座的温度设定在约160℃以下。
这些及其他方面将参考附图在下面进一步描述。
附图说明
图1为工艺流程图,其说明根据某些公开的实施方案而执行的操作。
图2为工艺流程图,其说明根据某些公开的实施方案而执行的操作。
图3、4、5、6A-6B、7A-7B和8A-8C为某些公开的实施方案中正在进行操作的衬底的示意图。
图9为用于执行某些公开的实施方案的示例性处理室的示意图。
图10为用于执行某些公开的实施方案的示例性处理装置的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实践所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是,其并不意在限制所公开的实施方案。
在半导体设备制造中,掩模化方法用于图案化和蚀刻衬底。随着衬底深宽比的增大,对高选择性硬掩模的需求增加。各种图案化方案涉及形成正特征部,例如用来当作蚀刻目标层的掩模的介电间隔件。然而,某些介电间隔件可能易于被腐蚀,尤其是在介电间隔件之间的间隙的深宽比很大且间隙深度可能因此也较大的情况下,这可能导致更长的蚀刻持续时间,以蚀刻介电间隔件下方的目标层。因此,在蚀刻目标层的过程中,一些介电间隔件可能会被腐蚀。
存在一些方法以防止在蚀刻期间对蚀刻掩模的腐蚀,但是这种方法涉及等离子体增强化学气相沉积(PECVD)工艺,该工艺会导致在蚀刻掩模上产生面包条状的生长,从而降低了掩模间的负特征部的关键尺寸并改变了特征轮廓。对于具有非常高的深宽比的特征以及长时间的蚀刻操作,这是一个特别需要关注的问题。
对于这些掩模的形成,技术包括在蚀刻下伏层(例如目标层)以及沉积额外掩模材料之间交替进行,以解决在蚀刻过程中腐蚀掩模的问题,其操作涉及不同的处理气体以及有时涉及不同的工具,随着晶片从一站传送到另一站、甚至从一个工具传送到另一个工具而延长了处理时间。
本文提供了在图案化衬底的场域上沉积掩模的方法并且同时实质保留掩模的关键尺寸。在多种实施方案中,可以执行掩模的沉积,同时在掩模的负特征中选择性地蚀刻目标层。
沉积本文所述的竖直生长掩模使得在沉积掩模时,几乎没有或完全没有水平沉积。也就是说,在正衬底的场域上的沉积会导致竖直生长掩模的侧壁大部分是竖直的,而不会改变图案化掩模的正特征之间的空间尺寸大小。进行沉积时,在正衬底的侧壁上基本上没有沉积,并且大多数或所有的沉积仅在场域上发生。当掩模竖直生长时,额外的沉积掩模材料便大量沉积在现有的掩模材料上,且生长基本上是竖直的。这也就是说,只有很少或完全没有掩模材料沉积在所沉积的掩模的侧壁上,且竖直生长掩模可以生长至各种厚度,适合用于特定应用。沉积是具选择性的,因为其优先在正特征上、尤其是在这些特征的顶部上形成新材料。选择性沉积可以以这样的方式进行:在正特征的顶部竖直地形成新材料,而基本上不水平地延伸。因此,某些公开的实施方案的一个特别优点是能够保持特征的关键尺寸(也就是正特征之间的空间宽度,竖直生长掩模沉积于所述正特征上)使得沉积的竖直生长掩模的宽度基本上与下伏蚀刻掩模相同(所述竖直生长掩模沉积于所述下伏蚀刻掩模上)。为了清楚起见,将上面沉积竖直生长掩模的材料称为“下伏蚀刻掩模”或“蚀刻掩模”,但应理解,包括聚合材料、电介质和半导体材料在内的多种材料可用于蚀刻掩模。应理解,蚀刻掩模可以是图案化的光致抗蚀剂。还应理解,蚀刻掩模可以是图案化的抗反射涂层,例如底部抗反射涂层(BARC)或介电抗反射涂层(DARC)。术语“竖直生长掩模”用于指沉积在下伏蚀刻掩模上方的材料,其导致对特征关键尺寸以及掩模宽度的保留。某些公开的实施方案的优点是避免使所形成的掩模结构被修整或薄化的能力。薄化或修整的定义是,关键尺寸减少超过关键尺寸的2%,或者侧壁出现的任何凹陷或粗糙不平减少。
竖直生长掩模可以是例如钨金属之类的含钨材料。在许多实施方案中,含钨材料不是纯金属钨,且可能包含一些杂质。尽管本文将钨描述为材料的一个示例,但应理解,通过采用适当的反应剂及工艺条件,可以使用其他含金属的材料或甚至不含金属的材料来实现竖直生长。
本文还公开了在相同操作期间,在蚀刻下伏层的同时沉积竖直生长掩模的方法。用于沉积竖直生长掩模的工艺条件也可以用于蚀刻下伏的目标材料(例如抗反射层或非晶碳层),以使衬底每次暴露于工艺条件(包括但不限于前体气体、等离子体条件、温度和压强),都会同时引起在下伏蚀刻掩模上的竖直生长掩模的形成以及对位于下伏蚀刻掩模下方的下伏目标材料的蚀刻。换句话说,只要单个工艺就可同时在场域上形成竖直掩模以及蚀刻特征中的目标材料。
所公开的实施方案包括选择工艺窗以及下伏掩模图案的几何形状,以实现竖直生长掩模的竖直生长、对下伏目标材料的蚀刻或两者。
不受特定理论的束缚,据信几何形状的选择性、材料的选择性或两者均有助于某些公开实施方案实现选择性竖直生长以及在某些情况下同时蚀刻下伏的目标材料的能力。几何形状的选择性是指通过在具有第一几何特性的衬底的一个区域中相对于具有第二几何特性的衬底的另一个区域而沉积更多的材料来实现选择性,该区域基于所暴露的衬底的几何形状或形貌而被定义为在衬底上的位置。这种选择性的形式仅基于几何形状,而无需使用不同的材料来选择性地增强或抑制沉积。一个区域相对于另一个区域具有较厚的沉积取决于在每个区域中的沉积速率(在时间单元内沉积的厚度)。几何形状的选择性可由于衬底上的负特征的深宽比来实现,且可能导致衬底的场域(即,在负特征的特征开口的任一侧)上的沉积速率大于负特征的侧壁或底部区域上的沉积速率。据信,由于下伏蚀刻掩模所界定的正特征之间的负特征的高深宽比,因此在某些公开的实施方案中可以实现竖直生长。
材料选择性是指由于材料的化学、物理、形态等(组成、晶格结构或任何其他性质)的差异,因而通过在衬底的一种材料上相对于衬底上的另一种材料而沉积更多的材料来实现的选择性;也就是说,例如,在介电材料上的沉积速率可能大于在导电材料上的沉积速率。据信,由于在下伏蚀刻掩模的表面(或竖直生长掩模的沉积材料的表面)以及在下伏蚀刻掩模下方的目标材料的表面之间的材料选择性,在某些公开的实施方案中可以实现竖直生长。另外,当沉积竖直生长掩模时,据信由于在竖直生长掩模的沉积材料的表面与下伏蚀刻掩模和在下伏蚀刻掩模下方的目标材料两者的表面之间的材料选择性,因而在某些公开的实施方案中可以实现竖直生长。这种选择性的形式仅基于材料的差异,而无需不同的表面几何形状来选择性地增强或抑制沉积。当然,在某些情况下,可以通过暴露表面上的几何及组成差异的组合来赋予选择性。
图1为工艺流程图,其说明了根据某些公开的实施方案而执行的操作。
在操作120中,提供了一种在目标层上方具有图案化蚀刻掩模的图案化半导体衬底。
半导体衬底可以是硅晶片,例如200mm的晶片、300mm的晶片、或450mm的晶片,包括上面沉积有一或多个材料层(例如介电质、导电、或半导电材料)的晶片。下伏层的非限制性示例包括介电层和导电层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物以及金属层(例如铜、铝、钨、钴、钌、钼、钽等)。
图案化蚀刻掩模在本文中有时被称为“下伏蚀刻掩模”。图案化蚀刻掩模可以包括间隔开的正特征(与凹陷相对)。在蚀刻期间,间隔开的特征被转移到衬底的目标层正上方或是在目标层上方的中间层上。正特征的尺寸可以表征为关键尺寸,该关键尺寸是在正特征的横剖面中从一侧壁到另一侧壁的最短距离处在整个正特征上水平测量宽度。每个正特征包括侧壁及暴露的场域。暴露的场域是特征的顶部水平区域。场域可以被先前用来使图案化蚀刻掩模(例如图案化光致抗蚀剂)图案化的掩模所限定;在蚀刻期间,已移除用来使图案化蚀刻掩模图案化的掩模,留下图案化蚀刻掩模特征的暴露场域。在多种实施方案中,在衬底上的正特征的平均关键尺寸为约10nm至约200nm之间。
相邻特征的分开的距离为特征的间距,其是从掩模的正特征之间的第一空间的中心到正特征的另一侧上的相邻空间的中心测得的。正特征之间的距离在本文中可以被称为“负特征”,其具有跨越两个正特征之间的空间水平测量的“负特征开口”,如从正特征的侧壁到相邻正特征的侧壁所测量的。在某些实施方案中,负特征的平均深宽比为至少约1:1,或介于约50:1至约100:1之间。应理解,在一些实施方案中,在衬底的整个表面上可能存在各种特征尺寸和/或深宽比。还应理解,在一些实施方案中,在衬底的整个表面上可能存在相同和/或相似的特征尺寸。
在某些实施方案中,图案化蚀刻掩模具有约5nm至约3000nm的平均厚度。图案化蚀刻掩模的平均厚度是根据以下方式测得的:取从每个特征的正特征的场域到掩模下方紧邻层的顶部的竖直测量厚度的平均值。
在某些实施方案中,图案化蚀刻掩模是介电材料、硅、碳、或聚合物材料。
在一些实施方案中,介电材料包括硅氧化物、硅氮化物、硅氧氮化物(SiON)或它们的组合。可以通过热技术或等离子体辅助技术,使用含硅前体以及一或多种含氧和/或含氮反应剂来沉积介电材料。作为示例,可以使用含氟碳化合物的化学物质以及可选的等离子体来蚀刻介电材料。
“硅氧化物”在本文中指包括SixOy的任何和所有化学计量的可能性,其中包括x和y的整数值以及x和y的非整数值。例如,“硅氧化物”包括具有式SiOn的化合物,其中1<n<2,其中n可以是整数值或非整数值。“硅氧化物”可以包括例如SiO1.8之类的亚化学计量的化合物。“硅氧化物”还包括二氧化硅(SiO2)和一氧化硅(SiO)。“硅氧化物”还包括天然及合成变体,且还包括任何和所有的晶体和分子结构,包括围绕中心硅原子的氧原子的四面体配位。“硅氧化物”还包括非晶硅氧化物和硅酸盐。
“硅氮化物”在本文中指包括SixNy的任何和所有化学计量的可能性,其中包括x和y的整数值以及x和y的非整数值,例如x=3且y=4。例如,“硅氮化物”包括具有式SiNn的化合物,其中1<n<2,其中n可以是整数值或非整数值。“硅氮化物”可以包括例如SiNh8的亚化学计量的化合物。“硅氮化物”还包括Si3N4和具有痕量和/或间隙氢的硅氮化物(SiNH)以及具有痕量和/或间隙氧的硅氮化物(SiON)。“硅氮化物”还包括天然及合成变体,且还包括任何以及所有晶格、晶体和分子结构,包括三角α-硅氮化物(trigonal alpha-silicon nitride)、六角β-硅氮化物(hexagonal beta-silicon nitride)和立方γ-硅氮化物(cubic gamma-silicon nitride)。“硅氮化物”还包括非晶硅氮化物,并且可以包括具有痕量杂质的硅氮化物。
在一些实施方案中,聚合物材料具有化学式CxHyOz,并且可以预先通过旋涂法沉积并且使用包括氧气和氟碳化物气体和/或等离子体的蚀刻化学来进行图案化。在一些实施方案中,聚合物材料是通过暴露于辐射并且使用例如EUV光刻之类的光刻技术显影而图案化的光致抗蚀剂。
在一些实施方案中,图案化蚀刻掩模为图案化光致抗蚀剂。
在一些实施方案中,可以使用显影的光致抗蚀剂作为掩模来预先对图案化蚀刻掩模进行图案化。在一些实施方案中,图案化蚀刻掩模可以是抗反射层或包括抗反射层。例如,图案化的蚀刻掩模可以是BARC层,或者可以是DARC层。
在操作140中,将竖直生长掩模沉积在图案化蚀刻掩模的暴露场域上。使用前体和工艺条件来沉积竖直生长掩模,使得随着时间推移而增加掩模的沉积厚度,但在沉积掩模时,基本上不沉积在图案化蚀刻掩模的侧壁或竖直生长掩模的侧壁上。该行为可以称为“竖直生长”,但应当理解的是,“竖直”仅用作在衬底以其作用表面水平定位的方式被定位时描述该现象的术语。在一些实施方案中,可以选择前体和工艺条件以调整沉积在竖直生长掩模中的材料的轮廓。在一些实施方案中,竖直生长掩模为图案化的含钨掩模。
竖直生长掩模可以是含金属的材料,或非金属的材料。竖直生长掩模的材料的示例包括例如硅之类的含硅材料、含碳材料、含硼材料、含钛材料、含钌材料以及例如钨金属或掺杂钨的金属之类的含钨材料。
竖直生长掩模可以通过引入一或多种处理气体并点燃等离子体来沉积。所使用的一或多种处理气体取决于待沉积在竖直生长掩模的材料。在多种实施方案中,该一或多种处理气体包括含金属气体。在一些实施方案中,含金属的气体是金属卤化物。在一些实施方案中,含金属气体为羰基金属气体。含金属气体可以包括含钨气体。含钨气体的示例包括钨卤化物,例如五氯化钨、六氯化钨、五氟化钨以及五氯化钨。在一些实施方案中,含金属气体包括含钛气体,例如钛氯化物(TiCl4)。在一些实施方案中,含金属气体包括含钌气体,例如十二碳三钌(Ru3(CO)12)。在多种实施方案中,该一或多种处理气体包括含碳气体或含硼气体。含碳气体示例包括甲烷(CH4)、乙炔(C2H2)和丙烯(c3H6)。示例性的含硼气体包括乙硼烷(B2H6)。在多种实施方案中,使用含钨气体来沉积含钨竖直生长掩模。在多种实施方案中,使用含碳气体来沉积含碳竖直生长掩模。在多种实施方案中,使用含硼气体来沉积含硼竖直生长掩模。在多种实施方案中,使用含钛气体来沉积含钛竖直生长掩模。在多种实施方案中,使用含钌气体来沉积含钌竖直生长掩模。
在一些实施方案中,在具有一或多种处理气体的环境中点燃等离子体。例如,在一些实施方案中,可以在含钨的气体环境中点燃等离子体。尽管本文描述了基于等离子体的沉积工艺,但在一些实施方案中,可以通过选择工艺条件(例如室压强、气体流量、温度等)来使用无等离子体热处理。
在一些实施方案中,该一或多种处理气体包括非金属气体,例如含硅气体或含碳气体,具体取决于竖直生长掩模的材料。
用于沉积竖直生长掩模的一或多种处理气体可以与一或多种载气和/或稀释气体一起输送。在一些实施方案中,载气可以在输送到容纳衬底的处理室之前被转移。在一些实施方案中,载气与一或多种处理气体一起被输送到处理室中。示例性的载气包括氩气、氢气、氦气及其组合。
可以与载气组成相同的稀释气体能够与用于沉积竖直生长掩模的处理气体一起使用,也可以作为单独的操作引入。稀释气体可用于限制通过卤素物质进行的蚀刻或修整,这些卤素物质可以通过使用金属卤化物分解而沉积竖直生长掩模。可以用等离子体点燃稀释气体以促进卤素物质的清除。
为沉积竖直生长掩模而输送的气体的组成可能会影响所沉积的竖直生长掩模的沉积轮廓。具体而言,处理气体的比率可用于调节竖直生长掩模的方向性和生长速率。例如,在一些实施方案中,六氟化钨比氢的比率在大约1:0至大约1:5之间,以实现竖直沉积的竖直生长掩模的关键尺寸在图案化蚀刻掩模的关键尺寸的约150%以内。
具体而言,在一些实施方案中,可以通过引入六氟化钨与氩和氢的混合物并点燃等离子体来沉积竖直生长掩模。
使用包括但不限于等离子体功率和频率的处理条件来点燃等离子体,选择该条件以使得随着时间的推移,图案化掩模的场域暴露于处理气体环境中的等离子体导致竖直生长掩模厚度增加,而该竖直生长掩模的关键尺寸实质上与图案化蚀刻掩模的关键尺寸相同,例如差距在大约10%以内或在大约5%以内。
在一些实施方案中,点燃等离子体,且处理气体与图案化蚀刻掩模的场域发生反应以进行沉积处理。在一些实施方案中,处理气体与衬底反应或被吸附到图案化蚀刻掩模的场域的表面上。在多种实施方案中,沉积是具优先性或选择性的,使得沉积在图案化蚀刻掩模的场域上比在图案化蚀刻掩模的侧壁上发生得更快。在一些实施方案中,在图案化蚀刻掩模的侧壁上基本上没有沉积。在多种实施方案中,将处理气体以气态形式引入到室中,并且可任选地伴随有例如氩气、氦气、氢气或其组合之类的载气。由处理气体等离子体产生的物质可以通过在容纳衬底的处理室中形成等离子体而直接产生,或它们可以在不容纳衬底的处理室中远程产生,并且可以供应到容纳衬底的处理室中。
在一些实施方案中,等离子体是原位形成的,使得等离子体在室中的衬底表面上直接形成。在多种实施方案中,等离子体为电感耦合等离子体或电容耦合等离子体。在一些实施方案中,施加约0V至约500V之间的偏压到支撑衬底的基座上,以使带电等离子体物质具方向性地朝向衬底行进。
在多种实施方案中,单一晶片的等离子体功率在约100W至约500W之间。可以使用高频和/或低频等离子体来产生等离子体。
在操作140期间,可以将衬底温度设置为介于约20℃至约80℃之间的温度。应理解,衬底温度是为了加热或冷却衬底本身而为支撑半导体衬底的基座所设定的温度,且不一定是在任何给定时间下衬底本身的确切温度。
可以在设置为约10mTorr和约100mTorr之间的室压强的处理室中执行操作140。应理解,可以根据需要调节压强以实现沉积速率和特定的沉积轮廓。在一些实施方案中,调节压强以实现大幅地竖直生长,使得竖直生长掩模的关键尺寸在图案化蚀刻掩模的关键尺寸的约150%以内。
在多种实施方案中,为了沉积竖直生长掩模,通过调节工艺条件(例如等离子体功率、室压强、衬底温度和/或气流组成和/或流率和/或流动的气体的流率的比率而实现沉积速率、沉积轮廓以及沉积的方向性(即实现所沉积的竖直生长掩模的某些形状)。沉积的选择性还取决于上面沉积有竖直生长掩模的材料以及衬底的其他暴露区域。
例如,相对于暴露的含碳材料,可以在DARC材料上实现钨竖直生长掩模的沉积。具体而言,相对于暴露的非晶碳化物材料,可以在硅氧氮化物材料上实现钨竖直生长掩模的沉积。在一些实施方案中,相对于DARC材料,可以在聚合物BARC材料上实现竖直生长掩模的沉积。
使用特定的特征几何形状也可以实现选择性沉积。例如,在沉积之前,图案化蚀刻掩模的正特征之间的空间的深宽比可以在约50:1至约200:1的范围内。在沉积前,在图案化蚀刻掩模的正特征之间的负特征的宽度为介于约10nm至大约200nm之间的情况下,可以实现竖直生长掩模的选择性沉积。在图案化蚀刻掩模的正特征之间的负特征的深度为至少约20nm的情况下,可以实现竖直生长掩模的选择性沉积。
可以通过在等离子体增强化学气相沉积型工艺中同时引入一或多种处理气体和一或多种载气并点燃等离子体来执行操作140。
在等离子体增强原子层沉积(PEALD)型的工艺中,可以通过以交替脉冲方式来引入一或多种处理气体和一或多种载气,并在引入处理气体或引入载气或引入两者中的至少一种期间点燃等离子体来执行操作140。
交替脉冲沉积是通过重复循环来进行的。一个循环可以包括一个脉冲的第一气体以及一个脉冲的第二不同气体,其中第二气体在第一气体的脉冲期间不流动,且第一气体在第二气体的脉冲期间不流动。
在一个示例中,在操作140期间执行的沉积循环是六氟化钨的脉冲以及氩/氢混合物的脉冲。
沉积周期中的每个脉冲可以是特定的持续时间。第一气体的脉冲和第二气体的脉冲可以具有不同的持续时间。第一气体的脉冲和第二气体的脉冲可以具有相同的持续时间。在一示例中,六氟化钨的脉冲可在约100毫秒至约10秒之间,而氩/氢混合物的脉冲可以在约100毫秒至约10秒之间。
在一些实施方案中,第二气体用于清除或处理衬底。例如,在一些实施方案中,特定的图案化蚀刻掩模长时间暴露于六氟化钨可导致图案化蚀刻掩模的劣化,和/或下伏目标层的长时间暴露可能导致目标层的劣化。交替引入六氟化钨以及引入可以清除衬底表面的载气或载气混合物可以防止对图案化蚀刻掩模及目标层的不想要的蚀刻或修整。在载气脉冲期间,可点燃等离子体。
在循环沉积的多种实施方案中,执行处理气体和载气的交替脉冲的多个循环,例如至少约2个循环,或在约3个循环到约20个循环之间。另外,由于每个脉冲的持续时间可以变化,所以循环数可取决于每个脉冲的持续时间以及竖直生长掩模的期望厚度。
在一些实施方案中,工艺条件可以从一个循环到另一个循环变化,具体取决于期望的沉积轮廓。
回到图1,在操作160中,使用图案化蚀刻掩模和沉积的竖直生长掩模作为掩模来蚀刻位于图案化蚀刻掩模下方的目标层。图案化蚀刻掩模以及沉积的竖直生长掩模具有相似的关键尺寸,而间距保持相同,并且在掩模的特征之间的空间两侧基本上是包括图案化蚀刻掩模材料以及竖直生长掩模材料的侧壁。使用这些特征作为掩模来执行蚀刻,且使用对蚀刻目标层具有选择性的化学物质,使得目标层的蚀刻速率实质上大于竖直生长掩模的蚀刻速率。蚀刻速率取决于所使用的蚀刻气体的组成以及工艺条件。蚀刻气体取决于目标层的材料以及目标层可能被蚀刻的深度。
在一示例中,目标层为非晶碳层,且所使用的蚀刻气体包括具有化学式为CxFyHz的氟碳化物,其中x是1-4,y是1-8,且z是1-6。在蚀刻期间可以点燃等离子体。对于单一晶片,可以使用约50W至约3000W之间的等离子体功率来点燃等离子体。使用利用等离子体功率300W点燃的CF4等离子体进行蚀刻的非晶碳的蚀刻速率可以是钨竖直生长掩模的蚀刻速率的至少约1.5倍。
尽管蚀刻可以是选择性的,使得目标层的蚀刻速度比掩模快,但是应当理解,在一些实施方案中,竖直生长掩模在长时间暴露于用于目标层的蚀刻化学物质下可能会劣化。在多种实施方案中,可以通过重复操作140来暂时停止对目标层的蚀刻,以沉积额外的竖直生长掩模,然后再继续在操作160中进行蚀刻。
在一些实施方案中,操作140和160同时执行。也就是说,在一些实施方案中,可以通过引入多种处理气体的选择并调节包括温度和等离子体功率的工艺条件,以在蚀刻目标层的同时减少竖直生长掩模的消耗而在蚀刻目标层的同时发生竖直生长掩模的沉积。具体示例在下面进一步描述。
同时蚀刻目标层和沉积竖直生长掩模具有多个优点。首先,用于沉积竖直生长掩模的气体可以输送到用于蚀刻目标层的同一室中,同时流入用于蚀刻目标层的蚀刻气体,从而提高效率并避免在室之间、工作站之间或甚至在用于形成掩模和蚀刻目标层的工具之间移动晶片。其次,由于连续引入竖直生长掩模沉积气体化学物质,所以在蚀刻目标层时几乎没有或完全没有掩模劣化的风险。由此可以允许蚀刻非常厚的材料,其涉及到在蚀刻非常厚的材料期间长时间暴露于蚀刻化学物质而不会使掩模劣化。例如,待蚀刻的目标层的厚度可以在约500nm至约5000nm之间。第三,仔细调整工艺条件,以使沉积的竖直生长掩模能具有与图案化蚀刻掩模的关键尺寸基本相同的关键尺寸,因此即使目标层暴露于竖直生长掩模的沉积气体中,竖直生长掩模也仅沉积在图案化蚀刻掩模的场域上或者在沉积的竖直生长掩模的场域上,很少会或完全不会沉积在图案化蚀刻掩模或竖直生长掩模之间的空间的侧壁上。
同时蚀刻和沉积竖直生长掩模涉及调节工艺条件及气流,以实现目标层的可容忍蚀刻速率并且同时保持竖直生长掩模的厚度以防止在蚀刻目标层期间劣化。在一些实施方案中,同时执行操作140和160涉及引入适于蚀刻目标层的一或多种气体以及适于一起沉积竖直生长掩模的一或多种气体。上面关于操作160中已描述了适于蚀刻目标层的一或多种气体。上面关于操作140中则描述了适于沉积竖直生长掩模的一或多种气体。在一些实施方案中,适于蚀刻目标层的一或多种气体包括氟碳化物气体。在一些实施方案中,适于沉积竖直生长掩模的一或多种气体包括含钨的气体。在多种实施方案中,氟碳化物气体流速与含钨气体流速的比率会影响目标层的蚀刻速率以及竖直生长掩模的沉积速率。在多种实施方案中,在目标层为非晶碳,并且竖直生长掩模是沉积在SiON图案化蚀刻掩模上的钨掩模的情况下,四氟化碳气体流速与六氟化钨气体流速的比率在约20:1至约1:1之间。在一些实施方案中,氟碳化物气体以低于所输送的所有气体的总流率的约80%的流率被输送。在多种实施方案中,同时进行蚀刻和沉积可以导致在竖直生长掩模的净沉积速率为0.5nm/秒的情况下达到目标层的1nm/秒的净蚀刻速率。
在一个示例中,目标层是非晶碳,且竖直生长掩模是沉积在SiON图案化蚀刻掩模(具有50nm的关键尺寸)上的钨掩模,且竖直生长掩模是通过在衬底温度为60℃、室压强为20mTorr下执行三个循环所沉积的,每个循环包括一个脉冲的六氟化钨(流率为30sccm、持续时间为10秒)以及一个脉冲的氩/氢(其中氩气流率为200sccm、氢气流率为200sccm)等离子体,该等离子体在衬底温度为60℃、室压强为20mTorr的条件下,以300W的等离子体功率下点燃。沉积的竖直生长掩模的关键尺寸为50nm,厚度为50nm,且没有在SiON图案化蚀刻掩模的侧壁上沉积。
在另一示例中,目标层为非晶碳,且竖直生长掩模是沉积在SiON图案化蚀刻掩模(具有50nm的关键尺寸)上的钨掩模。通过以大约10sccm的流率引入六氟化钨,以50sccm的流率引入氟碳化物气体(例如CH2F2和SF6)并点燃氦等离子体,在沉积竖直生长掩模的同时蚀刻非晶碳层,其中氦流率为300sccm、等离子体功率为300W、衬底温度为40℃以及室压强为10mTorr。沉积的竖直生长掩模的关键尺寸为50nm,厚度为50nm,且没有在SiON图案化蚀刻掩模的侧壁上沉积,且蚀刻的非晶碳层的量小于约
Figure BDA0003051352300000161
在一些实施方案中,操作140和160可以交替执行、按顺序执行、也可以重复包含操作140接着操作160的循环并多次重复该循环来执行。在一些实施方案中,操作140是在执行操作160一段持续时间之后执行的,使得操作140作用于补足在操作过程160中劣化的竖直生长掩模。
下面进一步描述特定示例。
图2为工艺流程图,其说明根据某些公开的实施方案而执行的操作。图2提供了一示例性工艺流程,其用于将图案化光致抗蚀剂的图案转移到抗反射层并且在图案化的抗反射掩模上选择性沉积竖直掩模,以形成包括抗反射层以及竖直掩模两者的多层掩模,并且使用多层掩模来蚀刻目标层。
在操作220中,提供在目标层上方的抗反射层上具有图案化光致抗蚀剂的图案化半导体衬底。应理解,在一些实施方案中,可以有一个以上的抗反射层以及例如盖层、阻挡层等其他层在衬底上。
图3为可在操作220中所提供的示例性半导体衬底的示意图。尽管本文描述了特定的材料并且在图3中描绘了特定的堆叠件,但是应当理解,可在图2的操作220中提供其他半导体衬底。
图3包括目标层300,其可以是任何合适的材料。在一些实施方案中,目标层300可以是非晶碳层。在目标层300上方的是DARC层302,在一些实施方案中,它可以是一种含硅材料,例如硅氧化物、硅氮化物以及硅氧氮化物中的任何一或多种。在DARC层302上方是BARC层305,其可以是通过旋涂法沉积的聚合物材料。BARC层305的顶表面包括图案化光致抗蚀剂307,其可以被光刻图案化且包含硅、碳或两者。
回到图2,在操作230中,蚀刻抗反射层以形成图案化蚀刻掩模。操作230会涉及根据层的材料提供一或多种适于蚀刻抗反射层的蚀刻气体,以及可选地点燃等离子体并施加偏置。蚀刻对于抗反射层的下伏层是选择性的,以防止蚀刻下伏层。
图4和图5显示了在操作230中蚀刻抗反射层之后的示例性半导体衬底的示意图。图4显示了具有目标层300和DARC层302的衬底,其中图3的BARC层305经蚀刻后形成图案化的BARC层405。如此形成了包括图案化的BARC层405的图案化蚀刻掩模,其可接着被用于蚀刻例如DARC层302之类的下伏层。
在一些实施方案中,操作230还包括蚀刻DARC层302。图5显示出在蚀刻BARC层305以形成图案化BARC层405、蚀刻DARC层302以形成图案化的DARC层502之后的具有目标层300的衬底。图案化光致抗蚀剂307被移除和/或因蚀刻不同的抗反射层而劣化。如此形成了包括图案化BARC层405以及图案化DARC层502两者的图案化蚀刻掩模。
返回图2,在操作240中,将竖直生长掩模沉积在操作230中所形成的图案化蚀刻掩模的暴露场域上。操作240可以使用任何合适的处理气体、工艺条件以及与上述图1中所描述的操作140相关的其他特征来进行。例如,可以在点燃等离子体的同时,使用一或多个循环的含钨前体以及氩气与氢气混合物的交替脉冲来沉积竖直生长掩模。
图6A和6B显示了一示例,其中,选择性地形成沉积的竖直掩模,而不在目标层300上沉积且不蚀刻目标层300。图6A显示出继图5之后的衬底,使得该衬底包括目标层300、图案化BARC层405以及图案化DARC层502。可以是钨的竖直生长掩模600被沉积在图案化BARC层405的场域上,而很少或完全没有沉积在图案化BARC层405或图案化DARC层502的侧壁上或是在目标层300的暴露表面上。
图6B显示出了在图2的操作240执行一段足以形成较厚的竖直生长掩模620的持续时间后的衬底。如图6B所示,衬底可暴露于处理气体和工艺条件,以实现对竖直掩模620的选择性竖直沉积,但不沉积在图案化BARC层405或图案化DARC层502的侧壁上或目标层300的暴露表面上。较厚的竖直生长掩模620的关键尺寸与图案化DARC层502以及图案化BARC层405的关键尺寸大致相同。
回到图2,在操作260中,使用图案化蚀刻掩模和竖直掩模作为掩模来蚀刻目标层300。可以使用上文参照图1所述的关于操作160所述的任何合适的处理气体、工艺条件以及其他特征来执行操作260。
如同图1中的沉积和蚀刻操作一样,操作240和260也可以同时执行,或者可以在单独操作中执行,且可以重复多个循环。上述关于操作140和160的同时、按顺序以及重复操作的变化均可应用于操作240和260。
图7A和7B显示出了一示例,其中在蚀刻目标层的同时,沉积的竖直掩模选择性地形成,并不会沉积在目标层上,也不蚀刻目标层。
图7A显示继图5之后的衬底,使得该衬底包括目标层300、图案化BARC层405以及图案化DARC层502。竖直生长掩模700(其可以是钨)沉积在图案化BARC层405的场域上,而很少或完全没有沉积在图案化BARC层405或图案化DARC层502的侧壁上或在目标层300的暴露表面上。
图7B显示出了一衬底,其中在图2的操作240和260同时执行一段持续时间而足以大量蚀刻目标层300,以在目标层300中形成负特征702,进而形成蚀刻目标层307,并且同时维持和/或增加竖直生长掩模700的厚度。对用于沉积竖直生长掩模700的气体以及用于蚀刻目标层300的气体这两者的持续暴露可用于达到这个结果。虽然在图7B中竖直生长掩模700的厚度被描绘为大致相同于图7A中的竖直生长掩模700的厚度,但应理解,在一些实施方案中,在持续暴露于用于蚀刻目标层300的蚀刻气体以及用于沉积竖直生长掩模700的气体这两者之后,厚度会是不同的。
图8A和8B显示了一示例,其中竖直掩模的沉积以及对目标层的蚀刻是以交替脉冲的方式在循环处理中执行。竖直掩模选择性地形成,并不会沉积在目标层上,也不蚀刻目标层。
图8A显示了继图5且执行操作260之后的衬底,执行操作260使得在蚀刻之前的竖直生长掩模800的轮廓暴露于用于使用图案化BARC层405及图案化DARC层502作为掩模来蚀刻目标层300的蚀刻剂,以形成部分被蚀刻的目标层308。在该操作中,目标层300被蚀刻的量是以箭头801标示,而竖直生长掩模800可能有一些材料被蚀刻而造成被蚀刻的竖直生长掩模810。这种情况是可能发生的,其中竖直生长掩模800的蚀刻速率比目标层300的蚀刻速率慢得多,但是暴露持续时间却长得足以蚀刻一些竖直生长掩模800。
图8B显示了继图8A且在重复执行操作240之后的衬底,执行操作240以补充竖直生长掩模820而不蚀刻部分蚀刻目标层308或沉积例如钨之类的竖直生长掩模材料在图案化BARC层405、图案化DARC层502的侧壁上或部分蚀刻目标层308的表面上。
图8C显示了继图8B且在重复操作260之后的衬底,重复操作260以进一步蚀刻经部分蚀刻的目标层308以形成经额外蚀刻的目标层318,使得附加的厚度被除去,如箭头802所示。蚀刻还可以进一步除去一些补充的竖直生长掩模820,以形成经进一步蚀刻的竖直生长掩模821。操作240和260可交替重复循环以继续蚀刻目标层并且同时补充竖直生长掩模。在一些实施方案中,竖直生长掩模会被沉积到足够的厚度,使得不用执行竖直生长掩模的再沉积。在一些实施方案中,竖直生长掩模被沉积到一定的厚度,使得竖直生长掩模的再沉积仅在被蚀刻的目标层的每个厚度上执行一次,该被蚀刻的目标层的每个厚度可以根据所沉积的竖直生长掩模的组成和厚度而变化。在一些实施方案中,可能的是,沉积厚的竖直生长掩模,之后蚀刻目标层而不必再沉积额外的竖直生长掩模,尤其是在如果蚀刻化学物质相对于竖直生长掩模而对蚀刻目标层具高度选择性时。
本文所述的多种实施方案可用于多种应用,包括极紫外(EUV)图案化、3D NAND掩模蚀刻(例如含碳材料或掺杂的含碳材料的蚀刻)以及冲压应用。冲压应用的一个示例是一种用于蚀刻高深宽比的孔和沟槽图案的工艺,以在孔或沟槽的底部“冲压”出目标膜,并且使在特征顶部的薄膜损失最小。本文提供的用于在具有BARC和DARC层的堆叠件上蚀刻含碳层的一些示例可能与EUV图案化工艺有关。
装置
在某些实施方案中,感应耦合等离子体(ICP)反应器可能适于执行某些公开的实施方案,其包括竖直掩模的沉积以及使用竖直掩模对目标层的蚀刻。这种ICP反应器也在于2013年12月10日提交的名称为“IMAGEREVERSAL WITH AHM GAP FILL FOR MULTIPLEPATTERNING”的美国专利申请公开No.2014/0170853中有所描述,其通过引用将其整体并入本文并用于所有目的。尽管这里描述了ICP反应器,但在一些实施方案中,应当理解,也可以使用电容耦合等离子体反应器。
图9示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体集成蚀刻和沉积装置900的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体装置900包括由室壁901和窗911在结构上限定的总处理室901。室壁901可以由不锈钢或铝制成。窗911可以由石英或其他介电材料制成。任选的内部等离子体栅格950将总处理室901分为上副室902和下副室903。在多数实施方案中,等离子体栅格950可以被移除,从而利用由副室902和903构成的室空间。卡盘917定位在下副室903中在底部内表面附近。卡盘917被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片919。卡盘917可以是当晶片919存在时用于支撑晶片919的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘917,并具有大致与晶片919(当晶片存在于卡盘917上方时)的顶面在同一平面的上表面。卡盘917还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(在图中未示出)用于此目的。也可以提供其他的控制系统用于提升晶片919使其离开卡盘917。卡盘917可以用RF电源923充电。RF电源923通过连接件927被连接到匹配电路921。匹配电路921通过连接件925连接到卡盘917。以这种方式,RF电源923被连接到卡盘917上。
用于等离子体产生的元件包括位于窗911上方的线圈933。在一些实施方案中,所公开的实施方案中未使用线圈。线圈933由导电材料制成,并包括至少一整匝。在图9中所示的线圈933的示例包括三匝。线圈933的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈933的RF电源941。一般地,RF电源941通过连接件945被连接到匹配电路939。匹配电路939通过连接件943连接到线圈933。以这种方式,RF电源941被连接到线圈933。任选的法拉第屏蔽件949被定位在线圈933和窗911之间。法拉第屏蔽件949以相对于线圈933成隔开的关系被保持。法拉第屏蔽件949被设置在窗911的正上方。线圈933、法拉第屏蔽件949和窗911各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室901的介电窗上。
处理气体(例如六氟化钨之类的金属卤化物、氩、氢、氟碳化物等)可以通过位于上室902中的一个或多个主气体流入口960和/或通过一个或多个侧气体流入口970流入处理室901。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵940,可用于将处理气体从处理室901抽出并且维持处理室901内的压强。例如在清除操作中,可能用于清扫室的泵可用于抽空室901,以防止卤素物质修整或蚀刻掩模。阀控制的导管可用于使真空泵流体连接在处理室901上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在所述装置的操作过程中,一种或多种处理气体可通过气体流入口960和/或970供给。在某些实施方案中,处理气体可以仅通过主气体流入口960供给,或者仅通过侧气体流入口970供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件949和/或任选的栅格950可以包括使处理气体能输送至室901的内部通道和孔。法拉第屏蔽件949和任选的栅格950中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于室901的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体就通过气体流入口960和/或970引入到室901中。
射频功率从RF电源941供给到线圈933以使RF电流流过线圈933。流过线圈933的RF电流产生围绕线圈933的电磁场。该电磁场产生在上副室902内的感应电流。所生成的各离子和自由基与晶片919的物理和化学相互作用选择性地蚀刻晶片的特征并在晶片上沉积层。
如果使用等离子体栅格使得存在上副室902和下副室903二者,则感应电流作用于存在于上副室902中的气体上以在上副室902中产生电子-离子等离子体。任选的内部等离子体栅格950限制下副室903中的热电子的量。在一些实施方案中,设计和操作所述装置使得存在于下副室903中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口922从下副室903去除。本文所公开的卡盘917可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
室901当安装在清洁室或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到室901。此外,室901可耦合在传送室上,从而允许使用典型的自动化由机械手传送半导体晶片进出室901。
在一些实施方案中,系统控制器930(其可以包括一个或多个物理或逻辑控制器)控制处理室的操作中的一些或全部。系统控制器930可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施方案中,该装置可具有高达约500ms或高达约750ms的切换时间。切换时间可以取决于流动化学物质、配方选择、反应器架构以及其他因素。
在一些实现方式中,控制器930是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,控制器930可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,控制器930可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器930可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器930接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器930可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
图10描绘了具有与真空传送模块1038(VTM)接口的各种模块的半导体处理集群架构。在多个存储设施和处理模块之间“传送”晶片的传送模块的布置可以被称为“群集工具架构”系统。气闸1030(也称为装载锁或传送模块)被显示在具有四个处理模块1020a-1020d的VTM 1038中,四个处理模块1020a-1020d可以被单独优化以执行各种制造工艺。例如,处理模块1020a-1020d可以被实现为执行衬底蚀刻、沉积、离子注入、晶片清洗、溅射和/或其它半导体工艺。在一些实施方案中,在同一模块中执行竖直掩模的沉积、对图案化蚀刻掩模的蚀刻以及对目标层的蚀刻中的任何一者。在一些实施方案中,则是在同一工具的不同模块中执行竖直掩模的沉积、对图案化蚀刻掩模的蚀刻以及对目标层的蚀刻中的任何一者。一或多个衬底蚀刻处理模块(1020a-1020d中的任一个)可以如本文所公开的方式实现,即用于竖直掩模的沉积、对图案化蚀刻掩模的蚀刻以及对目标层的蚀刻中的任何一个、以及根据所公开的实施方案的其他合适的功能。气闸1030和处理模块1020可以被称为“站”。每个站具有将站与VTM 1038接口的小平面1036。在每个小平面内,传感器1-18用于当晶片1026在各个站之间移动时检测晶片1026的通过。
机械手1022在站之间传送晶片1026。在一个实施方案中,机械手1022具有一个臂,并且在另一个实施方案中,机械手1022具有两个臂,其中每个臂具有末端执行器1024以拾取晶片(例如晶片1026)用于运输。在大气传送模块(ATM)1040中,前端机械手1032用于将晶片1026从装载端口模块(LPM)1042中的盒或正面开口标准盒(FOUP)1034传送到气闸1030。处理模块1020内的模块中心1028是用于放置晶片1026的合适位置。ATM1040中的对准器1044用于对准晶片。
在示例性处理方法中,晶片被放置在LPM 1042中的FOUP1034中的一个内。前端机械手1032将晶片从FOUP 1034传送到对准器1044,这使得晶片1026能够在被蚀刻或处理之前适当地居中。在对准之后,晶片1026被前端机械手1032移动到气闸1030中。由于气闸模块具有匹配ATM和VTM之间的环境的能力,所以晶片1026能够在两个压力环境之间移动而不被损坏。从气闸模块1030,晶片1026由机械手1022经由VTM 1038移动并且进入处理模块1020a-1020d中的一个内。为了实现该晶片移动,机械手1022使用位于其每个臂上的端部执行器1024。一旦晶片1026已经被处理,其就被机械手1022从处理模块1020a-1020d移动到气闸模块1030。从这里,晶片1026可以由前端机械手1032移动到FOUP 1034中的一个或移动到对准器1044。
应当注意,控制晶片移动的计算机可以在集群架构本地,或者可以位于制造车间中的集群架构外部或者位于远程位置,并且经由网络连接到集群架构。上面关于图9描述的控制器可以利用图10中的工具来实现。
本文所公开的实施方案描述了材料在例如晶片、衬底或其他工件之类的衬底上的沉积。工件可以具有各种形状、尺寸和材料。在此应用中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域技术人员应理解,术语“部分制造的集成电路”可以指称是在其上进行集成电路制造的许多阶段中的任何一个期间的硅晶片。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (10)

1.一种方法,其包含:
提供半导体衬底,其具有位于目标层上方的图案化蚀刻掩模,所述图案化蚀刻掩模包含间隔开的正特征,每一间隔开的正特征均具有场域和侧壁;并且
相对于所述目标层而选择性地在所述间隔开的正特征的所述场域上沉积竖直生长掩模。
2.根据权利要求1所述的方法,其中所述竖直生长掩模包含至少一个特征;且其中所述竖直生长掩模的所述至少一个特征的关键尺寸与所述图案化蚀刻掩模的相对应的间隔开的正特征的关键尺寸实质相同。
3.根据权利要求1所述的方法,其还包含使用所述图案化蚀刻掩模以及所述竖直生长掩模两者作为掩模来蚀刻所述目标层。
4.根据权利要求3所述的方法,其中沉积所述竖直生长掩模以及蚀刻所述目标层同时执行。
5.根据权利要求1所述的方法,其中所述竖直生长掩模的间隔开的正特征之间的空间的尺寸与所述图案化蚀刻掩模的所述间隔开的正特征之间的空间的尺寸基本上相同。
6.一种方法,其包含:
提供半导体衬底,其具有位于目标层上方的图案化蚀刻掩模,所述图案化蚀刻掩模具有间隔开的正特征,每一间隔开的正特征均具有第一关键尺寸和场域以及侧壁;并且
相对于目标层而在相对应的间隔开的正特征的所述场域上沉积掩模,所述掩模具有第二关键尺寸,
其中沉积所述掩模,使得随着所述掩模的沉积厚度增加,所述第二关键尺寸维持在所述第一关键尺寸的约150%以内。
7.一种方法,其包含:
提供半导体衬底,其具有位于目标层上方的图案化蚀刻掩模,所述图案化蚀刻掩模具有间隔开的正特征,每一间隔开的正特征均具有场域和侧壁;并且
相对于目标层而选择性地在所述间隔开的正特征的所述场域上沉积竖直地生长的含钨掩模。
8.一种方法,其包含:
提供半导体衬底,其包含含碳目标层、抗反射层以及图案化的光致抗蚀剂;
图案化所述抗反射层以形成图案化抗反射掩模;
将所述半导体衬底暴露于含钨前体并且在条件下点燃等离子体以选择性地沉积含钨掩模,以在所述图案化的抗反射掩模的场域上形成图案化的含钨掩模;以及
利用所述图案化的抗反射掩模以及所述图案化的含钨掩模而使所述含碳目标层图案化。
9.一种方法,其包含:
提供半导体衬底,其包含目标层以及具有第一关键尺寸的图案化蚀刻掩模;并且
将所述半导体衬底暴露于等离子体,以在所述图案化的蚀刻掩模上形成具有第二关键尺寸的钨材料,所述等离子体在低于约160℃的衬底温度下利用介于约100W和约500W之间的等离子体功率由氩气和氢气的混合物以及含钨前体产生,
其中所述第二关键尺寸是在所述第一关键尺寸的约150%以内。
10.一种装置,其包含:
反应室,其包含用以保持衬底的基座;
等离子体源,其耦合至所述反应室,并且被配置成产生等离子体;
耦合至所述反应室的一或多个第一气体入口;
耦合至所述反应室的第二气体入口;以及
控制器,其包含用于执行下列操作的指令:
使氩气和氢气的混合物以及含钨前体导入;
使利用介于约100W至约500W之间的等离子体功率产生所述等离子体;以及
使所述基座的温度设定在约160℃以下。
CN201980072838.2A 2018-11-05 2019-10-29 蚀刻室中的方向性沉积 Pending CN112970096A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862755846P 2018-11-05 2018-11-05
US62/755,846 2018-11-05
PCT/US2019/058631 WO2020096817A1 (en) 2018-11-05 2019-10-29 Directional deposition in etch chamber

Publications (1)

Publication Number Publication Date
CN112970096A true CN112970096A (zh) 2021-06-15

Family

ID=70612334

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980072838.2A Pending CN112970096A (zh) 2018-11-05 2019-10-29 蚀刻室中的方向性沉积

Country Status (6)

Country Link
US (1) US11742212B2 (zh)
JP (1) JP2022506438A (zh)
KR (1) KR20210072826A (zh)
CN (1) CN112970096A (zh)
TW (1) TW202039906A (zh)
WO (1) WO2020096817A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
US11244903B2 (en) 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures
JP7456023B2 (ja) 2020-07-02 2024-03-26 アプライド マテリアルズ インコーポレイテッド リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
TWI828187B (zh) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
WO2023127820A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
WO2023137275A1 (en) * 2022-01-13 2023-07-20 Lam Research Corporation High selectivity and uniform dielectric etch
WO2023166613A1 (ja) * 2022-03-02 2023-09-07 株式会社日立ハイテク プラズマ処理方法
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05265189A (ja) * 1992-03-17 1993-10-15 Fujitsu Ltd フォトマスク及びその製造方法
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
TW575907B (en) * 2002-12-24 2004-02-11 Macronix Int Co Ltd Patterning method for fabricating integrated circuit
TWI255502B (en) * 2005-01-19 2006-05-21 Promos Technologies Inc Method for preparing structure with high aspect ratio
JP5215852B2 (ja) * 2006-07-31 2013-06-19 東京エレクトロン株式会社 基板処理装置およびコンディショニング要否決定方法
US9034760B2 (en) * 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
US9673058B1 (en) 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10748769B2 (en) * 2018-05-09 2020-08-18 Tokyo Electron Limited Methods and systems for patterning of low aspect ratio stacks
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching

Also Published As

Publication number Publication date
WO2020096817A1 (en) 2020-05-14
JP2022506438A (ja) 2022-01-17
KR20210072826A (ko) 2021-06-17
US11742212B2 (en) 2023-08-29
US20220028697A1 (en) 2022-01-27
TW202039906A (zh) 2020-11-01

Similar Documents

Publication Publication Date Title
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US10998187B2 (en) Selective deposition with atomic layer etch reset
US11742212B2 (en) Directional deposition in etch chamber
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10784086B2 (en) Cobalt etch back
TWI832325B (zh) 設計者原子層蝕刻
CN107045977B (zh) 连续等离子体中的原子层蚀刻
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
US11450513B2 (en) Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
WO2024006088A1 (en) Integrated high aspect ratio etching
TW202335032A (zh) 高深寬比電漿蝕刻中的含金屬表面之改質

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination