WO2023166613A1 - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
WO2023166613A1
WO2023166613A1 PCT/JP2022/008892 JP2022008892W WO2023166613A1 WO 2023166613 A1 WO2023166613 A1 WO 2023166613A1 JP 2022008892 W JP2022008892 W JP 2022008892W WO 2023166613 A1 WO2023166613 A1 WO 2023166613A1
Authority
WO
WIPO (PCT)
Prior art keywords
protective film
pattern
etching
gas
processing method
Prior art date
Application number
PCT/JP2022/008892
Other languages
English (en)
French (fr)
Inventor
都 松井
謙一 桑原
Original Assignee
株式会社日立ハイテク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社日立ハイテク filed Critical 株式会社日立ハイテク
Priority to PCT/JP2022/008892 priority Critical patent/WO2023166613A1/ja
Priority to KR1020237004874A priority patent/KR20230130601A/ko
Priority to JP2022579714A priority patent/JP7498313B2/ja
Priority to CN202280005982.6A priority patent/CN116997995A/zh
Priority to TW112106632A priority patent/TW202336858A/zh
Publication of WO2023166613A1 publication Critical patent/WO2023166613A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/41Refractivity; Phase-affecting properties, e.g. optical path length
    • G01N21/45Refractivity; Phase-affecting properties, e.g. optical path length using interferometric methods; using Schlieren methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers

Definitions

  • the present invention relates to a plasma processing method and plasma processing apparatus, and more particularly to a plasma processing method and plasma processing apparatus including a step of forming a desired etching protection film on the upper surface of a pattern on a wafer.
  • Patent Document 1 discloses a method of forming a protective film on the mask pattern before dry etching in order to suppress the dimensional variation of the mask pattern.
  • the dimensional variation within the wafer is suppressed by providing a temperature distribution within the wafer so that the protective film can be formed so as to suppress the dimensional variation of the width of the initial mask pattern.
  • Patent Document 2 in order to process a desired pattern with a high selectivity without etching a counter-etching material such as a mask as much as possible, after forming a protective film on the pattern in an etching apparatus, the protective film is used as a mask.
  • a technique is disclosed for etching to .
  • a protective film is formed on the pattern before dry etching, and the film thickness and dimensions of the formed protective film become uniform within the wafer surface.
  • a technique for removing a portion of the protective film as described above and performing dry etching using the uniform protective film within the wafer surface as a mask is disclosed.
  • the processing shape of devices with fine and complicated structures can be controlled at the atomic layer level, and high selectivity can be achieved for various types of films.
  • Processing technology is important. In order to perform such processing, a technique is disclosed in which etching is performed after forming a protective film on the pattern in the dry etching apparatus before processing the pattern in the dry etching apparatus.
  • Patent Document 1 discloses a method of depositing a film on the mask pattern surface before etching as a method of suppressing variations in the minimum line width of the pattern.
  • the deposition rate of the deposited film depends on the wafer temperature
  • the relationship between the deposition rate and the temperature and the variation in the pattern dimension measured in advance are corrected by changing the wafer temperature in each region, thereby increasing the groove width.
  • a thin film is formed to correct the variation, and the groove width within the wafer surface is adjusted.
  • a deposited film 120 having a film thickness approximately equal to that of the side surface 122 is formed on the bottom surface 123 of the pattern formed on the substrate 103 and the area 124 without the pattern. Since the pattern is formed, the dimensional variation of the pattern can be reduced. However, since the thickness of the deposited film on the side surface 120 and the thickness of the top surface 122, the bottom surface 123 of the pattern, and the thickness of the region 124 without pattern cannot be adjusted independently, the bottom surface 123 of the pattern, which is the region to be etched, and the thickness of the pattern are A deposited film 120 is also formed in the absent region 124 . Therefore, the selectivity in etching could not be improved.
  • a protective film forming method having a protective film partial removal step for removing an excessively deposited film in the central portion of the wafer in distribution and controlling wafer in-plane uniformity and wafer in-plane variation in the width of the protective film.
  • An object of the present invention is to provide a protective film for suppressing etching only on the desired material in the pattern without depositing an unnecessary deposition film on the area with few patterns or the area without pattern on the wafer before etching.
  • a method for forming a protective film that can be formed is also provided.
  • Another object of the present invention is to provide a plasma processing method for etching a pattern using the protective film forming method.
  • the plasma processing method of the present invention forms a deposited film selectively with respect to the material constituting the pattern, thereby forming a pattern-free region or a pattern with a large groove width. It was thought that the protective film could be formed only on the desired surface of the pattern without forming an unnecessary deposited film in the grooves.
  • the surface of the pattern is cleaned by removing the natural oxide film formed on the sample placed on the sample table and the residue generated on the sample in the process before the sample is placed on the sample table.
  • a means for performing a pretreatment step (cleaning step) for cleaning was provided.
  • a means for supplying a protective film forming gas to the processing chamber for selectively forming a protective film on the pattern material using plasma.
  • a protective film forming gas is introduced into the processing chamber, plasma is generated by the plasma generating means, and the sample placed on the sample table is covered with a protective film. and a step of selectively depositing a protective film on the material of the pattern surface formed in step (protective film forming step).
  • a means is provided for carrying out a processing step (oxidation step) for modifying the formed protective film to ensure etching resistance in the next step.
  • an etching processing gas is supplied to the processing chamber, plasma of the etching processing gas is generated by the plasma generation means, and the sample having the protective film formed on the surface of the pattern is etched to perform etching between the patterns and the pattern. and a step of etching and removing the etching pattern region of the region where the pattern is not formed (etching step).
  • a step of selectively depositing a protective film (protective film forming step) and a step of modifying the deposited protective film. (oxidation process) and the process of etching the film to be etched (etching process) are cyclically repeated.
  • the plasma processing apparatus of the present invention includes a processing chamber having a sample stage on which a patterned sample is placed, and a plurality of processing chambers inside the processing chamber. It comprises a gas supply unit that switches and supplies gases, and a plasma generation unit that generates plasma of the processing gas supplied to the inside of the processing chamber by the gas supply unit. Further, the plasma processing apparatus includes an optical system for irradiating a sample placed on a sample table with light and detecting a spectrum of interference light from the sample, and a control for controlling the gas supply section, the plasma generation section, and the optical system. and a part.
  • the control unit controls the gas supply unit to supply the gas for forming the protective film into the processing chamber, and controls the plasma generation unit to select the material of the surface of the sample placed on the sample table. form a protective film. Further, the control unit controls the gas supply unit to switch the gas supplied to the inside of the processing chamber to the gas for modifying the protective film, and controls the plasma generation unit to cause the surface placed on the sample table to The oxidation treatment is performed until the formed protective film has a predetermined oxygen concentration. The control unit controls the gas supply unit to switch the gas supplied to the inside of the processing chamber to the etching gas, and controls the plasma generation unit to form a protective film on the surface of the sample stage. It was decided to etch the sample that had been processed.
  • a protective film having high etching resistance is selectively formed on the anti-etching material constituting the pattern without forming an unnecessary protective film on the etched region where no pattern is formed before the etching process.
  • fine patterns can be etched with high selectivity, high precision and good reproducibility.
  • a protective film 101 with high etching resistance can be formed on the upper surface of the pattern 102 in the densely patterned region 107 .
  • the protective film 104 as shown in FIG. 4 is not formed on the surface 109 of the pattern-free region 108 .
  • FIG. 4 is a cross-sectional view of a pattern for explaining an example of the process flow of the method for forming a protective film according to the present embodiment
  • FIG. 10 is an explanatory diagram of an example of change in the protective film thickness formed on Si and SiO 2 by adding Cl 2 to a mixed gas of SiCl 4 and H 2 to form the protective film, depending on the flow rate of Cl 2 ; Explanatory drawing of an example of the processing time dependence of the deposition process of the protective film in one condition that the protective film is formed only on SiO2 and is not formed on Si.
  • FIG. 4 is an explanatory diagram of an example of oxidation time dependence of the O/Si composition ratio of the protective film in the protective film modifying method of the present embodiment.
  • FIG. 4 is an explanatory diagram of an example of the dependence of the etch rate of the protective film and the Si substrate on the oxidation time in the method for modifying the protective film of the present embodiment.
  • FIG. 5 is an explanatory diagram showing the relationship between the O/Si composition ratio of the protective film and the etch rate of the protective film in the protective film modifying method of the present embodiment.
  • FIG. 4 is a schematic diagram showing the bonding state of Si in the protective film 118 when Si is not oxidized at all.
  • FIG. 4 is a schematic diagram showing the bonding state of Si in the protective film 118 when the O/Si composition ratio is 0.5.
  • FIG. 4 is an explanatory diagram of a cycle processing method according to the present embodiment;
  • the etching apparatus 30 selectively deposits a protective film 118 with high etching resistance only on desired non-etching materials on the surface of a fine pattern 102 formed on the surface of a wafer 100 which is a sample. , the material to be etched under the pattern on which the protective film 118 is formed is etched and removed.
  • FIG. 1 shows an overall configuration of an example of the plasma processing apparatus of this embodiment.
  • the etching apparatus 30, which is a plasma processing apparatus, includes a processing chamber 31, a wafer stage (also referred to as a sample table) 32 on which a wafer 100, which is a sample, is placed, a gas supply unit 33, an optical system 39, a bias power supply 41, and a high frequency application unit. 42, a device control unit 43, and the like.
  • the device control unit 43 includes functional blocks such as an optical system control unit 40, a gas control unit 44, an exhaust system control unit 45, a high frequency control unit 46, a bias control unit 47, a deposition process control unit 48, a determination unit 49, and a storage unit 51.
  • the deposition process control unit 48 includes a determination unit 49, a database storage unit 50, and a storage unit 51. By referring to the signal sent from the optical system control unit 40 and the database 50DB stored in the database storage unit 50, a determination is made. It can be determined that the protective film 118 (protective film 101 in FIG. 5) is formed only on the desired material (on the pattern 102 in FIG. 5) at the portion 49.
  • FIG. 5 The deposition process control unit 48 includes a determination unit 49, a database storage unit 50, and a storage unit 51.
  • the etching apparatus 30 includes a wafer stage 32 provided in a processing chamber 31 and a gas supply unit 33 having a gas cylinder and a valve.
  • a gas 34, a protective film forming gas 35, a protective film forming gas 36, a film quality control gas 37, and an etching gas 38 are each supplied into the processing chamber 31 according to the processing steps.
  • the processing gas supplied into the processing chamber 31 is decomposed into plasma in the processing chamber 31 by the high frequency power 53 applied to the high frequency applying section 42 from the high frequency power source 63 controlled by the apparatus control section 43 .
  • the pressure in the processing chamber 31 can be kept constant by a variable conductance valve and a vacuum pump (not shown) connected to the processing chamber 31 while a desired flow rate of the processing gas is flowing. Radicals generated by plasma decomposition in the processing chamber 31 diffuse in the processing chamber 31 and irradiate the surface of the wafer 100 .
  • the ions generated by the plasma are accelerated by a bias voltage 55 applied to the wafer stage 32 from the bias power supply 41 controlled by the bias controller 47 and irradiated onto the surface of the wafer 100 .
  • the optical system 39 is for evaluating the deposition state of the protective film formed on the wafer 100.
  • the optical system 39 acquires the spectrum of light emitted from the optical system 39 and reflected by the wafer 100. , that the protective film is selectively deposited on the desired material of the pattern formed on the wafer, and the film quality and thickness of the protective film can be evaluated.
  • a reference pattern in which the protective film is selectively deposited on the desired material of the pattern is formed.
  • the processed wafer 100 is introduced into the processing chamber 31 .
  • Information on the shape and film thickness of the protective film, film thickness, and selectivity is stored in advance as wafer information in the database 50DB, the storage unit 51 of the deposition process control unit 48, and the like.
  • the reference groove pattern on the wafer 100 is irradiated with the incident light 57 emitted from the light source 56 .
  • the light source 56 for example, light in a wavelength range between 190 nm and 900 nm is used.
  • Reflected light (interference light) 58 reflected by the reference pattern is detected by a detector 59, passes through an optical fiber, is separated by a spectroscope 61, and is sent to the optical system controller 40 as a reflection spectrum.
  • the reflection spectrum information sent to the optical system control unit 40 is sent to the deposition process control unit 48 as reference data and stored in advance in the database storage unit 50 as a database 50DB.
  • a protective film (101 in FIG. 5, 118 in FIG. 6) is selectively formed on the material of the pattern in the processing chamber 31, and then a protective film (101 in FIG. 5) is formed. , 118) in FIG. 6 to secure the etching resistance.
  • a method of processing the material to be etched also referred to as the film to be etched, 103 in FIG. 5 and 116 in FIG. 6) formed on the sample using the modified protective film as a mask with high selectivity will be described.
  • FIG. 2 is a diagram showing an example of the process flow of the selective protective film formation method of this embodiment.
  • FIG. 6 is an example of a pattern cross-sectional view for explaining the process flow of the protective film forming method of this embodiment.
  • an unnecessary protective film for example, a , 104 in FIG. 4
  • a Si-based protective film 118 is selectively formed on the material of the SiO 2 mask 117 on the dense pattern, suppressing etching of the mask 117, and removing the pattern 116 to be etched.
  • the pattern to be etched 116 can also be referred to as a material to be etched or a film to be etched.
  • pattern 116 to be etched is formed on substrate 115 .
  • silicon dioxide (SiO 2 ) is used as the material of the mask 117 and silicon (Si) is used as the material of the pattern to be etched 116 as an example.
  • a method of forming 118 on mask 117 will be described.
  • a Si-based protective film is a protective film containing silicon element. Materials containing oxygen (O), nitrogen (N), and carbon (C) in addition to Si, such as SiO 2 , silicon nitride (SiN), and silicon carbide (SiC), are also used for the mask 117 . be able to.
  • the spectrum of the reflected light was acquired, and means for determining the selectivity in the protective film deposition process was used.
  • an initial wafer serving as a reference is introduced into the processing chamber 31 , and incident light 57 generated from the light source 56 is introduced into the processing chamber 31 through the window 62 for light transmission, and the wafer 100 is irradiated with the incident light 57 .
  • the reflected light (interference light) 58 passes through the window 62 again and is detected by the detector 59 .
  • Light detected by the detector 59 passes through the optical fiber and is spectroscopically separated by the spectroscope 61 .
  • the reflection spectrum separated by the spectroscope 61 is stored in the storage unit 51 as an initial reflection spectrum (S201: initial reflection spectrum measurement).
  • the pretreatment (cleaning step) for forming a clean surface includes a method of etching only the outermost surface by plasma processing, a method of introducing only gas into the processing chamber 31 without forming plasma, or a method of gas, plasma, or A method of volatilizing the reaction product generated by the chemical solution treatment by heat treatment can be used.
  • a pretreatment method by plasma treatment for example, a method of plasma treatment using a fluorine-based etching gas such as sulfur hexafluoride (SF 6 ) or trifluoromethane (CHF 3 : methane trifluoride), or a method of plasma treatment using chlorine
  • a fluorine-based etching gas such as sulfur hexafluoride (SF 6 ) or trifluoromethane (CHF 3 : methane trifluoride
  • SF 6 sulfur hexafluoride
  • CHF 3 trifluoromethane
  • chlorine a gas containing halogen such as (Cl 2 ).
  • incident light 57 generated from a light source 56 is irradiated onto the pattern for which the initial reflection spectrum has been obtained, and the spectrum of the reflected light 58 is measured (S203: reflection spectrum measurement).
  • the acquired reflection spectrum is stored in the storage unit 51 in the same manner as the initial spectrum.
  • the obtained reflection spectrum is compared with the reflection spectrum of the clean pattern stored in advance in the database 50DB to confirm that the surface is clean (S204: clean surface?).
  • a protective film forming process is started to selectively deposit a protective film on the pattern material (S205: selective protective film deposition).
  • a protective film (118) is selectively formed on a portion of the pattern formed on the sample 100 with respect to the pattern material. That is, in the protective film forming step (S205), the protective film (118) is selectively formed with respect to a desired material in the pattern formed on the film to be etched (116). The desired material is SiO 2 and the protective film 118 is formed only on SiO 2 .
  • the protective film forming gas 34, the protective film forming gas 35, and the protective film forming gas 36 are supplied to the processing chamber 31 at a predetermined flow rate.
  • the supplied protective film forming gas 34, protective film forming gas 35, and protective film forming gas 36 are turned into plasma by the high frequency power 53 applied to the high frequency applying section 42, and decomposed into radicals, ions, and the like.
  • a bias voltage 55 is applied to the sample 100 to irradiate the sample 100 with ions having an energy of 10 eV or more.
  • the pressure in the processing chamber 31 can be kept constant by a variable conductance valve and a vacuum pump while a desired flow rate of the processing gas is flowing.
  • Radicals and ions generated by the plasma reach the surface of the wafer 100 and form the protective film 118 shown in FIG. 6(b).
  • the radicals and ions generated from the protective film forming gas 34 have the property of being combined with the pattern material on the pattern surface and deposited. Some of the radicals and ions generated from the protective film forming gas 34 combine with the material on the surface of the wafer 100 in the plasma to form the protective film 118 . .
  • the protective film forming gas 36 becomes plasma, it generates radicals and ions that have the property of preventing the protective film 118 from adhering to the Si surface. It suppresses deposition of an unnecessary protective film (for example, 104 in FIG. 4) on the surface of the area 108 where there is no pattern by bonding.
  • the protective film forming gas 34 is a gas that tends to deposit on the sample.
  • the protective film forming gas 35 is a gas that forms the protective film 118 by reacting with the highly depositable gas (protective film forming gas 34).
  • the protective film forming gas 36 is a gas that has the effect of removing unnecessary deposition components of the protective film (104 in FIG. 4).
  • a mixed gas of protective film forming gas 34, protective film forming gas 35, and protective film forming gas 36 is used.
  • the protective film 118 is not formed on Si, but the material of the mask 117 is SiO2 by the selective protective film forming step (S205) of forming the protective film 118 only on SiO2 .
  • the protective film 118 is selectively formed only on the mask 117 for the pattern in which the material of the surface of the region 108 where the protective film 118 is not formed is Si, and the region 108 without the pattern which is a wide region is unnecessary.
  • a protective film for example, 104 in FIG. 4
  • silicon tetrachloride SiCl 4 : tetrachlorosilane
  • hydrogen (H 2 ) is used as the protective film forming gas 35
  • chlorine (Cl 2 ) is used as the protective film forming gas 36 .
  • the protective film forming step (S205) uses a mixed gas of SiCl 4 gas, H 2 gas and Cl 2 gas.
  • FIG. 7A shows the protective film 118 formed by adding Cl 2 to a mixed gas of SiCl 4 and H 2 on Si and the protective film (nm) of Cl on SiO 2 .
  • 2 shows an explanatory diagram of an example of change due to flow rate.
  • a line 110 indicates the thickness of the protective film 118 formed on SiO 2 and a line 111 indicates the thickness of the protective film 118 formed on Si.
  • the Cl2 flow rate is low, there is no difference in the thickness of the protective film 118 formed on Si and SiO2 , but when the Cl2 flow rate is increased above a certain value, the protective film is formed only on SiO2 . We have found that there is a phenomenon that is not formed on Si.
  • FIG. 1 shows the protective film 118 formed by adding Cl 2 to a mixed gas of SiCl 4 and H 2 on Si and the protective film (nm) of Cl on SiO 2 .
  • 2 shows an explanatory diagram of an example of change due to flow rate.
  • a line 110 indicates the thickness of the
  • FIG. 7(b) shows an explanatory diagram of an example of processing time dependence of the protective film thickness (nm) in the deposition process under one condition in which the protective film is formed only on SiO 2 and not formed on Si.
  • a line 112 shows the relationship between the thickness of the protective film 118 formed on SiO 2 and the processing time of the deposition process
  • the line 113 shows the relationship between the thickness of the protective film 118 formed on Si and the processing time of the deposition process. shows the relationship between If the processing time is longer than a certain period, the protective film 118 is formed on both SiO2 and Si. It was found that a protective film can be formed on a regular basis.
  • the protective film forming gas 34 may be, for example, SiCl 4 or SiCl 4 when a film containing Si such as Si (silicon element) is deposited as the protective film 118 on the SiO 2 material.
  • Si-based gases such as silicon tetrafluoride (SiF 4 ) and silicon tetrahydride (SiH 4 : monosilane) are used.
  • the protective film forming gas 35 for example, a gas containing H such as H2 , hydrogen bromide (HBr), ammonia ( NH3 ), fluoromethane ( CH3F ) is used.
  • a gas having a property of removing a deposited film containing Si as a main component, such as Cl 2 is used.
  • SiCl 4 is used as the protective film forming gas 34
  • H 2 is used as the protective film forming gas 35
  • Cl 2 is used as the protective film forming gas 36
  • the high-frequency power 53 decomposes into plasma in the processing chamber 31 to generate SiCl 4 .
  • Radicals and ions such as x , H, and Cl are generated, and the surface of the wafer 100 is irradiated with these radicals and ions.
  • SiCl x radicals are irradiated onto the SiO 2 of the mask material, the SiCl x radicals combine with O on the SiO 2 surface and adsorb to the surface.
  • SiCl x reacts with H radicals to become HCl and desorb from the surface. Dangling bonds of Si adsorbed on SiO 2 react with H radicals to form a protective film 118 containing H and Si. At this time, a small amount of Cl that did not react with H remains in the protective film 118 .
  • Si-based protective film 118 containing H begins to form on SiO 2 , SiCl x radicals are likely to bond with the dangling bonds of Si in the protective film 118 , so the Si-based protective film 118 may be formed depending on the processing time. getting thicker.
  • Si which is the material of the surface of the region 108 where the protective film 118 is not formed
  • SiCl x radicals are less likely to react with Si than SiO 2
  • the Cl irradiated from the plasma It desorbs from the Si surface by reacting with radicals or by receiving ion bombardment. Therefore, if sufficient Cl radicals and ions are irradiated to re-desorb the SiCl x radicals that have reached the Si surface, the Si-based protective film 118 is formed on the SiO 2 , but the protective film on the Si 118 was found not to form.
  • Si is gradually adsorbed. And it is necessary to control the irradiation time.
  • Si-based protective film 118 is formed on SiO 2 but not on Si.
  • the SiCl x component on the Si surface can be effectively removed by irradiating the ions with .
  • the incident light 57 generated from the light source 56 is again irradiated onto the pattern, and the spectrum of the reflected light 58 is measured (S206: reflection spectrum measurement).
  • the obtained reflection spectrum is compared with the reflection spectrum from the reference pattern on which the protective film 118 is selectively deposited, which is stored in the database 50 in advance, to determine whether the protective film 118 is selectively formed. (S207: selectively deposited?).
  • the determination unit 49 determines the thickness and pattern width ( dimensions) can be calculated.
  • a protective film removing step is carried out (S208: protective film removal).
  • the protective film removing gas is supplied to the processing chamber 31 at a predetermined flow rate.
  • the supplied protective film removing gas becomes plasma by the high frequency power 53 applied to the high frequency applying section 42 , decomposes into ions and radicals, and irradiates the surface of the wafer 100 .
  • the initial spectrum to be used as a reference is acquired again (S201), and after the pretreatment (S202) is carried out, the selective protective film deposition step (S205) is carried out again.
  • the conditions for the selective protective film deposition step (S205) to be performed again are based on the measurement result of the reflection spectrum after the protective film forming step performed last time, which is saved in the storage unit 51, and the determination unit 49 (S209: adjustment of protective film deposition conditions). For example, when it is determined that the protective film 118 is not selectively formed from the reflection spectrum after the protective film forming process performed last time, for example, the Cl 2 flow rate, which is the protective film forming gas 36, is changed to a predetermined value. The protective deposition conditions were determined as the conditions increased by the amount, and the protective film deposition step was carried out under these conditions (S205).
  • a relatively thick protective film 118 is selectively formed. As shown in FIG. 7B, if the protective film deposition step (S205) is performed for a certain period of time or more, the material selectivity is lost, so the processing time is set within a range where the selectivity is not lost. If the thickness of the protective film 118 formed after the protective film depositing step (S205) has not reached the predetermined film thickness, the pretreatment (S202) is performed again. This leaves the material on which the protective film 118 is not to be formed clean.
  • FIG. 12 shows changes in the protective film thickness deposited on Si and SiO 2 depending on the number of repetitions (cycle number).
  • the vertical axis indicates the film thickness of the protective film 118 (protective film thickness), and the horizontal axis indicates the number of cycle repetitions (cycle number).
  • line 310 indicates the thickness of protective film 118 deposited on SiO 2 and line 311 indicates the thickness of protective film 118 deposited on Si.
  • this method can form a thick protective film 118 only on SiO2 without forming the protective film 118 on Si.
  • a film quality control process also referred to as a protective film modification process of the protective film 118 is performed (S211: film quality control).
  • the protective film deposition step (S205) SiCl 4 is used as the protective film forming gas 34, H 2 is used as the protective film forming gas 35, and Cl 2 is used as the protective film forming gas 36.
  • the protective film 118 is oxidized so that the protective film 118 has a predetermined composition (desired oxygen concentration) to modify the protective film 118 (S211). ).
  • the film quality control step ( S ⁇ b>211 ) can also be rephrased as an oxidation step of oxidizing the protective film 118 .
  • the film quality control gas 37 is supplied to the processing chamber 31 at a predetermined flow rate.
  • a mixed gas containing O such as oxygen (O 2 ), carbon dioxide (CO 2 ), and sulfur dioxide (SO 2 ) is supplied to the processing chamber 31 as the film quality control gas 37 .
  • the supplied gas becomes plasma by the high frequency power 53 applied to the high frequency applying unit 42 , decomposes into radicals, ions, etc., and irradiates the surface of the wafer 100 .
  • the O radicals irradiated to the Si-based protective film 118 bond with Si in the protective film 118 and are oxidized to SiOx. Thereby, the film quality of the Si-based protective film 118 is modified.
  • FIG. 8 shows the oxidation time dependence of the O/Si composition ratio when the Si-based protective film 118 is oxidized by O 2 plasma.
  • the vertical axis indicates the O/Si composition ratio
  • the horizontal axis indicates the oxidation time (seconds).
  • Line 300 indicates the experimental results.
  • the O/Si composition ratio is the ratio of the oxygen element O to the silicon element (Si), and the O/Si composition ratio can also be said to be the concentration ratio of O/Si.
  • FIG. 8 it can be seen that when the oxidation treatment is performed, the O concentration suddenly increases in about 5 seconds and oxidation proceeds, and after that, oxidation proceeds as the oxidation time increases.
  • FIG. 9 shows the oxidation time of the etching rate of the protective film 118 and the Si substrate (116) when the protective film 118 and the Si substrate (116: wafer 100) are oxidized and then etched in the next etching step (S214). Show dependencies.
  • the vertical axis indicates the etching rate (nm/sec) of the protective film 118 or the Si substrate after oxidation, and the horizontal axis indicates the oxidation time (sec).
  • a line 301 indicates the protective film 118 and a line 302 indicates the Si substrate.
  • the Si-based protective film 118 has a high etch rate and low etching resistance when it is simply deposited, but when an oxidation treatment is performed, the etch rate of the Si-based protective film 118 decreases and the protective film 118 becomes thinner. It can be seen that the etching resistance is improved. As indicated by line 302, the etch rate of the Si substrate also decreases as the oxidation time increases.
  • the oxidation time is about 5 to 20 seconds, that is, when the O/Si composition ratio of the Si-based protective film 118 is about 0.4 to 0.6, the etch rate of the Si-based protective film 118 is reduced to Si
  • the Si substrate (116) can be etched without etching the material of the mask 117 while the Si-based protective film 118 remains, and the selectivity can be effectively improved.
  • FIG. 10 shows the relationship between the O/Si composition ratio of the Si-based protective film 118 and the etch rate of the oxidized protective film 118 in the etching step (S214).
  • the vertical axis indicates etching (nm/sec) of the oxidized protective film 118 and the horizontal axis indicates the O/Si composition ratio of the Si-based protective film 118 .
  • Line 303 shows the experimental results. It has been found that when the O/Si composition ratio of the Si-based protective film 118 reaches 0.4, the etch rate abruptly decreases and the etching resistance of the protective film 118 improves.
  • the composition ratio (O/Si) of the oxygen element in the oxidized protective film 118 is the composition ratio at which the etching rate of the oxidized protective film 118 is lower than the etching rate of the film to be etched 116 .
  • the composition ratio of oxygen element to silicon element in the protective film 118 containing silicon element is preferably within the range of 0.4 to 0.6.
  • FIG. 11(a) is a schematic diagram showing the bonding state of Si in the protective film 118 when Si is not oxidized at all
  • FIG. FIG. 3 is a schematic diagram showing a bonding state of Si in a protective film 118;
  • Si—Si bonds, Si—H bonds, or Si dangling bonds not bonded to any element are formed. It is The energy required to break the Si—Si bond is 327 kJ/ml, and the energy required to break the Si—Si bond is 298 kJ/ml.
  • the O/Si composition ratio is 0.5 (see FIG. 11(b))
  • at least one of the four bonds of Si is Si—O on average.
  • the energy required to cut the Si--O bond is 798 kJ/ml, which is larger than that of Si--Si and Si--H. Therefore, it was found that the etching resistance can be effectively improved by oxidizing the Si-based protective film so that the O/Si composition ratio is about 0.5.
  • the unpatterned region 108 which is the region to be etched, is also oxidized.
  • the etch rate of the Si-based protective film 118 is lower than that of the Si substrate (116) which is the region 108 to be etched when the oxidation time is between 5 seconds and 20 seconds.
  • the Si-based protective film 118 contains Si—H, Si dangling bonds, and a small amount of Si—Cl, and these bonds are more likely to be oxidized by reacting with O than Si—Si bonds. Therefore, oxidation progresses faster than the Si substrate (116), and the entire Si-based protective film 118 is oxidized.
  • the Si substrate (116) since the Si substrate (116) has good crystallinity, oxidation progresses slowly from the outermost surface to the deep part. Therefore, the entire Si-based protective film 118 is oxidized more quickly, and etching resistance can be ensured.
  • the incident light 57 generated from the light source 56 is irradiated onto the pattern, and the spectrum of the reflected light 58 is measured. is measured (S212: reflectance spectrum measurement).
  • the acquired reflection spectrum is stored in the storage section 51 in the same manner as the initial spectrum, and sent to the determination section 49 in the deposition process control section 48 .
  • the acquired reflection spectrum can be compared with the reflection spectrum (reference spectrum) from the reference pattern controlled to the desired O/Si composition ratio stored in the database 50 in advance, and the film quality can be determined (S213 : Judgment step (predetermined film quality?)). That is, the pattern of the sample 100 is irradiated with the incident light 57 generated from the light source 56, and the spectrum of the interference light (reflected light 58) reflected from the sample 100 is monitored.
  • the protective film 118 selectively formed on the sample 100 is desired based on the result of comparison between the obtained reference spectrum pattern and the monitored (measured) spectrum pattern of the interference light (reflected light 58).
  • a determination step is performed to determine that the oxygen concentration has been reformed within the range of .
  • the oxygen element content is determined based on the result of comparison between the spectrum of the interference light (reference spectrum) and the monitored spectrum of the interference light (reflected light 58) when the composition ratio of the oxygen element is the desired composition ratio. It is determined whether or not the composition ratio is within a desired composition ratio range (the composition ratio of oxygen element to silicon element is within the range of 0.4 to 0.6).
  • the material 116 to be etched is plasma-etched using the formed protective film 118 and the mask 117 originally formed in the pattern as an etching mask (S214: etching).
  • the apparatus control section 43 controls the gas supply section 33 to supply the etching gas 38 to the processing chamber 31 at a predetermined flow rate.
  • the device control unit 43 controls the high frequency power source 63 to apply the high frequency power 53 to the high frequency applying unit 42, and the processing is performed.
  • Plasma is generated inside the chamber 31 by an etching gas 38 . As shown in FIG. 6D, the plasma of the etching gas 38 generated inside the processing chamber 31 is used to etch the wafer 100 having the protective film 118 formed thereon.
  • the etching gas 38 becomes plasma to generate radicals and ions that etch the material 116 to be etched.
  • the etching gas 38 can be Cl 2 gas, for example.
  • the etching gas 38 can be a mixed gas of halogen gas such as Cl 2 or HBr and O 2 or the like.
  • the ions generated from the etching gas 38 are accelerated by the bias voltage 55 applied to the wafer stage 32 from the bias power supply 41 controlled by the bias controller 47 and irradiated onto the surface of the wafer 100 .
  • the ions pass through the oxide film formed on the Si substrate 116 but do not pass through the protective film 118 .
  • Ions having energy smaller than the thickness and larger than the oxide film thickness formed on the surface of the Si substrate 116 are irradiated.
  • the energy of the ions with which the sample 100 is irradiated in the etching step (S214) is energy that does not pass through the protective film 118.
  • FIG. 1 the etching of the Si substrate 116, which is the region to be etched, progresses, but the etching of the mask 117 is suppressed, and the Si substrate 116 can be etched with high selectivity and accuracy.
  • the film thickness of the protective film is measured by the optical system 39 (S215: reflection spectrum measurement), and the etched pattern 116 on the wafer 100 is etched to a desired depth.
  • the film thickness of the protective film 118 is measured until the thickness is reached (S216), and the etching is finished for a predetermined etching processing time or when the desired depth is reached (S217: end).
  • the thickness of the protective film 118 may become equal to or less than the specified value before reaching the desired etching depth.
  • the process returns to the selective protective film deposition step (S205), starts again from the deposition step of the protective film 118, and continues until the film thickness of the protective film 118 reaches the predetermined film thickness.
  • Deposition of a protective film 118 is selectively performed. That is, after the etching step (S217), if the etching depth of the pattern to be etched (film to be etched) 116 has not reached a predetermined depth, the protective film forming step (S205) is performed.
  • S205 to S216 are repeated until the pattern to be etched 116 on the wafer 100 is etched to a predetermined depth.
  • the etching of the pattern to be etched 116 is finished when the pattern to be etched 116 reaches a predetermined etching depth.
  • the protective film 118 deposited on the pattern surface can be removed. Only the protective film 118 may be removed, or when the protective film 118 is formed on the mask 117, the protective film 118 remaining on the surface of the mask 117 may be removed at the same time as the mask 117 is removed.
  • a protective film 118 ensuring etching resistance is formed only on the upper surface of the mask 117 above the pattern without forming an unnecessary protective film on the region 108 without the pattern. It becomes possible to As a result, the upper surface of the mask 117 and the upper surface of the pattern 119 are etched and the depth of the pattern becomes shallow. By solving the conventional problem that the pattern shape is reduced, the desired pattern shape can be obtained according to the present embodiment.
  • the mask 117 and the underlying layer 116 to be etched are formed as the pattern to be etched.
  • a protective film 118 is selectively formed on the material of the mask 117 on the dense pattern without forming an unnecessary protective film on the material to be etched in the region 108 without the mask 117 and the pattern upper surface 119 is etched.
  • a method for suppressing the etching pattern 116 and processing the pattern to be etched 116 with a high selectivity has been described.
  • etching apparatus 31: processing chamber, 32: wafer stage, 33: gas supply unit, 34: protective film forming gas, 35: protective film forming gas, 36: protective film forming gas, 37: film quality control gas, 38: etching gas, 39: optical system, 40: optical system control unit, 41: bias power supply, 42: high frequency application unit, 43: apparatus control unit, 44: gas control unit, 45: exhaust system control unit, 46: High frequency control unit, 47: Bias control unit, 48: Deposition process control unit, 49: Determination unit, 50: Database, 51: Storage unit, 53: High frequency power, 54: Control signal, 56: Light source, 57: Incidence Light, 58: Reflected light, 59: Detector, 61: Spectrometer, 62: Window, 63: High frequency power supply, 100: Wafer, 101: Protective film, 102: Pattern, 103: Substrate, 104: Unnecessary protective film, 106: Bottom of pattern 107: Dense pattern 107

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

パターン内の所望の材料上のみにエッチングを抑制するための保護膜を形成して、パターンをエッチング処理するプラズマ処理方法を提供する。試料に成膜された被エッチング膜をプラズマエッチングするプラズマ処理方法は、前記試料の表面を清浄化する清浄化工程と、所望の材料に対して選択的に保護膜を前記被エッチング膜に形成されたパターンに形成する保護膜形成工程と、前記保護膜形成工程の後、前記保護膜を酸化させる酸化工程と、前記被エッチング膜をプラズマエッチングするエッチング工程と、を有する。

Description

プラズマ処理方法
本発明は、プラズマ処理方法及びプラズマ処理装置に係り、特にウエハ上のパターンの上面に所望のエッチング保護膜を形成する工程を含むプラズマ処理方法及びプラズマ処理装置に関する。
半導体素子等の機能素子製品の微細化、及び、三次元化により、半導体製造におけるドライエッチング工程では、薄膜スペーサやメタル等の各種材料をマスクとした溝やホールの三次元加工技術が重要となっている。半導体デバイスのパターンにおけるマスクやゲート絶縁膜、エッチストッパ等の厚さは薄くなっており、原子層レベルで形状を制御する加工技術が要求されている。さらに、デバイスの三次元化に伴って、複雑な形状を加工する工程が増加している。このようなデバイスをドライエッチング工程で加工する際に、パターンの寸法を制御して加工するために、エッチング装置内でパターン上に保護膜を形成してパターン寸法を均一に調整し、寸法のばらつきを抑制する技術として、特許文献1では、マスクパターンの寸法ばらつきを抑えるために、ドライエッチング前に、マスクパターンの上に、保護膜を形成する手法について開示されている。本技術では、初期のマスクパターンの幅の寸法ばらつきを抑えるように保護膜を形成可能となるように、ウエハ内に温度分布を与えることによって、ウエハ内の寸法ばらつきを抑制している。
また特許文献2では、マスク等の対エッチング材料をできるだけエッチングすることなく、高選択比で所望のパターンを加工するために、エッチング装置内でパターン上に保護膜を形成した後、保護膜をマスクにエッチングする技術が開示されている。特許文献2では、保護膜の膜厚と寸法を均一するために、ドライエッチング前にパターン上に保護膜を形成し、さらに、形成した保護膜の膜厚と寸法がウエハ面内で均一となるように保護膜の一部を除去し、ウエハ面内で均一化された保護膜をマスクにドライエッチングする技術について、開示されている。
特開2017-212331号公報 国際公開第2020/121540号
上述したように、三次元デバイスでのパターンの微細化と複雑化とともに、微細で複雑な構造のデバイスの加工形状を原子層レベルで制御し、且つ、多種類の膜に対して高選択比で加工する技術が重要となっている。そのような加工を行うために、ドライエッチング装置でパターンを加工する前に、ドライエッチング装置内でパターン上に保護膜を形成した後、エッチングを行う手法が開示されている。
まず、特許文献1では、パターンの最小線幅のバラツキを抑制する方法として、エッチング前にマスクパターン表面に膜を堆積する手法が開示されている。このとき、堆積膜の堆積レートがウエハ温度に依存するため、堆積レートと温度との関連、予め測定したパターン寸法のばらつきを補正するようにウエハ温度を各領域で変化させることで、溝幅のばらつきを補正するための薄い膜を形成して、ウエハ面内での溝幅を調整している。パターンの上面のエッチングを抑制するには、プラズマから照射されるイオンのエネルギーが保護膜とパターン表面との界面に供給できない程度の厚さの保護膜を形成することが必要である。特許文献1の手法では、図3に示したように、基板103上に形成されたパターンの底面123、及び、パターンの無い領域124には、側面122と同程度の膜厚の堆積膜120が形成されるため、パターンの寸法ばらつきを低減することはできる。しかし、側面120の堆積膜の厚さと上面122、パターンの底面123、及び、パターンの無い領域124での厚さを独立に調整できないため、被エッチング領域であるパターンの底面123、及び、パターンの無い領域124にも堆積膜120が形成される。このため、エッチングでの選択比を向上することができなかった。
特許文献2では、パターンの溝底に膜を堆積させることなくパターン上部にパターン上部の幅よりも大きい幅の保護膜を形成する保護膜堆積工程と、堆積工程で形成した堆積膜のウエハ面内分布におけるウエハ中央部分の過剰な堆積膜を除去し、ウエハ面内均一性、及び、保護膜の幅のウエハ面内ばらつきを制御する保護膜部分除去工程とを有する保護膜形成方法が開示されている。特許文献2に記載されている手法では、例えば、パターンの密度が高い溝パターンに堆積膜を形成すると、パターンの溝内にはプラズマで生成されたラジカル等の堆積性粒子が侵入しにくくなるため、パターン上部のみに堆積膜を形成することができる。
しかし、半導体装置製造工程途中のウエハ上のパターンにおいて、図4に示すように、密度の高いパターン102が形成されている領域107とパターン102が無い領域108、あるいは、パターン間のスペース幅が十分に広く、プラズマで生成されたイオン130やラジカル131等の堆積成分がパターン間のスペース内に十分侵入できる領域が混在している場合がある。このようなパターンが存在するウエハを加工する場合、特許文献2に記載されている手法では、例えば、図4に示したように、パターンが密な領域107では、パターンの上面に厚い保護膜101を形成することができるが、同時に、パターンの無い領域108の表面上109にも堆積性粒子が十分照射されるため、厚い保護膜104が形成されてしまう。次のエッチング工程において、厚い保護膜104はパターンの無い領域108の表面109のエッチングを阻害するので、パターンの底106とパターンの無い領域108の表面109を同時にエッチングすることは困難であった。
本発明の目的は、エッチング前にウエハ上のパターンの少ない領域やパターンの無い領域に不要なデポ膜を堆積させることなく、パターン内の所望の材料上のみにエッチングを抑制するための保護膜を形成することのできる保護膜形成方法を提供する。本発明の目的は、また、その保護膜形成方法を用いパターンをエッチング処理するプラズマ処理方法を提供することにある。
上記した従来技術の課題を解決するために、本発明のプラズマ処理方法では、パターンを構成する材料に対して選択的に堆積膜を形成することによって、パターンの無い領域や溝幅の大きいパターンの溝内に不要な堆積膜を形成しないで、パターンの所望の表面のみに保護膜を形成できると考えた。まず、試料台に設置した試料上に形成された自然酸化膜や試料が試料台に設置される前の工程で試料上に生じた残留物等を除去して、パターンの表面の清浄化を行うための前処理工程(清浄化工程)を行う手段を設けた。さらに、プラズマを用いて、パターン材料に対して選択的に保護膜を形成するために保護膜形成用ガスを処理室に供給するための手段を設けた。パターン材料に対して選択的に保護膜を形成するための手段として、処理室の内部に保護膜形成用ガスを導入し、プラズマ発生手段でプラズマを発生させて、試料台に載置した試料上に形成されたパターン表面の材料に選択的に保護膜を堆積させる工程(保護膜形成工程)とを行う手段を設けた。さらに、形成した保護膜を改質して次工程でのエッチング耐性を確保する処理工程(酸化工程)を行う手段を設けた。その後、処理室にエッチング処理用ガスを供給してプラズマ発生手段でエッチング処理用ガスのプラズマを発生させて、パターンの表面に保護膜を形成した試料をエッチング処理してパターンの間、及び、パターンの形成されていない領域の被エッチングパターン領域をエッチングして除去する工程(エッチング工程)と、を含んで試料をエッチング処理するようにした。
さらに、厚い膜をエッチングしたり、高アスペクト比を持つパターンの底を加工するために、保護膜を選択的に堆積させる工程(保護膜形成工程)と、堆積させた保護膜を改質する工程(酸化工程)と、被エッチング膜をエッチングする工程(エッチング工程)とをサイクリックに繰り返して実施するようにした。
また、上記した従来技術の課題を解決するために、本発明では、プラズマ処理装置は、パターンが形成された試料を載置する試料台を備えた処理室と、処理室の内部に複数の処理ガスを切替えて供給するガス供給部と、ガス供給部により処理室の内部に供給された処理ガスのプラズマを発生させるプラズマ発生部と、を備えて構成する。さらに、プラズマ処理装置は、試料台に載置された試料に光を照射して試料からの干渉光によるスペクトルを検出する光学系と、ガス供給部とプラズマ発生部と光学系とを制御する制御部とを備えて構成する。制御部は、ガス供給部を制御して処理室の内部に保護膜形成用のガスを供給した状態でプラズマ発生部を制御して試料台に載置された試料の表面の材料に対して選択的に保護膜を形成する。さらに、制御部は、ガス供給部を制御して処理室の内部に供給するガスを保護膜改質用のガスに切替えた状態でプラズマ発生部を制御して試料台に載置された表面に形成された保護膜が所定の酸素濃度となるまで酸化処理を実施する。制御部は、ガス供給部を制御して処理室の内部に供給するガスをエッチング用のガスに切替えた状態でプラズマ発生部を制御して試料台に載置された表面に形成保護膜が形成された試料をエッチング処理するようにした。
本発明によれば、エッチング処理前にパターンの形成されていない被エッチング領域に不要な保護膜を形成することなく、パターンを構成する対エッチング材料上にエッチング耐性の高い保護膜を選択的に形成することが可能となり、微細パターンを高選択に、且つ、高精度に再現性良くエッチング加工できる。例えば、図5に示したように、本発明によれば、パターンが密な領域107では、パターン102の上面にエッチング耐性の高い保護膜101を形成することができる。一方、パターンの無い領域108の表面上109には、図4で示したような保護膜104は形成されない。このため、パターン102の上面をエッチングすることなく、パターンの底106とパターンの無い領域108の表面109を同時にエッチングすることが可能となり、微細パターンを高選択比に、且つ、高精度に再現性良くエッチング加工できるようになった。
本発明のプラズマ処理装置の一例を示す図。 実施例の保護膜形成方法のプロセスフローの一例を示す図。 従来方法の課題を説明するための説明図。 他の従来方法の課題を説明するための説明図。 実施例の保護膜形成方法の説明図。 本実施例の保護膜形成方法のプロセスフローの一例を説明するパターン断面図。 SiClとHの混合ガスにClを加えて保護膜を形成したときのSi上、及び、SiO上に形成された保護膜厚のCl流量による変化の一例の説明図。 SiO上にのみ保護膜が形成され、Si上には形成されない一条件における保護膜厚の堆積工程の処理時間依存性の一例の説明図。 本実施例の保護膜改質方法における保護膜のO/Si組成比の酸化時間依存性の一例の説明図。 本実施例の保護膜改質方法における保護膜とSi基板のエッチレートの酸化時間依存性の一例の説明図。 本実施例の保護膜改質方法における保護膜のO/Si組成比と保護膜のエッチレートとの関係を示す説明図。 Siが全く酸化されていない場合の保護膜118のSiの結合状態を示す模式図。 O/Si組成比が0.5の場合の保護膜118のSiの結合状態を示す模式図。 本実施例のサイクル処理方法の説明図。
以下、本発明の実施の形態を、図面を用いて詳細に説明する。なお、全ての図において、同一の機能を有するものは同一の符号を付け、その繰り返しの説明は省略する場合がある。
本実施例に係るエッチング装置30は、試料であるウエハ100の表面上に形成された微細なパターン102の表面の所望の非エッチング材料上にのみ選択的にエッチング耐性の高い保護膜118を堆積させ、保護膜118を形成したパターンの下層の被エッチング材料をエッチングして除去するものである。
図1に、本実施例のプラズマ処理装置の一例の一全体構成を示す。プラズマ処理装置であるエッチング装置30は、処理室31、試料であるウエハ100が載置されるウエハステージ(試料台とも言う)32、ガス供給部33、光学系39、バイアス電源41、高周波印加部42、装置制御部43などを備えている。装置制御部43は、光学系制御部40、ガス制御部44、排気系制御部45、高周波制御部46、バイアス制御部47、堆積工程制御部48、判定部49、記憶部51などの機能ブロックを備えている。これらの装置制御部43を構成する各機能ブロックは、一台のパーソナルコンピュータ(PC)で実現することができる。堆積工程制御部48は判定部49、データベース保存部50、記憶部51を含んでおり、光学系制御部40から送られた信号をデータベース保存部50に保存したデータベース50DBと参照することによって、判定部49で所望の材料上(図5では、パターン102の上)にのみ、保護膜118(図5では、保護膜101)を形成したことを判定することができる。
エッチング装置30は、処理室31内に設けられたウエハステージ32と、ガスボンベやバルブを備えたガス供給部33が設けられており、装置制御部43からの制御信号54に基づき、保護膜形成用ガス34、保護膜形成用ガス35、保護膜形成用ガス36、膜質制御用ガス37、エッチング用ガス38、それぞれが、処理ステップに応じて処理室31の内部に供給される。
処理室31の内部に供給された処理ガスは、装置制御部43で制御された高周波電源63から高周波印加部42に印加される高周波電力53によって、処理室31内でプラズマに分解される。また、処理室31内の圧力は、処理室31に接続された、図示を省略した可変コンダクタンスバルブと真空ポンプにより、所望の流量の処理ガスを流した状態で、一定に保つことができる。処理室31内でプラズマに分解されて生成したラジカルは処理室31内を拡散してウエハ100の表面に照射される。プラズマで生成されたイオンはバイアス制御部47で制御されたバイアス電源41からウエハステージ32に印加されるバイアス電圧55によって加速されてウエハ100の表面に照射される。
光学系39は、ウエハ100上に形成された保護膜の堆積状態を評価するためのものであって、光学系39から発射されてウエハ100で反射した光スペクトルを光学系39で取得することによって、保護膜がウエハ上に形成されたパターンの所望の材料上に選択的に堆積していること、及び、その保護膜の膜質と膜厚を評価することができる。
保護膜が所望の材料上にのみ選択的に堆積していることを判定するには、まず、参照データとして、パターンの所望の材料上に選択的に保護膜を堆積させた参照用パターンが形成されたウエハ100を処理室31に導入する。保護膜の形状や膜厚、及び、膜厚、選択性の情報は、予め、ウエハ情報としてデータベース50DBや、堆積工程制御部48の記憶部51などに記憶しておく。
次に、光学系39において、光源56から発射した入射光57を、ウエハ100上の参照溝パターン上に照射する。光源56として、例えば、190nmから900nmの間の波長領域の光が用いられる。参照パターンで反射された反射光(干渉光)58は検出器59で検出され、光ファイバーを通って、分光器61で分光されて反射スペクトルとして光学系制御部40に送られる。光学系制御部40に送られた反射スペクトル情報は、堆積工程制御部48に参照データとして送られて予めデータベース50DBとしてデータベース保存部50に保存されている。
本実施例のエッチング方法の実施例として、図5、図6に示すように、パターンが密な領域(パターンが密集した領域とも言う)107とパターンが無い領域(パターンが疎である領域とも言う)108が混在するパターンに対して、処理室31内でパターンの材料に対して選択的に保護膜(図5の101、図6の118)を形成してから、保護膜(図5の101、図6の118)を改質してエッチング耐性を確保する方法ついて説明する。また、改質した保護膜をマスクとして試料に成膜された被エッチング材料(被エッチング膜とも言う、図5の103、図6の116)を高選択比で加工する手法について説明する。
図2を用いて、本実施例にかかるプラズマ処理方法を説明する。図2は本実施例の選択的保護膜形成方法のプロセスフローの一例を示す図である。また、図6は本実施例の保護膜形成方法のプロセスフローを説明するパターン断面図の一例である。本実施例では、図6の(a)に示したように、パターンが密な領域107とパターンが無い領域108が混在するパターンに対して、パターンが無い領域108上に不要な保護膜(例えば、図4の104)を形成することなく密パターン上のSiOマスク117の材料上に選択的にSi系保護膜118を形成して、マスク117のエッチングを抑制して、被エッチングパターン116を高選択比で加工する手法について、図2のフローに基づいて説明する。ここで、被エッチングパターン116は、被エッチング材料または被エッチング膜と言うこともできる。ウエハ100において、被エッチングパターン116は基板115の上に形成されている。本実施例では、一例として、マスク117の材料として二酸化ケイ素(SiO)、被エッチングパターン116の材料としてシリコン(Si)の場合について、シリコン(Si)系保護膜(Si系保護膜と言う)118をマスク117上に形成する方法について述べる。Si系保護膜とは、シリコン元素を含む保護膜である。マスク117の材料はSiO、窒化ケイ素(SiN)、炭化ケイ素(SiC:シリコンカーバイド)等、Si以外に酸素(O)、窒素(N)、炭素(C)を含む材料についても同様に実施することができる。
本実施例では、保護膜堆積の選択性と膜質を判定するために、反射光のスペクトルを取得し、保護膜堆積工程での選択性を判定する手段を用いた。
まず、リファレンスとなる初期ウエハを処理室31内に導入し、光源56から発生した入射光57を光透過用の窓62を通して処理室31に導入し、ウエハ100に照射する。そして、反射された反射光(干渉光)58は再び窓62を通過し、検出器59で検出される。検出器59で検出された光は、光ファイバーを通って分光器61で分光される。この分光器61で分光された反射スペクトルは初期反射スペクトルとして記憶部51に保存される(S201:初期反射スペクトル測定)。
次に、エッチング用のウエハ100上に形成されたパターンに対して、前処理を実施して、パターン表面に形成された自然酸化膜や、前の工程で生じた残留物等を除去して、パターンに清浄な表面を形成する(S202:前処理)。S202は、試料100の表面を清浄化する清浄化工程と言うこともできる。清浄表面を形成するための前処理(清浄化工程)は、プラズマ処理によって最表面のみをエッチングする方法、プラズマを形成しないでガスのみを処理室31に導入する方法、あるいは、ガス、プラズマ、または薬液処理で生じた反応生成物を熱処理によって揮発させる方法を用いることができる。プラズマ処理による前処理方法として、例えば、六フッ化硫黄(SF6)、または、トリフルオロメタン(CHF3:三フッ化メタン)等のフッ素系のエッチングガスを用いてプラズマ処理する方法、あるいは、塩素(Cl)等のハロゲンを含むガスを用いてプラズマ処理する方法等がある。
清浄なパターン表面を形成したら、初期反射スペクトルを取得したパターン上に光源56から発生された入射光57を照射し、反射された反射光58のスペクトルを測定する(S203:反射スペクトル測定)。取得した反射スペクトルは、初期スペクトルと同様に記憶部51に保存される。取得した反射のスペクトルは、データベース50DBに予め保存してある清浄パターンの反射スペクトルと比較し、清浄表面となったことを確認する(S204:清浄表面か?)。
エッチング用のウエハ100の表面が清浄となったら、パターン材料に対して選択的に保護膜を堆積する保護膜形成工程を開始する(S205:選択的保護膜堆積)。保護膜形成工程(S205)では、試料100に形成されたパターンの一部分に保護膜(118)をパターン材料に対して選択的に形成する。つまり、保護膜形成工程(S205)では、被エッチング膜(116)に形成されたパターンにおいて、所望の材料に対して選択的に保護膜(118)を形成する。所望の材料とは、SiOであり、SiO上にのみ保護膜118を形成する。
まず、装置制御部43からの制御信号54に基づき、保護膜形成用ガス34、保護膜形成用ガス35、及び、保護膜形成用ガス36が所定の流量で処理室31に供給される。供給された保護膜形成用ガス34、保護膜形成用ガス35、及び、保護膜形成用ガス36は、高周波印加部42に印加される高周波電力53によってプラズマとなり、ラジカル、イオン等に分解される。この時、試料100にバイアス電圧55を印加して10eV以上のエネルギーを持つイオンを照射する。この間の処理室31内の圧力は、可変コンダクタンスバルブと真空ポンプにより、所望の流量の処理ガスを流した状態で、一定に保つことができる。プラズマで生成したラジカルやイオンはウエハ100の表面に到達し、図6の(b)に示した保護膜118を形成する。保護膜形成用ガス34から生成したラジカル、イオンはパターン表面のパターン材料と結合し堆積する性質を持つ。保護膜形成用ガス35から生成したラジカル、イオンは、プラズマ中で保護膜形成用ガス34から生成されたラジカル、イオンの一部とウエハ100の表面の材料と結合し、保護膜118を形成する。保護膜形成用ガス36はプラズマとなったとき、保護膜118がSi表面に付着するのを防ぐ性質を持つラジカル、及び、イオンを生成し、保護膜形成用ガス34から生成したラジカル、イオンと結合してパターンが無い領域108の表面に不要な保護膜(例えば、図4の104)が堆積することを抑制する。つまり、保護膜形成用ガス34は、試料の上への堆積性の高いガスである。保護膜形成用ガス35は堆積性の高いガス(保護膜形成用ガス34)と反応して保護膜118を形成するガスである。保護膜形成用ガス36は、不要な保護膜(図4の104)の堆積成分を除去する効果を持つガスである。保護膜形成工程(S205)では、保護膜形成用ガス34と保護膜形成用ガス35と保護膜形成用ガス36との混合ガスが用いられる。
本実施例では一例として、Si上には保護膜118を形成しないが、SiO上にのみ保護膜118を形成する選択的保護膜形成工程(S205)によって、マスク117の材料はSiOであり、保護膜118を形成しない領域108の表面の材料はSiであるパターンに対して、保護膜118をマスク117の上にのみ選択的に形成し、広い領域であるパターンが無い領域108には不要な保護膜(例えば、図4の104)を形成しない場合について説明する。一例として、保護膜形成用ガス34として四塩化ケイ素(SiCl:テトラクロロシラン)、保護膜形成用ガス35として水素(H)を用い、保護膜形成用ガス36として塩素(Cl)を所定の流量で処理室31に供給した。つまり、保護膜形成工程(S205)は、SiClガスとHガスClガスの混合ガスを用いる。
図7(a)には、SiClとHの混合ガスにClを加えて保護膜118を形成したときのSi上、及び、SiO上に形成された保護膜厚(nm)のCl流量による変化の一例の説明図を示す。線110がSiO上に形成された保護膜118の膜厚を示し、線111がSi上に形成された保護膜118の膜厚を示す。Cl流量が少ない場合、Si上とSiO上に形成された保護膜118の厚さに違いは無いが、Cl流量を一定値以上に増加させると、SiO上にのみ保護膜が形成され、Si上には形成されない現象があることを我々は見出した。図7(b)には、SiO上にのみ保護膜が形成され、Si上には形成されない一条件における保護膜厚(nm)の堆積工程の処理時間依存性の一例の説明図を示す。線112がSiO上に形成された保護膜118の膜厚と堆積工程の処理時間との関係を示し、線113がSi上に形成された保護膜118の膜厚と堆積工程の処理時間との関係を示す。処理時間がある一定時間以上になると、SiO上にもSi上にも保護膜118が形成されるが、一定時間以下であれば、SiO上にのみ保護膜118が形成され、材料に選択的に保護膜を形成できることが明らかになった。
保護膜形成用ガス34は、上記で説明した以外に、例えば、SiO材料上に、例えば、Si(シリコン元素)等のSiを含む膜を保護膜118として堆積させる場合は、SiCl、あるいは、四フッ化ケイ素(SiF)や四水素化ケイ素(SiH:モノシラン)等のSi系ガスが用いられる。保護膜形成用ガス35として、例えば、H,臭化水素(HBr),アンモニア(NH),フルオロメタン(CHF)等のHを含むガスが用いられる。保護膜形成用ガス36として、Siを主成分とする堆積膜を除去する性質を持つガス、例えば、Clが用いられる。
保護膜形成用ガス34としてSiCl、保護膜形成用ガス35としてH、保護膜形成用ガス36としてClを用いた場合、高周波電力53によって、処理室31内でプラズマに分解され、SiClx、H、Cl等のラジカル、及び、イオンが生成され、これらのラジカル、及び、イオンがウエハ100の表面に照射される。SiClxラジカルがマスク材料のSiO上に照射されると、SiClxラジカルはSiO表面のOと結合して表面に吸着する。このとき、SiClxのClの大部分はHラジカルと反応して、HClとなって表面から脱離する。SiO上に吸着したSiの未結合手はHラジカルと反応して、HとSiを含む保護膜118を形成する。このとき、Hと反応しなかった少量のClは保護膜118中に残存する。SiO上にHを含むSi系保護膜118が形成され始めると、SiClxラジカルは保護膜118中のSiの未結合手と結合し易いので、Si系保護膜118は処理時間に依存して厚くなっていく。
一方、保護膜118を形成しない領域108表面の材料であるSi上にSiClxラジカルが照射されると、SiClxラジカルはSiOよりもSiとは反応しにくく、さらに、プラズマから照射されたClラジカルと反応したり、イオン衝撃を受けることによって、Si表面から脱離する。従って、Si表面に到達したSiClxラジカルが再脱離するのに十分なClラジカルとイオンを照射すれば、SiO上にはSi系保護膜118が形成されるが、Si上には保護膜118が形成されないことを見出した。但し、多量のSiClxラジカルを長時間照射し続けると、少しずつSiが吸着するため、SiO2上に選択的に保護膜118を形成するには、SiClxラジカルとClラジカル、イオンの照射量、及び、照射時間を制御する必要がある。
SiO上にはSi系保護膜118が形成されるが、Si上には形成されないための条件として、SiCl流量/Cl流量は1より小さいことが必要であり、ウエハ100にバイアス電圧55をかけてイオンを照射することによって、Si表面上のSiClx成分を効果的に除去することができる。
保護膜堆積工程(S205)の後、再度、パターン上に光源56から発生された入射光57を照射し、反射された反射光58のスペクトルを測定する(S206:反射スペクトル測定)。取得した反射のスペクトルは、データベース50に予め保存してある選択的に保護膜118を堆積させた参照用パターンからの反射スペクトルと比較し、選択的に保護膜118を形成しているかどうか判定する(S207:選択的に堆積?)。さらに、判定部49では、データベース50DBに予め保存された参照パターンからの反射スペクトルと保護膜118形成後に取得した反射スペクトルから、選択的に形成された保護膜118の厚さ、及び、パターン幅(寸法)を算出することができる。
上記の手法によって、選択的に保護膜118が形成できていないと判定された場合、保護膜除去工程を実施する(S208:保護膜除去)。保護膜除去工程(S208)が開始すると、保護膜除去用ガスが所定の流量で処理室31に供給される。供給された保護膜除去用ガスは高周波印加部42に印加される高周波電力53によってプラズマとなり、イオンやラジカルに分解され、ウエハ100表面に照射される。
保護膜除去工程(S208)が終了したら、再び、リファレンスとなる初期スペクトルを取得し(S201)、前処理(S202)を実施後、再び、選択的保護膜堆積工程(S205)を実施する。このとき、再び行う際の選択的保護膜堆積工程(S205)の条件は、記憶部51に保存してある前回実施した場合の保護膜形成工程後の反射スペクトルの測定結果に基づき、判定部49で補正された条件に調整する(S209:保護膜堆積条件の調整)。例えば、前回実施した時の保護膜形成工程後の反射スペクトルから、選択的に保護膜118が形成されていないと判定された場合、例えば、保護膜形成用ガス36であるCl流量を所定の量だけ増加させた条件に保護堆積成条件を決定し、その条件で保護膜堆積工程を実施した(S205)。
また、比較的厚い保護膜118を選択的に形成する場合、あるいは、被エッチング材料116を深くエッチングする場合には、選択的保護膜堆積工程(S205)と前処理(S202)を繰り返すことによって、比較的厚い保護膜118を選択的に形成する。これは、図7(b)に示したように、保護膜堆積工程(S205)をある一定時間以上実施すると、材料選択性がなくなるため、選択性が無くならない範囲で処理時間を設定する。保護膜堆積工程(S205)後に形成された保護膜118の厚さが所定の膜厚に達していない場合、再度、前処理(S202)を実施する。これによって、保護膜118を形成しない材料の上は清浄となる。一方、保護膜118が形成された材料上は、前処理を行っても表面が初期状態に戻らない様に、処理時間等の処理条件を設定する必要がある。保護膜118が所定の膜厚となるまで、S202からS210までを繰り返して、選択的に厚い保護膜118を形成することができる。図12には、Si上、及び、SiO上に堆積した保護膜厚の繰り返し回数(サイクル数)による変化を示す。図12において、縦軸は保護膜118の膜厚(保護膜厚)であり、横軸はサイクルの繰り返しの回数(サイクル数)を示す。図12において、線310はSiO上に堆積した保護膜118の膜厚を示し、線311はSi上に堆積した保護膜118の膜厚を示す。
本手法により、Si上には保護膜118を形成せず、SiO2上にのみ、厚い保護膜118を形成できることを確認することができた。以上に述べた処理を実施して、選択的に保護膜118が堆積したと判定された場合(S207のYes)、さらに、保護膜118が所定の膜厚に堆積していると判定された場合(S210のYes)、保護膜118の膜質制御工程(保護膜改質工程とも言う)を実施する(S211:膜質制御)。
上記の手法の内、例えば、保護膜堆積工程(S205)において、保護膜形成用ガス34としてSiCl、保護膜形成用ガス35としてH、保護膜形成用ガス36としてClを用いてSi系保護膜118を形成した場合、下層の被エッチング層116のSiをエッチングする際に保護膜118のエッチング耐性を確保する必要がある。保護膜118のエッチング耐性を確保するために、保護膜118が所定の組成(所望の酸素濃度)となるように酸化処理を行って保護膜118を改質させる保護膜118の膜質制御工程(S211)を実施する。膜質制御工程(S211)は、保護膜118を酸化させる酸化工程と言い換えることもできる。
まず、装置制御部43からの制御信号54に基づき、膜質制御用ガス37を所定の流量で処理室31に供給される。膜質制御用ガス37として、酸素(O)、二酸化炭素(CO)、二酸化硫黄(SO)等のOを含む混合ガスを処理室31に供給する。供給されたガスは、高周波印加部42に印加される高周波電力53によってプラズマとなり、ラジカル、イオン等に分解され、ウエハ100の表面に照射される。
図6の(c)に示すように、Si系保護膜118に照射されたOラジカルは保護膜118中のSiと結合してSiOxに酸化される。これにより、Si系保護膜118の膜質を改質させる。
図8には、Si系保護膜118をOプラズマによって酸化させた場合のO/Si組成比の酸化時間依存性を示す。図8において、縦軸はO/Si組成比を示し、横軸は酸化時間(秒)を示す。線300が実験結果を示している。O/Si組成比は、シリコン元素(Si)に対する酸素元素Oの割合であり、O/Si組成比はO/Siの濃度比と言うこともできる。図8に示すように、酸化処理を行うと5秒程度でO濃度が急に高くなって酸化が進み、それ以降も酸化時間増加に伴って酸化が進行していくことが分かる。
図9には、保護膜118とSi基板(116:ウエハ100)を酸化処理した後、次のエッチング工程(S214)でエッチングした時の保護膜118とSi基板(116)のエッチレートの酸化時間依存性を示す。図9において、縦軸は酸化処理した後の保護膜118またはSi基板のエッチレート(nm/秒)を示し、横軸は酸化時間(秒)を示す。線301が保護膜118を示し、線302がSi基板を示している。線301に示すように、Si系保護膜118は堆積させただけではエッチレートが高くてエッチング耐性が低いが、酸化処理を行うと、Si系保護膜118のエッチレートが下がり、保護膜118のエッチング耐性が向上していることが分かる。線302に示すように、Si基板のエッチレートも酸化時間を長くするとエッチレートが低くなる。しかし、5秒から20秒程度の酸化時間の場合、つまり、Si系保護膜118のO/Si組成比が0.4~0.6程度となる場合、Si系保護膜118のエッチレートはSi基板(116)より低くなり、Si系保護膜118が残存している間はマスク117の材料をエッチングしないでSi基板(116)をエッチング可能となり、効果的に選択比を向上できることを我々は見出した。
図10にはSi系保護膜118のO/Si組成比と酸化した保護膜118のエッチング工程(S214)でのエッチレートとの関係を示す。図10において、縦軸は酸化した保護膜118のエッチング(nm/秒)を示し、横軸はSi系保護膜118のO/Si組成比を示す。線303が実験結果を示している。Si系保護膜118のO/Si組成比が0.4となると急にエッチレートが低くなり、保護膜118のエッチング耐性が向上することが明らかになった。つまり、酸化された保護膜118における酸素元素の組成割合(O/Si)は、酸化された保護膜118のエッチングレートが被エッチング膜116のエッチングレートより小さくなる組成割合である。ここで、シリコン元素を含む保護膜118におけるシリコン元素に対する酸素元素の組成比は、0.4~0.6の範囲内の値であるのが好ましい。
次に、Si系保護膜118のO/Si組成比が0.4~0.6程度となる酸化処理を行うと保護膜118のエッチング耐性が飛躍的に向上する理由を図11(a)、図11(b)のモデル図を使用して述べる。図11(a)は、Siが全く酸化されていない場合の保護膜118のSiの結合状態を示す模式図であり、図11(b)は、O/Si組成比が0.5の場合の保護膜118のSiの結合状態を示す模式図である。
Siが全く酸化されていない場合(図11(a)参照)には、Si-Siの結合、あるいは、Si-Hの結合、あるいは、いずれの元素とも結合していないSiのダングリングボンドが形成されている。Si-Siの結合を切るために必要なエネルギーは327kJ/mlであり、Si-Siの結合を切るために必要なエネルギーは298kJ/mlである。
一方、O/Si組成比が0.5の場合(図11(b)参照)、Siの4つの結合手のうち、平均して少なくとも一つがSi-Oとなる。Si-Oの結合を切るために必要なエネルギーは798kJ/mlであり、Si-Si、及び、Si-Hよりも大きなエネルギーが必要とされる。このため、O/Si組成比が0.5程度になるようにSi系保護膜を酸化させることによって、エッチング耐性を効果的に向上できることが明らかとなった。
Si系保護膜118を酸化するために処理室31内で酸素プラズマを生成すると、被エッチング領域であるパターンが無い領域108も酸化される。しかし、図9に示したように、酸化時間が5秒から20秒の間は被エッチング領域108であるSi基板(116)よりもSi系保護膜118のエッチレートの方が小さくなる。これは、Si系保護膜118にはSi-H、Siダングリングボンド、及び、僅かにSi-Clが含有されており、これらの結合はSi-Si結合よりもOと反応して酸化され易いため、Si基板(116)よりも速やかに酸化が進行し、Si系保護膜118の全体が酸化されるためである。一方、Si基板(116)は結晶性が良いため、最表面から深部へとゆっくり酸化が進行する。従って、Si系保護膜118の方が速やかに膜全体が酸化されて、エッチング耐性を確保することができる。
膜質が所望の酸化度合いに制御されたことを判定する一例として、膜質制御工程(S211)の後、パターン上に光源56から発生された入射光57を照射し、反射された反射光58のスペクトルを測定する(S212:反射スペクトル測定)。
取得した反射スペクトルは、初期スペクトルと同様に記憶部51に保存され、堆積工程制御部48内の判定部49に送られる。取得した反射のスペクトルは、データベース50に予め保存してある所望のO/Si組成比に制御した参照用パターンからの反射スペクトル(参照用スペクトル)と比較し、膜質を判定することができる(S213:判定工程(所定の膜質か?))。つまり、試料100のパターン上に光源56から発生された入射光57を照射し、試料100のから反射された干渉光(反射光58)のスペクトルをモニタする。そして、取得した参照用スペクトルのパターンとモニタ(測定)された干渉光(反射光58)のスペクトルのパターンとの比較結果に基づいて、試料100上に選択的に形成された保護膜118が所望の酸素濃度の範囲に改質されたことを判定する判定工程を実施する。この判定工程では、酸素元素の組成割合が所望の組成割合の場合における干渉光のスペクトル(参照用スペクトル)とモニタされた干渉光(反射光58)のスペクトルとの比較結果を基に酸素元素の組成割合が所望の組成割合範囲(シリコン元素に対する酸素元素の組成比が0.4~0.6の範囲内の値)内かどうかを判定する。
保護膜118の膜質制御(S211)が終了したら、形成した保護膜118、及び、パターンに元々形成されていたマスク117をエッチングマスクとして、被エッチング材料116をプラズマエッチングする(S214:エッチング)。
エッチング工程(S214)においては、先ず、装置制御部43でガス供給部33を制御して、エッチング用ガス38を所定の流量で処理室31に供給する。エッチング用ガス38が供給されて処理室31の内部が所定の圧力になった状態で、装置制御部43で高周波電源63を制御して、高周波印加部42に高周波電力53を印加して、処理室31の内部にエッチング用ガス38によるプラズマを発生させる。図6の(d)に示すように、処理室31の内部に発生させたエッチング用ガス38のプラズマにより、保護膜118が形成されたウエハ100のエッチング処理を行う。エッチング用ガス38はプラズマとなって、被エッチング材料116をエッチングするラジカル、及び、イオンを生成する。保護膜118がSi系材料の場合、エッチング用ガス38として、例えば、Clガス等を用いることができる。エッチング用ガス38は、あるいは、Cl2、HBr等のハロゲンガスとO等の混合ガスを用いることができる。エッチング用ガス38から生成されたイオンは、バイアス制御部47で制御されたバイアス電源41からウエハステージ32に印加されるバイアス電圧55によって加速されてウエハ100表面に照射される。イオンがパターンに照射されたとき、Si基板116上に形成された酸化膜は通過するが、保護膜118を通過しないエネルギーのイオンを照射することによって、つまり、イオンの飛程が保護膜118の厚さより小さく、Si基板116の表面上に形成された酸化膜厚より大きいエネルギーのイオンを照射する。つまり、エッチング工程(S214)における試料100に照射するイオンのエネルギーは、保護膜118を通過しないエネルギーである。これによって、被エッチング領域であるSi基板116のエッチングは進行するが、マスク117のエッチングを抑制して、高選択比に精度良くSi基板116のエッチングを行うことが可能となった。
ここで、エッチング工程(S214)のエッチング処理を行いながら、光学系39で保護膜の膜厚を測定し(S215:反射スペクトル測定)、ウエハ100上の被エッチングパターン116が所望の深さにエッチングされるまで保護膜118の膜厚を測定し(S216)、所定のエッチングの処理時間または、所望の深さに到達した時点で、エッチングを終了する(S217:終了)。
ここで、エッチング工程(S217)において所望のエッチング深さに到達する前に、保護膜118の厚さが規定値以下となる場合がある。そのような場合(S216でNoの場合)、選択的保護膜堆積工程(S205)へ戻り、保護膜118の堆積工程から再度開始し、再び保護膜118の膜厚が所定の膜厚に達するまで選択的に保護膜118の堆積が実施される。つまり、エッチング工程(S217)後、被エッチングパターン(被エッチング膜)116のエッチングの深さが所定の深さに到達していない場合、保護膜形成工程(S205)を行う。前記のように、S205からS216を繰り返して、ウエハ100上の被エッチングパターン116が所定の深さにエッチングされるまで繰り返される。被エッチングパターン116が所定の深さまでにエッチング深さに到達した時点で、被エッチングパターン116のエッチングを終了する。さらに、被エッチングパターン116のエッチング後、パターン表面に堆積させた保護膜118を除去することができる。保護膜118のみを除去することもできるし、マスク117の上に保護膜118が形成されている場合は、マスク117と同時にマスク117の表面上に残った保護膜118を除去しても良い。
このようなプラズマ処理をウエハ100に施すことにより、パターンの無い領域108には不要な保護膜を形成することなく、パターンの上のマスク117の上面にのみエッチング耐性を確保した保護膜118を形成することが可能となる。これにより、マスク117の上面、及び、パターン上面119がエッチングされてパターンの深さが浅くなってしまうという従来技術の課題や、下層の被エッチング層116をエッチングする間にマスク117の上面がエッチングされてしまうという従来の課題を解決して、本実施例により所望のパターン形状を得ることができるようになった。
なお、上記実施例では被エッチングパターンとして、マスク117、下層の被エッチング層116が形成されており、マスクパターンはパターンが密な領域107とパターンが無い領域108が混在している場合に、パターンが無い領域108の被エッチング材料上に不要な保護膜を形成することなく密パターン上のマスク117の材料上に選択的に保護膜118を形成して、マスク117、及び、パターン上面119のエッチングを抑制して、被エッチングパターン116を高選択比で加工する手法について述べた。
以上、本発明者によってなされた発明を実施例に基づき具体的に説明したが、本発明は前記実施例に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。例えば、上記した実施例は本発明を分かりやすく説明するために詳細に説明したものであり、必ずしも説明した全ての構成を備えるものに限定されるものではない。また、各実施例の構成の一部について、他の構成の追加・削除・置換をすることが可能である。
30:エッチング装置、31:処理室、32:ウエハステージ、33:ガス供給部、34:保護膜形成用ガス、35:保護膜形成用ガス、36:保護膜形成用ガス、37:膜質制御用ガス、38:エッチング用ガス、39:光学系、40:光学系制御部、41:バイアス電源、42:高周波印加部、43:装置制御部、44:ガス制御部、45:排気系制御部、46:高周波制御部、47:バイアス制御部、48:堆積工程制御部、49:判定部、50:データベース、51:記憶部、53:高周波電力、54:制御信号、56:光源、57:入射光、58:反射光、59:検出器、61:分光器、62:窓、63:高周波電源、100:ウエハ、101:保護膜、102:パターン、103:基板、104:不要な保護膜、106:パターンの底、107:パターンが密な領域、108:パターンの無い領域、109:パターンの無い領域の表面、115:基板、116:被エッチングパターン、117:マスク、118:保護膜、119:パターン上面、110:SiO上の保護膜厚のCl2流量による変化、111:Si上の保護膜厚のCl2流量による変化、112:SiO上の保護膜厚の処理時間変化、113:Si上の保護膜厚の処理時間変化、120:堆積膜、121:パターン上面、122:側面、123:底面、124:パターンの無い領域、130:イオン、131:ラジカル

Claims (7)

  1.  試料に成膜された被エッチング膜をプラズマエッチングするプラズマ処理方法において、
     前記試料の表面を清浄化する清浄化工程と、
     所望の材料に対して選択的に保護膜を前記被エッチング膜に形成されたパターンに形成する保護膜形成工程と、
     前記保護膜形成工程の後、前記保護膜を酸化させる酸化工程と、
     前記被エッチング膜をプラズマエッチングするエッチング工程と、を有することを特徴とするプラズマ処理方法。
  2.  請求項1に記載のプラズマ処理方法において、
     前記酸化された保護膜における酸素元素の組成割合は、前記酸化された保護膜のエッチングレートが前記被エッチング膜のエッチングレートより小さくなる組成割合であることを特徴とするプラズマ処理方法。
  3.  請求項1または請求項2に記載のプラズマ処理方法において、
     前記エッチング工程の後、前記被エッチング膜の深さが所定の深さに到達していない場合、前記保護膜形成工程を行うことを特徴とするプラズマ処理方法。
  4.  請求項2に記載のプラズマ処理方法において、
     前記保護膜は、シリコン元素を含み、
     前記保護膜における前記シリコン元素に対する前記酸素元素の組成比は、0.4~0.6の範囲内の値であることを特徴とするプラズマ処理方法。
  5.  請求項1ないし請求項3のいずれか一項に記載のプラズマ処理方法において、
     前記保護膜形成工程は、SiClガスとHガスClガスの混合ガスを用いることを特徴とするプラズマ処理方法。
  6.  請求項2に記載のプラズマ処理方法において、
     前記試料から反射された干渉光のスペクトルをモニタし、
     前記酸素元素の組成割合が所望の組成割合の場合における前記干渉光のスペクトルと前記モニタされた干渉光のスペクトルとの比較結果を基に前記酸素元素の組成割合が所望の組成割合範囲内かどうかを判定する判定工程をさらに有することを特徴とするプラズマ処理方法。
  7.  請求項4に記載のプラズマ処理方法において、
     前記エッチング工程における前記試料に照射するイオンのエネルギーは、前記保護膜を通過しないエネルギーであることを特徴とするプラズマ処理方法。
PCT/JP2022/008892 2022-03-02 2022-03-02 プラズマ処理方法 WO2023166613A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
PCT/JP2022/008892 WO2023166613A1 (ja) 2022-03-02 2022-03-02 プラズマ処理方法
KR1020237004874A KR20230130601A (ko) 2022-03-02 2022-03-02 플라스마 처리 방법
JP2022579714A JP7498313B2 (ja) 2022-03-02 2022-03-02 プラズマ処理方法
CN202280005982.6A CN116997995A (zh) 2022-03-02 2022-03-02 等离子处理方法
TW112106632A TW202336858A (zh) 2022-03-02 2023-02-23 電漿處理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2022/008892 WO2023166613A1 (ja) 2022-03-02 2022-03-02 プラズマ処理方法

Publications (1)

Publication Number Publication Date
WO2023166613A1 true WO2023166613A1 (ja) 2023-09-07

Family

ID=87883233

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/008892 WO2023166613A1 (ja) 2022-03-02 2022-03-02 プラズマ処理方法

Country Status (5)

Country Link
JP (1) JP7498313B2 (ja)
KR (1) KR20230130601A (ja)
CN (1) CN116997995A (ja)
TW (1) TW202336858A (ja)
WO (1) WO2023166613A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JP2001351865A (ja) * 2000-06-05 2001-12-21 Denso Corp 半導体装置の製造方法
JP2008060566A (ja) * 2006-08-22 2008-03-13 Lam Res Corp プラズマエッチング性能強化方法
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
JP2022506438A (ja) * 2018-11-05 2022-01-17 ラム リサーチ コーポレーション エッチングチャンバーにおける方向性堆積

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6541618B2 (ja) 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JP2001351865A (ja) * 2000-06-05 2001-12-21 Denso Corp 半導体装置の製造方法
JP2008060566A (ja) * 2006-08-22 2008-03-13 Lam Res Corp プラズマエッチング性能強化方法
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
JP2022506438A (ja) * 2018-11-05 2022-01-17 ラム リサーチ コーポレーション エッチングチャンバーにおける方向性堆積

Also Published As

Publication number Publication date
JPWO2023166613A1 (ja) 2023-09-07
KR20230130601A (ko) 2023-09-12
TW202336858A (zh) 2023-09-16
JP7498313B2 (ja) 2024-06-11
CN116997995A (zh) 2023-11-03

Similar Documents

Publication Publication Date Title
TWI783121B (zh) 半導體製程中之間隔物限定的直接圖案化方法
US11302519B2 (en) Method of patterning a low-k dielectric film
JP6457937B2 (ja) 低k誘電体膜をパターニングする方法
TW201826386A (zh) 用於高深寬比結構之移除方法
KR100702290B1 (ko) 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법
TWI749099B (zh) 碳基膜之自限性循環蝕刻方法
KR101930577B1 (ko) 퇴적물 제거 방법
KR100870997B1 (ko) 저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및기억 매체
JP6858928B2 (ja) プラズマ処理方法及びプラズマ処理装置
TW201941300A (zh) 形成氣隙的系統及方法
WO2023166613A1 (ja) プラズマ処理方法
TW202314829A (zh) 金屬氧化物定向移除
JP7254971B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2023530561A (ja) 窒化物含有膜除去のためのシステム及び方法
JPH1012734A (ja) 半導体装置の製造方法
US11984325B2 (en) Selective removal of transition metal nitride materials
JP2024525764A (ja) 金属酸化物指向性除去
Le Goff et al. CONTROL OF SILICON SURFACE AFTER 0.6 µm CONTACT HOLE ETCHING AND POST-TREATMENTS
JPH11186221A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2022579714

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 202280005982.6

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 18027188

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22929759

Country of ref document: EP

Kind code of ref document: A1