TWI832325B - 設計者原子層蝕刻 - Google Patents

設計者原子層蝕刻 Download PDF

Info

Publication number
TWI832325B
TWI832325B TW111126005A TW111126005A TWI832325B TW I832325 B TWI832325 B TW I832325B TW 111126005 A TW111126005 A TW 111126005A TW 111126005 A TW111126005 A TW 111126005A TW I832325 B TWI832325 B TW I832325B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
energy
etching
modification
Prior art date
Application number
TW111126005A
Other languages
English (en)
Other versions
TW202245054A (zh
Inventor
凱倫 賈考柏思 凱那瑞克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202245054A publication Critical patent/TW202245054A/zh
Application granted granted Critical
Publication of TWI832325B publication Critical patent/TWI832325B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本文提供針對諸多材料評估改質及移除操作之綜效的方法,以決定藉由原子層蝕刻之自限制蝕刻的製程條件。方法包含:決定材料的表面結合能;針對材料選擇改質氣體,其中用於改質材料表面的製程條件產生小於改質能且大於脫附能的能量;選擇移除氣體,其中用於移除經改質的表面之製程條件產生大於脫附能的能量以移除經改質的表面,但小於該材料的表面結合能以防止濺射;及計算綜效以最大化原子層蝕刻的製程窗。

Description

設計者原子層蝕刻
本發明關於處理半導體基板的方法及設備,更具體而言,本發明關於蝕刻基板上之材料的方法及設備。
半導體製造製程包含諸多材料的蝕刻。隨著特徵部尺寸縮小,對於諸如原子層蝕刻(ALE)之原子尺度處理的需求不斷增長。然而,對於諸多材料而言,以自限制方式執行ALE而不濺射係具有挑戰性的。
本文描述用於處理半導體基板的方法及設備。一實施態樣涉及一種蝕刻基板上之材料的方法,該方法包含:針對使用改質氣體及移除氣體之材料的原子層蝕刻製程識別製程條件;及藉由以下步驟在基板上的材料上執行原子層蝕刻製程:將基板曝露於改質氣體以使材料的表面改質,該改質氣體具有關於待蝕刻的材料之改質能及脫附能,及將經改質的表面曝露於該移除氣體並點燃電漿以移除經改質的表面,其中,改質能係小於脫附能,且脫附能係小於該材料的表面結合能。
在諸多實施例中,識別該製程條件的步驟包含選定基板溫度以供執行將基板曝露於改質氣體的步驟,使得由該基板溫度提供的能量係在改質能與脫附能之間。
在諸多實施例中,識別該製程條件的步驟包含選定偏壓功率以供在將經改質的表面曝露於移除氣體的步驟期間施加偏壓,使得由該偏壓提供的能量係在脫附能與表面結合能之間。
在諸多實施例中,改質氣體係選定為吸附於該材料而不蝕刻該材料。
在諸多實施例中,移除氣體係選定為移除經改質的表面而不蝕刻下方未改質的材料。
在一些實施例中,製程條件可為下列其中任一或多者:溫度、腔室壓力、電漿功率、偏壓功率、改質氣體流、及曝露時間。
該方法亦可包含在製程窗內修改製程條件。該製程窗可藉由傳遞至固持基板之基座的最小及最大偏壓功率定義,使得最小偏壓功率係用以移除經改質之表面的最小值,而最大偏壓功率係在不濺射經改質的表面下方之材料的情況下可使用的最高偏壓。
在諸多實施例中,該材料為矽、碳、鎢、及鉭的其中任一者。在一些實施例中,該方法亦包含在執行原子層蝕刻製程之前將基板冷卻至小於約0℃的溫度,其中所識別的製程條件係溫度,且其中該材料係鉭。
在諸多實施例中,基板係在小於約0℃的基板溫度下曝露於改質氣體。在一些實施例中,溫度係在約-20℃與約0℃之間。
在一些實施例中,改質氣體係含鹵素氣體。在一些實施例中,移除氣體係惰性氣體。
在諸多實施例中,原子層蝕刻亦包含在將基板曝露於改質氣體之步驟與將基板曝露於移除氣體之步驟之間,沖洗容納基板的腔室。
另一實施態樣包含一種蝕刻基板上之鉭的方法,該方法包含:設置包含鉭的基板;將基板冷卻至小於約0℃的溫度;及藉由以下步驟執行鉭的原子層蝕刻:將基板曝露於改質氣體以使鉭的表面改質,及將經改質的表面曝露於移除氣體並點燃電漿以移除經改質之鉭的表面。
在諸多實施例中,基板係在小於約0℃的基板溫度下曝露於改質氣體。在一些實施例中,溫度係在約-20℃與約0℃之間。
在諸多實施例中,基板包含鉭氮化物。在一些實施例中,該方法亦包含在將基板曝露於改質氣體之步驟與將基板曝露於移除氣體之步驟之間,沖洗容納基板的腔室。沖洗可使用諸如N 2、Ar、Ne、He、及其組合之任何惰性氣體而執行。
在一些實施例中,改質氣體係氯。在一些實施例中,改質氣體係溴、碘、六氟化硫、四氟化矽、及三氯化硼(BCl 3)之其中任一或多者。
在諸多實施例中,移除氣體係氬。在一些實施例中,可使用氖或氪。在移除操作中,基板可曝露於能量源(例如導致移除的活化或離子轟擊氣體或化學反應性物種),諸如氬或氦,以藉由提供足夠的能量脫附經改質的鉭表面而蝕刻基板但不足以濺射鉭,使得能量係低於表面結合能。在一些實施例中,移除可為等向性的。
在諸多實施例中,偏壓係施加至將基板曝露於改質氣體之步驟及將經改質的表面曝露於移除氣體之步驟的其中至少一者。偏壓功率可依據活化的移除氣體對在基板上沉積的金屬之閾值濺射率而選擇。
另一實施態樣涉及用於處理基板的設備,該設備包括:包含噴淋頭及用於固持具有材料之基板之基板支座的處理腔室、電漿產生器、及具有至少一處理器及一記憶體的控制器,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少與流量控制硬體操作上連接,且該記憶體儲存機器可讀指令,用於:致使針對使用改質氣體及移除氣體之材料的原子層蝕刻製程識別製程條件;及藉由以下步驟致使在基板上的材料上執行原子層蝕刻製程:致使引入改質氣體以使材料的表面改質,該改質氣體具有關於待蝕刻的材料之改質能及脫附能,及致使引入移除氣體和產生電漿以移除經改質的表面,其中,改質能係小於脫附能,且脫附能係小於該材料的表面結合能。
在諸多實施例中,致使引入製程條件之指令包含致使對於執行將基板曝露於改質氣體之步驟選擇基板溫度的指令,使得由該基板溫度提供的能量係在改質能與脫附能之間。
在諸多實施例中,致使引入製程條件之指令包含在將經改質的表面曝露於移除氣體的步驟期間致使針對施加偏壓選擇偏壓功率的指令,使得由該偏壓提供的能量係在脫附能與表面結合能之間。
在諸多實施例中,改質氣體係加以選擇以吸附於該材料而不蝕刻該材料。在諸多實施例中,移除氣體係加以選擇以移除經改質的表面而不蝕刻下面未改質的材料。
在一些實施例中,致使引入製程條件之指令包含致使自下列其中任一或多者選擇製程條件的指令:溫度、腔室壓力、電漿功率、偏壓功率、改質氣體流、及曝露時間。
該設備亦可包含致使在製程窗內修改製程條件的指令。該製程窗可藉由傳遞至固持基板之基座的最小及最大偏壓功率定義,使得最小偏壓功率係用以移除經改質之表面的最小值,而最大偏壓功率係在不濺射經改質的表面下方之材料的情況下可使用的最高偏壓。
在諸多實施例中,致使執行原子層蝕刻的指令亦包含在致使引入改質氣體與致使引入移除氣體的指令之間,致使沖洗容納基板之處理腔室的指令。
另一實施態樣涉及用於處理基板的設備,該設備包括:包含噴淋頭及用於固持基板之基板支座的處理腔室、電漿產生器、及具有至少一處理器及一記憶體的控制器,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少與流量控制硬體操作上連接,且該記憶體儲存機器可讀指令,用於:致使具有包含鉭之基板之基板支座的溫度設成小於約0℃的溫度;及藉由以下步驟致使執行鉭的原子層蝕刻:致使引入改質氣體以使鉭的表面改質,及致使引入移除氣體和產生電漿以移除經改質的鉭。
在諸多實施例中,致使將基板支座的溫度設成小於0℃的溫度之指令包含致使將基板支座的溫度設成在約-20℃與約0℃之間的溫度之指令。
在諸多實施例中,致使執行鉭的原子層蝕刻之指令亦包含在致使引入改質氣體與致使引入移除氣體的指令之間,致使沖洗容納基板之處理腔室的指令。沖洗可使用諸如N 2、Ar、Ne、He、及其組合之任何惰性氣體而執行。
在諸多實施例中,該記憶體進一步儲存在引入改質氣體與引入移除氣體之其中至少一者期間,致使偏壓施加至用於固持基板之基板支座的指令。偏壓功率可依據活化的移除氣體對在基板上沉積的金屬之閾值濺射率而選擇。
這些及其他實施態樣係參照圖示進一步描述於下。 【圖示簡單說明】
圖1A顯示經歷原子層蝕刻之基板的例示性示意圖。
圖1B顯示基於來自圖1A之基板的示意圖之原子層蝕刻的綜效。
圖2係描繪用於根據所揭示的實施例之方法的操作之製程流圖。
圖3顯示針對矽、鍺、鎢、碳、及鉭之E 改質、E 脫附、及E O的能量阻障。
圖4係元素週期表中元素之表面結合能的圖。
圖5A顯示對於諸多材料,濺射閾值作為表面結合能之函數的圖。
圖5B顯示對於諸多材料,綜效作為表面結合能之函數的圖。
圖5C顯示對於諸多材料,每循環蝕刻作為表面結合能的圖。
圖6係描繪用於根據所揭示實施例之方法的操作之製程流程圖。
圖7係用於執行所揭示的實施例之示例處理腔室的示意圖。
圖8係用於執行所揭示的實施例之示例製程設備的示意圖。
圖9A係如實驗數據決定之顯示為溫度之函數的鉭之蝕刻速率的圖。
圖9B係根據所進行的實驗,針對使用原子層蝕刻的鉭之每循環蝕刻及曝露於氬之持續時間的圖。
圖9C係根據所進行的實驗,針對藉由原子層蝕刻的鉭在使用氬的移除期間每循環蝕刻及施加至基座之偏壓功率的圖。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節而實施。另一方面,未詳細說明眾所周知的製程操作,以免不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例描述,但可理解其係非意圖限制所揭示的實施例。
蝕刻製程通常涉及將待蝕刻的材料曝露於蝕刻氣體的組合以移除材料。然而,這樣的移除可能不是自限制性的,且在一些情況下可能蝕刻得比期望多、或導致不期望的特徵部輪廓。隨著特徵部尺寸縮小,對於諸如原子層蝕刻(ALE)的原子尺度處理有不斷增長的需求。原子層蝕刻係名義上導致膜厚度中數值性小變化的自限制步驟之循環製程。該製程的特徵在於平滑度及保形性,且在一些ALE的情況下其特徵亦為定向性。
ALE係先進半導體製造(例如技術節點<10 nm)中使用的多步驟製程,以供使用原子尺度深入分辨率及控制之超薄材料層的全面移除或定義圖案之蝕刻。ALE係一種使用順序式自限制反應移除薄材料層的技術。通常,ALE可使用任何合適的技術執行。原子層蝕刻技術的示例係於2014年11月11日授證之美國專利第8,883,028號、及於2014年8月19日授證之美國專利第8,808,561號中描述,該等美國專利係於此藉由參照及為了描述示例原子層蝕刻及蝕刻技術之目的納入本案揭示內容。在各種實施例中,ALE可使用電漿執行、或可熱力式地執行。
ALE可循環地執行。「ALE循環」的概念係相關於本文各種實施例的討論。通常,一ALE循環係用以執行蝕刻製程一次之操作的最小集合,諸如蝕刻單層。一循環的結果為基板表面上之膜層的至少一些係加以蝕刻。通常,ALE循環包含改質操作以形成反應性層、接著進行移除操作以僅移除或蝕刻此改質層。該循環可包含某些輔助操作,諸如掃除反應物或副產物之其中一者。通常,一循環包含具有獨特操作序列的一階段。作為示例,ALE循環可包含下列操作:(i)反應物氣體的遞送、(ii)自腔室沖洗反應物氣體、(iii)移除氣體及選用性的電漿之遞送、及(iv)腔室的沖洗。在一些實施例中,蝕刻可非保形地執行。圖1A顯示ALE循環的兩個例示性示意圖。圖171a-171e顯示一般性的ALE循環。在171a中,提供基板。在171b中,使基板的表面改質。在171c中,預備下一步驟。在171d中,經改質的層正受到蝕刻。在171e中,移除經改質的層。類似地,圖172a-172e顯示用於蝕刻矽膜之ALE循環的示例。在172a中,提供矽基板,其包含許多矽原子。在172b中,將反應物氣體氯引至基板,其使基板的表面改質。作為示例,172b中的示意圖顯示一些氯吸附在基板的表面上。雖然氯係在圖1A中描繪,但可使用任何含氯化合物或合適的反應物。在172c中,將反應物氣體氯自腔室排淨。在172d中,將移除氣體氬與定向性電漿(如由Ar +電漿物種及箭頭所指示)一起引入,且執行離子轟擊以移除基板之改質的表面。在此操作期間,偏壓係施加於基板以吸引離子朝向基板。在172e中,沖洗腔室及移除副產物。
循環可僅部分地蝕刻約0.1 nm至約50 nm的材料、或約0.1 nm和約20 nm的材料之間、或約0.1 nm和約2 nm的材料之間、或約0.1 nm和約5 nm的材料之間、或約0.2 nm和約50 nm的材料之間、或約0.2 nm和約5 nm的材料之間。在一循環中蝕刻之材料的量可取決於以自限制方式蝕刻之目的。在一些實施例中,ALE的循環可移除少於單層的材料。
諸如腔室壓力、基板溫度、電漿功率、頻率、類型、及偏壓功率的ALE製程條件取決於待蝕刻的材料、用以使待蝕刻材料改質之氣體的組成、待蝕刻材料下面的材料、及用以移除經改質之材料的氣體組成。然而,這些因素的結合使執行蝕刻諸多材料的ALE具有挑戰性。
考慮到引入至積體電路處理之增加之新材料的數目及製程參數(氣體壓力、晶圓溫度、電漿功率、離子能量等)的大量組合,針對一給定材料實現不濺射且以逐層自限制方式蝕刻的ALE製程係具有挑戰性的。需要普遍適用的工具以執行ALE製程開發。此外,具有預測ALE效能或甚至可應用性的能力將使研究及開發聚焦於對ALE最具前景之候選材料。
本文提供基於待蝕刻材料的「ALE綜效」度量執行「設計者」ALE的方法。所揭示的實施例允許人們使用ALE綜效度量設計ALE製程,以實現材料的自限制移除且同時減少待蝕刻材料的濺射、或待蝕刻材料下面之材料的移除或濺射。或者,對於現有的製程工具及可得之製程參數的集合而言,所揭示的實施例允許吾人預測一給定材料是否可使用ALE移除,且若為如此,便容許所預測的蝕刻品質。此外,藉由設計一材料被蝕刻而另一者在相同條件下不被蝕刻,便可將所揭示的實施例用以使材料之間的期望蝕刻選擇性最大化。
所揭示的實施例可適用於廣泛的材料種類,包含半導體(例如矽、鍺、矽鍺(SiGe)、氮化鎵(GaN);金屬(例如鎢、鈷、銅、鉭);介電質(例如矽氧化物(SiO 2)、氮化矽(SiN))及諸如碳之可灰化的硬遮罩。所揭示的實施例亦適用於蝕刻諸如氮化物及氧化物的化合物。其可用以開發新或改進的單元或整合製程及獨立或群集的硬體。該方法可使用適當的電腦軟體實施以供離線使用,或針對配方開發、製程品質、或製程控制嵌入於製程工具中。
該方法係普遍適用的,但可針對給定材料-製程工具之組合客制化,使其適合實施為電腦演算法。基於原子能量考量,該方法係本質上可擴展,且可應用於當前及未來的元件技術節點兩者。其預測ALE製程相對理想狀態進行或將進行良好之程度的能力係該方法的額外好處。
所揭示的實施例係適於針對積體電路技術中受關注的廣泛材料類別(例如:諸如矽、鍺、鎵氮化物的半導體;諸如鉭、鎢、鈷的金屬;諸如矽氧化物的介電質、及諸如非晶形或類鑽碳的可灰化硬遮罩材料)執行ALE。在下面的討論中,針對矽的ALE(例如藉由交替的Cl 2電漿及Ar +轟擊進行)及鉭的ALE提供非限制性的示例。
ALE涉及將蝕刻製程分成兩(或更多)獨立的步驟:改質(操作A)及移除(操作B)。舉例而言,改質操作步驟改質表面層,使得其在移除操作期間可容易地被移除。每循環移除一層薄的材料,其中一循環包含改質及移除,且可使該循環重複直到達到期望的深度。綜效意味著由於操作A及B的交互作用而發生有利的蝕刻。在ALE中,操作A及B在空間或時間上獨立。
有利的原子層蝕刻由於操作A及B的交互作用而發生,且下列「ALE綜效」度量係用以量化協同交互作用的強度及影響。ALE綜效係藉由下列方程式計算: (方程式1) 其中EPC(「每循環的蝕刻」(etch per cycle))係一ALE循環中移除之基板材料的厚度,通常為經過許多循環取得的平均,且A及B係分別自獨立的改質及移除操作對EPC的貢獻,藉由獨立地執行這些操作加以測量作為參考點。
綜效係擷取ALE行為之許多方面的測試,且係非常適合比較不同ALE條件或系統。這是來自操作A的反應物被消耗之後,操作B中的蝕刻為何停止的潛在機制。其係因而造成ALE益處(諸如深寬比獨立性、均勻性、平滑度、及選擇性)中的自限制行為。
圖1B係針對矽描繪之ALE綜效的示意圖。舉例而言,考慮使用氯化操作A及氬離子轟擊操作B執行之矽的ALE。若整個ALE製程移除1 nm/循環,但單獨從A僅0.1 nm/循環及單獨從B為0.1 nm/循環,則綜效係80%。與低於約60%的低綜效製程相比,高綜效的製程可能具有高於約90%的綜效。
所揭示的實施例係建構成實現具有高綜效的ALE製程‒理想狀態是具有100%綜效的ALE製程。此理想狀態可能無法在所有給定實際考量(諸如製程條件的可達範圍、晶圓生產率需求等)的情形中實現。然而,對小於理想值100%之綜效的容忍度將取決於應用及技術節點,且據推測各連續的技術世代將要求更高的理想水平。
用於設計具有高綜效之ALE製程的所揭示實施例,係基於達成特性化整個ALE製程的五個定義性能量與為了達到接近100%的綜效之蝕刻而克服的能量阻障之間的階層關係。
此關係如下: E 改質< ɛ A< E 脫附< ɛ B< E O(方程式2)
用大寫E書寫的三個能量(E O、E 改質、及E 脫附)係藉由待蝕刻的材料及反應物的特性決定。
E O係未改質的材料之表面結合能,且係防止原子自表面被移除的內聚力。通常自昇華熱估計數值,且係通常在每原子2-10 eV的範圍內。
E 改質係使表面改質的吸附阻障,且從使反應物解離或使表面原子重組的需求產生。當電漿係用以將反應物解離成自由基(諸如在矽的電漿氯化期間)時,此阻障可忽略。
脫附阻障E 脫附係用以自改質的表面移除副產物的能量。舉例而言,在矽的ALE中,副產物可為具有約2.9 eV脫附能的SiCl 2 g 。此阻障係與揮發性及熱脫附溫度有關。
E的實驗值可在化學物理手冊及已出版的科學論文中找到、或可自全始計算( ab initiocalculations)獲得。舉例而言,對於具有Ar +離子/Cl 2的矽ALE,E 改質=0.3 eV <E 脫附〜2.9 eV < E O= 4.7 eV。
ɛ A及ɛ B分別為操作A及B中之環境中的能量。就速率而言,若與能量阻障相比所遞送的能量係足夠高,則將進行給定的反應。此能量可藉由適當高能之離子、電子等的通量(允許定向能量來源的可能性)或以溫度相依性的阿瑞尼士型(Arrhenius-type)關係熱力式地(即,速率係e -E/kT或特性化為e -E/kT)提供。
ɛ A及ɛ B取決於設備及製程條件,且在硬體及製程參數的可得範圍內係選擇成針對給定材料系統提供高綜效的ALE蝕刻。
關於溫度,將平均溫度小量增加可顯著地增加所遞送的能量。舉例而言,滿足馬克士威-波茲曼分布的氣體具有平均能量<E>=3/2 kT。將溫度從例如25℃(300K)的室溫升至325℃(600K)將使<E>成為雙倍。然而,該分布的指數尾部(exponential tail)中高能原子的增加將增加遠多於兩倍‒在此情況下,具有E>1 eV之原子的總體增加近10億倍。
離子之移除速率的能量相依性取決於相對於閾值能量之離子能量的平方根、及與表面結合能E O成反比的比例常數。在大部分入射離子的動能在原子‒原子碰撞中作為熱量而消散的情況下,約20倍阻障能量的離子能量係用以針對ALE提供足夠的能量來源。舉例而言,2.5 eV的阻障可使用大於約50 eV的入射離子能量克服,因為在晶圓晶格熱化之後,約95%的入射離子將無法用以驅動ALE製程。
在方程式(2)中不等式的順序表示當在操作A中發生吸附而不發生脫附且當在操作B中發生脫附而不移除未改質的材料時發生最高的綜效。此關係代表ALE製程的能量「窗」。因此,E O及E 改質設下不等式的上邊界及下邊界,因此其能量差越大,吾人所擁有用以達到足夠綜效的自由度越多。
在方程式(2)中顯示的E及ε之相互關聯的本質強調以下事實:ALE製程的成功將不僅取決於材料‒反應物組合(E)的特性,亦取決於吾人為了滿足高綜效的標準對於反應器條件(ε)及能量的選擇。此外,生產率係亦一因素,因為克服阻障取決於操作時間的限制‒類似於其中一化學反應可為熱力學上受偏好的情況(即,吉布斯自由能變化係大且為負的),但其中動力學係使得反應時間不切實際地長。
藉由設計一材料被蝕刻而另一者在相同條件下不被蝕刻,所揭示的實施例亦可用以設計材料之間的蝕刻選擇性。考慮到達成高蝕刻選擇性(諸如在基板與遮罩層之間、在待蝕刻的材料與下方蝕刻停止層之間等)的困難,這是該方法的潛在益處。
考慮到ALD及ALE係類似於順序式的自限制原子製程,類似於方程式(1)及(2)的形式、及基於第一原理能量考量的類似方法可針對原子層沉積(ALD)開發。在一些實施例中,ALD及ALE可以用以製造半導體元件的一系列操作加以組合。舉例而言,關於ALD及ALE之整合的進一步說明係在2017年2月21日授證、標題為“INTEGRATING ATOMIC SCALE PROCESSES: ALD (ATOMIC LAYER DEPOSITION) AND ALE (ATOMIC LAYER ETCH)”的美國專利第9,675,811號中描述,其全部內容於此藉由參照納入本案揭示內容。
圖2提供製程流程圖,其描繪用於選擇下列者的操作:待蝕刻的材料、用以進行使用ALE蝕刻的反應物、及使用ALE有效地蝕刻而不濺射待蝕刻的材料或任何下層材料且不以非自限制方式過快地蝕刻材料之製程條件。
在操作299中,識別用於原子層蝕刻的製程條件。示例製程條件包含溫度、腔室壓力、電漿功率、偏壓功率、改質氣體流、及曝露時間。這些製程條件可為在改質、或移除、或兩者期間使用的製程條件。示例製程條件包含用於執行使基板曝露於改質氣體的基板溫度、及在使改質的表面曝露於移除氣體(在如下述操作211中執行)期間用於施加偏壓的偏壓功率。
在操作201中,決定E O。E O設下方程式2中給定之能量不等式的上能量邊界。E O係藉由材料的選擇而決定,因此實際上選擇E O係等同於選擇欲蝕刻的材料。若材料的選擇待決定,則吾人可選擇具有盡可能大之能量E O的材料,因為此將提供達到高綜效的最大製程窗。
在操作203中,用於蝕刻操作201中選擇的材料之反應物或改質氣體係加以選擇。此選擇將依據改質氣體與待蝕刻的膜之交互作用而決定E 改質及E 脫附的值。E 改質的值應足夠小以針對ɛ A及ɛ B的選擇提供靈活性,但仍足夠大以使得反應物會反應但不脫附(E 脫附>E 改質)。這些數值可從全始計算或使用阿瑞尼士方程式的實驗測試(對於E 改質)及從揮發性測量、全始計算或熱脫附溫度(對於E 脫附)加以估計。
在操作205中,選擇能量傳遞模態使得該模態決定ɛ A及ɛ B的值,其中E 改質< ɛ A< E 脫附< ɛ B< E O。這些ɛ A及ɛ B值表示傳遞至表面的有用能量(例如離子、光子、或電子的高能通量、化學能等)或可從周圍獲得的有用能量(例如基板或電漿溫度)。在諸多實施例中,ɛ A表示在改質操作(操作A)期間施加的能量,其係足以使基板(E 改質<ɛ A)改質但仍足夠低以防止改質氣體與表面反應(ɛ A<E 脫附)。在各種實施例中,ɛ B表示在移除操作(操作B)期間施加的能量,其係足以移除經改質的表面(E 脫附<ɛ B)且足夠低以防止待蝕刻材料的濺射(ɛ B< E O)。對於任何給定的材料,取決於改質氣體,E 改質及E 脫附可能不同。
在使用Cl 2作為改質氣體及Ar +作為移除氣體之矽的ALE之情況下,ɛ A可藉由Cl 2的溫度(熱力式)或Cl 2電漿決定,而ɛ B可藉由由Ar離子傳遞的有用能量決定。舉例而言,若使用電漿,則此可能影響反應路徑(並因而影響E 改質及E 脫附),且吾人可選擇不同的改質氣體。在諸多實施例中,操作A的能量或ɛ A係在改質操作期間藉由改變基板的溫度而調變,而操作B能量或ɛ B係藉由在移除操作期間改變電漿條件(諸如電漿功率或偏壓功率)而調變。因此,為了以自限制方式達成ALE,若E 改質與E 脫附間的範圍小,則用於執行改質操作而不造成脫附的溫度範圍小,而若E 改質與E 脫附間的範圍大,則用於執行改質操作而不造成脫附的溫度範圍大。若E 脫附與E O間的範圍小,則用於執行移除操作而不濺射之製程條件的範圍小,而若E 脫附與E O間的範圍大,則用於執行移除操作而不濺射之製程條件的範圍大。
在操作207a中,測量所得之ALE製程的綜效,且在操作207b中,修改ALE製程條件以進一步增加綜效,同時仍滿足五個能量間的方程式2。吾人可使用一範圍的數值及測量個別和協同的蝕刻速率以計算綜效。舉例而言,若使用Ar +離子轟擊,則吾人可對晶圓施以偏壓且測試一範圍的離子能量(例如:10-100 eV)。此可用以判定其中綜效最高的偏壓窗。
在一些實施例中,若所判定的綜效係非期望值,則可重複操作201。在一些實施例中,操作203及205可重複執行以評估能量傳遞模態而選擇具有期望綜效特性的改質氣體。
在操作209中,基板係曝露於操作203中選擇的改質氣體,以基於所選擇的製程條件使基板的表面改質。
在操作211中,經改質的表面係使用操作207b中的製程條件(諸如修改的偏壓功率)自基板移除以最大化綜效。在一些實施例中,重複操作209及211。
表1顯示針對改質操作使用各種改質氣體及針對移除操作使用氬電漿之各種材料的ALE示例綜效。 [表1]
材料 ALE 改質 ALE 移除 測量值
氯電漿 50 eV Ar + 綜效=90% EPC=0.70 nm/循環 α=0.03 nm/循環 β=0.04 nm/循環
氯電漿 25 eV Ar + 綜效=66% EPC=0.80 nm/循環 α=0.20 nm/循環 β=0.07 nm/循環
非晶形碳 氧電漿 50 eV Ar + 綜效=97% EPC=0.31 nm/循環 α=0 nm/循環 β=0.01 nm/循環
氯電漿 60 eV Ar + 綜效=95% EPC=0.21 nm/循環 α=0 nm/循環 β=0.01 nm/循環
氮化鎵 氯電漿 70 eV Ar + 綜效=91% EPC=0.33 nm/循環 α=0 nm/循環 β=0.03 nm/循環
二氧化矽 氯電漿 70 eV Ar + 綜效=80% EPC=0.50 nm/循環 α=0 nm/循環 β=0.10 nm/循環
鉭係用作決定如何使用圖2的操作調節鉭ALE的製程條件之演示實例。在操作201中,E O的值係藉由計算鉭的表面結合能而決定。文獻值係用以評估鉭的表面結合能。
在操作203中,基於E 改質及E 脫附選擇反應物。舉例而言,若在改質期間使用電漿,則吸附阻障(E 改質)視為〜0。E 脫附係針對一些反應物材料系統藉由估計文獻中發現的熱脫附溫度而決定。能量傳遞模態係針對ɛ A及ɛ B在操作205中決定。綜效係接著在操作207a中計算,且若在操作207b中有需要的話則修改製程條件。應理解在諸多實施例中,任何一或多種惰性載體氣體(諸如N 2、Ar、Ne、He、或其組合)可在改質或移除操作的任一者期間流動。此外,對於ALE循環,腔室可在改質之後、或在移除之後、或在一些實施例中為兩者之後沖洗。在一些實施例中,ALE循環包含改質、沖洗、移除、及沖洗。沖洗可涉及吹掃氣體,該吹掃氣體可為在其他操作中使用的載體氣體或不同的氣體。在一些實施例中,沖洗可能涉及抽空腔室。
圖3顯示係相對於其他元素材料的ALE,當將氯用作改質氣體且將氬用作移除氣體時,鉭ALE如何基於相對的吸附、脫附、及表面結合能進行的示例。表面結合能E O(黑色三角形)係由文獻值決定,如以下在圖4中進一步描述。因為使用電漿,所以吸附阻障E 改質(條紋陰影三角形)係視為〜0。脫附能E 脫附係自脫附溫度推得。
對於圖3中提供的所有示例,E 改質係視為約0 eV。對於矽而言,SiCl 2的脫附溫度為650℃,且自此溫度推得對於使用氯的蝕刻之E 脫附為約2.3 eV(以在矽表面藉由氯改質時形成副產物SiCl 2)。矽的表面結合能為4.7 eV。
對於鍺而言,GeCl 2的脫附溫度係在350℃,且自此溫度推得E 脫附在1及2 eV之間(以在鍺表面藉由氯改質時形成副產物GeCl 2)。鍺的表面結合能為3.8 eV。
對於鎢而言,自使用氯作為改質氣體之約800℃的脫附溫度推得之E 脫附係約3 eV(以在鎢表面藉由氯改質時形成副產物WCl 5)。鎢的表面結合能為8.8 eV。
對於碳而言,自使用氧作為改質氣體之約850℃的脫附溫度推得之E 脫附係約3 eV(以在碳表面藉由氧改質時形成副產物CO)。石墨碳的表面結合能為7.4 eV。
對於鉭而言,自使用氯作為改質氣體之約23℃的脫附溫度推得之E 脫附係約1.5 eV(以在鉭表面藉由氯改質時形成副產物TaCl 5)。鉭的表面結合能為8.1 eV。
脫附阻障(白色三角形)的相對值係基於熱脫附溫度估計,該等熱脫附溫度可在對於這些反應物-材料系統的文獻中找到。圖3標示的溫度係熱脫附溫度。鉭ALE的能量阻障建議在改質期間使用低溫以抑制氯與鉭反應,且就離子能量而言在移除操作期間使用大窗。這是因為E 改質與E 脫附間的窗非常小,且考慮在脫附溫度250℃的脫附能係非常小,所以鉭之ALE的處理溫度應為低的以確保在改質操作(操作A)期間使用的能量係在此小窗之內,以防止氯以非自限制方式與鉭反應。然而,鑒於E 脫附與E O間的大能隙,在移除操作(操作B)期間可使用寬範圍的離子能量而在鉭的高表面結合能下沒有濺射鉭表面的風險。
圖4顯示元素材料的表面結合能,如藉由昇華熱所決定。根據此圖,碳及耐高溫金屬(W、Ta、Re、Nb、Mo等)係ALE的良好候選者。在具有大於約6 eV之表面結合能的其他材料中,鉭係特別有用的,因為此材料係用作BEOL處理中之金屬化中之阻障/襯墊的一部分。基於表面結合能,鉭的ALE應運作良好。
圖5A-5C顯示ALE中材料趨勢的概要。如先前所討論,這些趨勢意味著具有高表面結合能的其他材料係ALE的良好候選者。在圖5A中,窗的上邊緣或濺射閾值(如在材料將被濺射而非改質的能量中)係相對於表面結合能E O而繪出。如圖所示,隨著表面結合能增加,窗的上邊緣增加。窗之較高的上邊界允許較寬範圍的能量,其可用以使材料改質而不使其濺射。
圖5B顯示隨表面結合能E O變化之由公式1計算的綜效。如圖所示,隨著表面結合能增加,綜效增加。這些顯示高表面結合能材料係較可能具有高綜效作用且因此係ALE的良好候選者。
圖5C顯示隨表面結合能E O變化之以nm/循環為單位的每循環蝕刻(EPC)。如圖所示,隨著表面結合能增加,每循環蝕刻減少。也就是說,每循環蝕刻更少的材料。此意味著高表面結合能材料能夠針對藉由ALE逐層自限制蝕刻更嚴密地受控制,而低表面結合能材料較可能由ALE更快地蝕刻。
對於鉭的示例,在各種實施例中,鉭可根據某些所揭示的實施例使用ALE蝕刻。舉例而言,當對於蝕刻鉭識別使用氯(作為示例改質氣體)的E O、E 脫附、及E 改質時,具有鉭的基板可使用以下示例性方法蝕刻。
圖6顯示根據某些所揭示的實施例對於鉭的原子層蝕刻執行之操作的示例製程流程圖。如上所述,在決定鉭的綜效之後,鉭的原子層蝕刻可藉由切換製程條件而達成。
在操作601中,具有鉭的基板係提供至處理腔室。基板可為矽晶圓(例如200 mm的晶圓、300 mm的晶圓、或450 mm的晶圓),其包含具有一或更多層材料(諸如介電的、導電的、或半導電的材料)沉積於其上的晶圓。圖案化的基板可具有「特徵部」,諸如介層窗或接觸孔,其特徵在於窄及/或內凹的開口、在特徵部內的縊縮部(constrictions)、及高深寬比的其中一或更多者。特徵部可在上述層的一或更多者中形成。特徵部的一個例子係半導體基板或基板上之層中的孔洞或介層窗。另一示例係基板或層中的溝槽。在各種實施例中,特徵部可具有下層,諸如阻障層或黏著層。下層之非限制性的示例包含介電層及導電層,例如:矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在諸多實施例中,基板包含鉭或鉭衍生物。在一些實施例中,基板包含鉭氮化物、或二或更多層鉭及/或鉭氮化物。
在操作603中,基板係曝露於改質氣體以在低基板溫度下使鉭的表面改質。在此操作期間、或在引入氣體之前但在將基板提供至處理腔室之後,基板係冷卻至低溫,低溫係在大約0℃或小於約0℃的溫度,諸如-30℃和約0℃之間。
改質氣體使鉭的表面改質,使得在改質期間施加的能量(例如低溫)達到改質能(足以使表面改質的能量)與脫附能之間的能量。將溫度保持低以防止改質氣體與鉭反應,因為此反應將防止執行原子層蝕刻的自限制行為。舉例而言,在約60℃的溫度下,當曝露於氯氣時將發生鉭的蝕刻,因此不會導致ALE製程。
在諸多實施例中,可調節改質氣體流以改變引入至基板之改質氣體的量。對於任何合適的曝露時間,基板可曝露於改質氣體。在一些實施例中,使基板維持曝露足以將改質氣體吸附至鉭表面上的曝露時間。在一些實施例中,曝露時間係至少約1秒、或約1秒、或約2秒。
在一些實施例中,在操作603期間,亦點燃電漿以形成鉭之經改質的表面。電漿藉由允許較快的吸附動力學而增加吸附時間。舉例而言,電漿藉由將改質氣體轉變成自由基而降低能量阻障E 脫附。在一些實施例中,基於氯的電漿可在此操作期間產生。自基於氯的電漿產生的物種可藉由在容納基板的處理腔室中形成電漿而原位產生、或其可在未容納基板的處理腔室中遠程地產生(諸如遠程電漿產生器),且可供應至容納基板的處理腔室中。在諸多實施例中,電漿可為感應耦合電漿、或電容耦合電漿、或微波電漿。感應耦合電漿的功率可設在約50 W與約2000 W之間,諸如約900 W。功率可設在足夠低的位準以不導致基板的直接電漿蝕刻。
在改質操作中,基板可使用含鹵素化學品改質。舉例而言,基板可藉由將氯引入至腔室而氯化。氯在所揭示的實施例中係用作為示例改質化學品,但應理解在一些實施例中,將不同的改質化學品引入至腔室。示例包含溴、碘、六氟化硫、四氟化矽、及三氯化硼(BCl 3)。
在操作605中,腔室係選用性地沖洗。在沖洗操作中,可將非表面鍵結的活性氯物種自處理腔室移除。此可藉由沖洗及/或抽空處理腔室以移除未吸附的改質化學品而不移除吸附層來完成。在基於氯之電漿中產生的物種可藉由停止電漿及允許其餘物種衰減而移除,其選用性地結合沖洗及/或排空腔室。沖洗可使用任何惰性氣體(諸如N 2、Ar、Ne、He、及其組合)進行。
在操作607中,將基板曝露於移除氣體並點燃電漿以移除經改質的表面。在諸多實施例中,移除氣體係氬。在一些實施例中,可使用氖或氪。在移除操作中,基板可曝露於能量源(例如引發移除的活化或離子轟擊氣體或化學反應性物種),諸如氬或氦,以藉由提供足夠的能量脫附經改質的鉭表面而蝕刻基板但不足以濺射鉭,使得能量低於表面結合能。在一些實施例中,移除可為等向性的。在一些實施例中,操作607中經改質的表面可藉由提高基板溫度而移除,但此移除係等向性的。舉例而言,在一些實施例中,使用熱的移除可用於脫附,但此移除可為等向性的。
估計的脫附速率在較高溫度下比較低溫度下低,因此在諸多實施例中,點燃電漿以增加脫附的速率。自電漿產生的離子允許在低溫下使用非等向性蝕刻的移除。使用離子允許替代的蝕刻技術以定向性地執行蝕刻及執行非相依於阿瑞尼士速率定律的蝕刻製程。在一些實施例中,偏壓係在操作607及603之其中至少一者期間施加以幫助藉由原子層蝕刻的移除。吾人將理解大量能量損失(諸如約90%的能量)由於碰撞而發生,且因此藉由施加偏壓進行的非等向性蝕刻幫助克服能量損失以有效地移除經改質的鉭層。
在移除期間,可選用性地施加偏壓以促進定向性離子轟擊。選擇偏壓功率以防止濺射,但允許移除氣體進入特徵部並蝕刻位於特徵部之開口或其附近的鎢,以從而打開特徵部。偏壓功率可依據活化的移除氣體對在基板上沉積的金屬之閾值濺射率而選擇。如此處使用的濺射可意指物理性移除基板表面的至少一些。離子轟擊可意指物種對基板表面之上的物理轟擊。
在操作609中,腔室係選用性地沖洗以自腔室移除已反應的副產物。腔室可使用如上關於操作605所述的任何氣體或技術沖洗。
如圖所示,在一些實施例中,操作603-609可依需要選用性地重複以自基板蝕刻期望的鉭量。 設備
現在描述某些實施例中可適合用於原子層蝕刻(ALE)操作的感應耦合電漿(ICP)反應器。此等ICP反應器亦已在2013年12月10日申請、題為“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美國專利申請案公開號第2014/0170853號中描述,其全部內容於此藉由參照及為了所有目的納入本案揭示內容。雖然此處描述ICP反應器,但在一些實施例中,應理解亦可使用電容耦合電漿反應器。
圖7示意性地顯示適合用於執行此處某些實施例之感應耦合電漿蝕刻設備700的橫剖面圖,該設備的一個例子係Kiyo TM反應器,由Lam Research Corp. of Fremont, CA所製造。該感應耦合電漿設備700包含由腔室701的壁及窗711結構上定義的整體處理腔室701。腔室701的壁可由不銹鋼或鋁製造。窗711可由石英或其他介電材料製造。選用性的內部電漿格柵750將整體處理腔室701分割成上子腔室702及下子腔室703。在大部分的實施例中,可將電漿格柵750移除,從而使用由子腔室702和703構成的腔室空間。卡盤717係位在下子腔室703之內接近底部內表面。卡盤717係配置成接收及固持半導體晶圓719,在該半導體晶圓719上執行蝕刻及沉積製程。卡盤717可為靜電卡盤,用於當晶圓719存在時支撐晶圓719。在一些實施例中,邊緣環(未顯示)環繞卡盤717,且當晶圓719存在卡盤717上時,該邊緣環具有與晶圓719的頂部表面大致平坦的上表面。卡盤717亦包含用於夾持及解除夾持晶圓的靜電電極。濾波器及DC箝位電源(DC clamp power supply)(未顯示)可針對此目的而提供。亦可提供用於抬升晶圓719遠離卡盤717的其他控制系統。可使用RF電源723使卡盤717帶電荷。RF電源723係藉由連接件727連接至匹配電路721。該匹配電路721係藉由連接件725連接至卡盤717。以此方式,將RF電源723連接至卡盤717。
用於產生電漿的元件包含位在窗711之上的線圈733。在一些實施例中,線圈並未在所揭示的實施例中使用。線圈733係由導電材料製成,且包含至少一完整匝。在圖7中顯示之線圈733的例子包含三匝。線圈733的橫剖面係以符號表示:具有「X」的線圈旋轉延伸進入頁面,而具有「●」的線圈旋轉延伸出頁面。用於產生電漿的元件亦包含RF電源741,其配置成將RF功率供應至線圈733。通常,RF電源741係藉由連接件745連接至匹配電路739。該匹配電路739係藉由連接件743連接至線圈733。以此方式,RF電源741係連接至線圈733。選用性的法拉第屏蔽749係位在線圈733與窗711之間。法拉第屏蔽749係相對於線圈733維持一間隔開的關係。法拉第屏蔽749係設在窗711的正上方。線圈733、法拉第屏蔽749、及窗711係各自配置成實質上彼此平行。法拉第屏蔽可防止金屬或其他物種沉積在電漿腔室701的介電窗上。
處理氣體(例如:氯、氬、氧等)可通過位在上子腔室702的一或更多主要氣體流入口760及/或通過一或更多側氣體流入口770流入至處理腔室701。同樣,雖然未明確顯示,類似的氣體流入口可用以將處理氣體供應至電容耦合電漿處理腔室。真空幫浦(例如一或二階段機械乾式幫浦及/或渦輪分子幫浦740)可用以將處理氣體泵出處理腔室701,且在處理腔室701之內維持壓力。舉例而言,幫浦可在ALE的沖洗操作期間用以抽空腔室701。閥控制的導管可用以將真空幫浦流體連接至處理腔室701,以選擇性地控制由真空幫浦提供之真空環境的應用。此可在操作的電漿處理期間使用閉迴路控制的流量限制裝置(諸如節流閥(未顯示)或鐘擺閥(未顯示))進行。同樣,亦可使用連接至電容耦合電漿處理腔室的真空幫浦及閥控制流體連接件。
在設備的操作期間,一或更多處理氣體可通過氣體流入口760及/或770供應。在某些實施例中,處理氣體可僅通過主要氣體流入口760、或僅通過側氣體流入口770供應。在一些情況下,圖中顯示的氣體流入口可取代更複雜的氣體流入口,例如一或更多噴淋頭。法拉第屏蔽749及/或選用性的格柵750可包含允許將處理氣體遞送至腔室701的內部通道及孔洞。法拉第屏蔽749及選用性的格柵750之其中一或兩者可作為用於遞送處理氣體的噴淋頭。在一些實施例中,液體汽化及遞送系統可位於腔室701的上游,使得一旦液體反應物或前驅物被汽化,則汽化的反應物或前驅物係經由氣體流入口760及/或770引入至腔室701。示例液體前驅物包含SiCl 4及矽醯胺(silicon amide)。
射頻功率係從RF電源741供應至線圈733,以造成RF電流流經線圈733。流經線圈733的RF電流在線圈733周圍產生電磁場。該電磁場在上子腔室702之內產生感應電流。所產生的諸多離子及自由基與晶圓719的物理及化學交互作用在晶圓上選擇性地蝕刻特徵部及沉積層。
若使用電漿格柵而使得有上子腔室702及下子腔室703兩者,則感應電流作用於存在上子腔室702中的氣體,以在上子腔室702中產生電子-離子電漿。選用性的內部電漿格柵750限制下子腔室703中之熱電子的量。在一些實施例中,設備係被設計及操作成使得存在下子腔室703中的電漿係離子-離子電漿。
上電子-離子電漿及下離子-離子電漿兩者可包含正及負離子,然而離子-離子電漿將具有較大之負離子對正離子的比例。揮發性的蝕刻及/或沉積副產物可自下子腔室703通過埠722而移除。此處揭示的卡盤717可以在約-200℃和約600℃之間、或約-20℃和約250℃之間範圍的溫度操作而用於處理基板,為了蝕刻鉭,卡盤717可設在小於0℃的溫度。溫度將取決於製程操作和特定配方及使用的工具。
在設備(未顯示)係安裝於無塵室或製造設施中時,腔室701可耦接至該設備。該設備包含提供處理氣體、真空、溫度控制、及環境粒子控制的管路。當這些設備係安裝在目標製造設施中時,該等設備係耦接至腔室701。此外,腔室701可耦接至轉移腔室,該轉移腔室允許機器人使用典型自動化技術將半導體晶圓傳送進出腔室701。
在一些實施例中,系統控制器730(其可包含一或更多物理或邏輯控制器)控制處理腔室的一些或全部操作。系統控制器730可包含一或更多記憶體裝置及一或更多處理器。在一些實施例中,設備包含切換系統,當執行所揭示的實施例時,該切換系統用於控制流率及持續時間。在一些實施例中,設備可具有高達約500 ms、或高達約750 ms的切換時間。切換時間可取決於流量化學、選擇的配方、反應器架構、及其他因素。
在一些實施方式中,控制器730為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平臺或複數平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制系統或複數系統的諸多元件或子部分。依據系統的處理參數及/或類型,控制器730可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器730可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或更多處理步驟。在一些實施例中,控制器730可用以決定ALE的改質操作之溫度窗、或決定ALE的移除操作之製程條件窗、或兩者。
在一些實施方式中,控制器730可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器730接收數據形式的指令,該數據明確指定於一或更多操作期間將執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型及控制器受配置所介接或控制之工具的類型。因此,如上所述,控制器730可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,控制器可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
圖8描繪具有諸多模組的半導體製程群組架構,該等模組與真空傳送模組838(VTM)介接。在多個儲存設備及處理模組之中「傳送」晶圓之傳送模組的配置可被稱為「群組工具架構」系統。氣室830(亦稱為裝載鎖定部或傳送模組)係在伴隨四個處理模組820a-820d的VTM 838中加以顯示,該等處理模組820a-820d可個別地最佳化以執行各種製造製程。舉例而言,可實施處理模組820a-820d以執行基板蝕刻、沉積、離子佈植、晶圓清潔、濺射、及/或其他半導體製程。基板蝕刻處理模組的一或更多者(820a-820d的任一者)可如此處所揭示加以實施,即,用於引入改質氣體、用於引入移除氣體、及其他根據所揭示的實施例之適合的功能。氣室830及處理模組820可被稱為「工作站」。各工作站具有將該工作站與VTM 838介接的面部(facet)836。在各面部的內部,感測器1-18係用以當晶圓826在個別的工作站之間移動時偵測其通過。
機器人822在工作站之間傳送晶圓826。在一實施例中,機器人822具有一手臂,而在另一實施例中,機器人822具有二手臂,其中各手臂具有末端執行器824以拾取晶圓(諸如晶圓826)以供傳輸。在大氣傳送模組(ATM)840中的前端機器人832係用以將晶圓826由裝載埠模組(LPM)842中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)834傳送至氣室830。在處理模組820內部的模組中心828係用於放置晶圓826的一個位置。在ATM 840中的對準器844係用以對準晶圓。
在一示例性的處理方法中,晶圓係放置於LPM 842中之FOUP 834的其中一者內。前端機器人832將晶圓由FOUP 834傳送至對準器844,該對準器844允許晶圓826在被蝕刻或被處理之前正確地置中。在經過對準之後,晶圓826係藉由前端機器人832移動進入氣室830。由於氣室模組具有使ATM與VTM之間的環境相匹配的能力,因此晶圓826係能夠在不受損害的情況下於兩壓力環境之間移動。從氣室模組830,藉由機器人822將晶圓826經由VTM 838移動進入處理模組820a-820d的其中一者。為達成此晶圓移動,機器人822使用在其各手臂上的末端執行器824。一旦晶圓826已被處理,其係藉由機器人822自處理模組820a-820d移動至氣室模組830。由此,晶圓826可由前端機器人832移動至FOUP 834的其中一者或對準器844。
應注意控制晶圓移動的電腦對於群組結構可為本地的、或可設在生產樓層(manufacturing floor)中之群組結構的外部、或位於遠端位置中並經由網路連接至群組結構。以上關於圖7描述的控制器可與圖8的工具一起執行。 實驗
圖9A-9C顯示設計者ALE的示例。圖9A顯示作為溫度之函數的操作A(改質),確認在0℃的反應可被抑制。在此設定點基板溫度下,圖9C顯示基於兩個實驗的偏壓掃描,兩者皆涉及設計者ALE中用於改質的氯及用於移除的氬。圓形圖示代表ALE的40個循環所收集的數據。矩形圖示代表ALE的25個循環所收集的數據。窗係確認為〜20-90 eV。與寬度為10 eV的鍺ALE窗及案例研究之矽ALE窗的寬度為20 eV相比,此70 eV的窗係此處所觀察到的最大者。圖9B進一步確認時間上的自限制行為。總體而言,綜效係大於約94%,但可能受限於橢偏誤差。總體而言,此材料顯示高綜效的ALE行為。令人驚訝地,考慮到鉭在約60℃的溫度下以不可控制的蝕刻方式過快反應,但在計算綜效及相對的能量值之後,如工具所允許之在約或小於約0℃下(諸如在-200℃與約0℃之間)執行鉭的ALE導致自限制蝕刻。 結論
雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在隨附申請專利範圍的範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、及設備。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例不限於此處提供的細節。
171a:圖 171b:圖 171c:圖 171d:圖 171e:圖 172a:圖 172b:圖 172c:圖 172d:圖 172e:圖 201:操作 203:操作 205:操作 207a:操作 207b:操作 209:操作 211:操作 299:操作 601:操作 603:操作 605:操作 607:操作 609:操作 700:設備 701:腔室 702:上子腔室 703:下子腔室 711:窗 717:卡盤 719:晶圓 721:匹配電路 722:埠 723:RF電源 725:連接件 727:連接件 730:控制器 733:線圈 739:匹配電路 740:幫浦 741:RF電源 743:連接件 745:連接件 749:法拉第屏蔽 750:格柵 760:氣體流入口 770:側氣體流入口 820a:處理模組 820b:處理模組 820c:處理模組 820d:處理模組 822:機器人 824:末端執行器 826:晶圓 828:模組中心 830:氣室(模組) 832:前端機器人 834:前開式晶圓傳送盒(FOUP) 836:面部 838:真空傳送模組(VTM) 840:大氣傳送模組(ATM) 842:裝載埠模組(LPM) 844:對準器
201:操作
203:操作
205:操作
207a:操作
207b:操作
209:操作
211:操作
299:操作

Claims (32)

  1. 一種蝕刻基板上之材料的方法,該方法包含: 針對使用一改質氣體及一移除氣體之該材料的原子層蝕刻製程識別製程條件;以及 藉由以下步驟,在該基板上的該材料上執行該原子層蝕刻製程: 將該基板曝露於該改質氣體以使該材料的表面改質,該改質氣體具有關於待蝕刻的該材料之改質能及脫附能,以及 將經改質的該表面曝露於該移除氣體,並且點燃電漿以移除經改質的該表面, 其中,該改質能係小於該脫附能,且該脫附能係小於該材料的表面結合能, 其中,識別該等製程條件的步驟包含選定一基板溫度以供執行將該基板曝露於該改質氣體,其中由該基板溫度提供的離子能量係在該改質能與該脫附能之間,且 其中,該材料的該表面結合能係大於約6 eV, 該方法更包含在執行該原子層蝕刻製程之前,將該基板設定在提供處於該改質能與該脫附能之間的離子能量的一溫度, 其中,將該基板設定在處於該改質能與該脫附能之間的該溫度的步驟包含將該基板冷卻至小於約0℃的溫度。
  2. 如請求項1之蝕刻基板上之材料的方法,其中,識別該等製程條件的步驟更包含選定一偏壓功率以供在將經改質的該表面曝露於該移除氣體的步驟期間施加一偏壓,其中由該偏壓提供的離子能量係在該脫附能與該表面結合能之間。
  3. 如請求項1之蝕刻基板上之材料的方法,其中,該改質氣體係選定為吸附於該材料而不蝕刻該材料。
  4. 如請求項1之蝕刻基板上之材料的方法,其中,該移除氣體係選定為移除經改質的該表面而不蝕刻下方未改質的一材料。
  5. 如請求項1之蝕刻基板上之材料的方法,其中,該等製程條件係選自由溫度、腔室壓力、電漿功率、偏壓功率、改質氣體流、及曝露時間所組成之群組。
  6. 如請求項1之蝕刻基板上之材料的方法,更包含在一製程窗內修改該等製程條件。
  7. 如請求項1之蝕刻基板上之材料的方法,其中,該材料係選自由矽、碳、鈮、鉬、釕、銠、錸、鋨、鎢、及鉭所組成之群組。
  8. 如請求項7之蝕刻基板上之材料的方法,其中,所識別的製程條件係溫度,且該材料係鉭。
  9. 如請求項8之蝕刻基板上之材料的方法,其中,該基板係在小於約0℃的基板溫度下曝露於該改質氣體。
  10. 如請求項8之蝕刻基板上之材料的方法,其中,該溫度係在約-20℃與約0℃之間。
  11. 如請求項8之蝕刻基板上之材料的方法,其中,該基板包含鉭氮化物。
  12. 如請求項1之蝕刻基板上之材料的方法,其中,偏壓係施加至將該基板曝露於該改質氣體之步驟及將經改質的該表面曝露於該移除氣體之步驟的其中至少一者。
  13. 如請求項1-12其中任一項之蝕刻基板上之材料的方法,其中,原子層蝕刻更包含在將該基板曝露於該改質氣體之步驟與將經改質的該表面曝露於該移除氣體之步驟之間,沖洗容納該基板的一腔室。
  14. 如請求項13之蝕刻基板上之材料的方法,其中,該沖洗步驟係藉由遞送選自由N 2、Ar、Ne、He、及其組合所組成之群組的一惰性氣體而執行。
  15. 如請求項1-12其中任一項之蝕刻基板上之材料的方法,其中,該改質氣體係一含鹵素氣體。
  16. 如請求項15之蝕刻基板上之材料的方法,其中,該改質氣體係氯。
  17. 如請求項15之蝕刻基板上之材料的方法,其中,該改質氣體係選自由溴、碘、六氟化硫、四氟化矽、及三氯化硼(BCl 3)所組成之群組。
  18. 如請求項1-12其中任一項之蝕刻基板上之材料的方法,其中,該移除氣體係一惰性氣體。
  19. 如請求項18之蝕刻基板上之材料的方法,其中,該移除氣體係氖或氪。
  20. 一種用於處理基板的設備,該設備包含: 一處理腔室,包含一噴淋頭及用於固持具有材料之基板的一基板支座; 一電漿產生器;以及 一控制器,具有至少一處理器及一記憶體, 其中,該至少一處理器及該記憶體係彼此通訊連接, 該至少一處理器係至少與流量控制硬體操作上連接,且 該記憶體儲存機器可讀指令,用於: 致使針對使用一改質氣體及一移除氣體之該材料的原子層蝕刻製程識別製程條件;以及 藉由以下步驟致使在該基板上的該材料上執行該原子層蝕刻製程: 致使引入該改質氣體以使該材料的表面改質,該改質氣體具有關於待蝕刻的該材料之改質能及脫附能,以及 致使引入該移除氣體和產生電漿以移除經改質的該表面, 其中,該改質能係小於該脫附能,且該脫附能係小於該材料的表面結合能, 其中,識別該等製程條件的操作包含致使針對該改質氣體的引入而選定一基板溫度,其中由該基板溫度提供的離子能量係在該改質能與該脫附能之間,且 其中,該材料的該表面結合能係大於約6 eV, 該機器可讀指令更包含致使在執行該原子層蝕刻製程之前,將該基板設定在提供處於該改質能與該脫附能之間的離子能量的一溫度的指令, 其中,致使將該基板設定在處於該改質能與該脫附能之間的該溫度的步驟包含藉由將該基板支座的溫度設成小於約0℃的溫度,以將該基板冷卻至小於約0℃的溫度。
  21. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程,以使該等製程條件更包含選自由溫度、腔室壓力、電漿功率、偏壓功率、改質氣體流、及曝露時間所組成之群組的製程條件。
  22. 如請求項20之用於處理基板的設備,其中,該機器可讀指令更包含致使在一製程窗內修改製程條件的指令。
  23. 如請求項20之用於處理基板的設備,其中,該機器可讀指令更包含在致使引入該改質氣體期間,致使將該基板支座的溫度設成小於約0℃的溫度之指令。
  24. 如請求項20之用於處理基板的設備,其中,該基板支座的溫度係在約-20℃與約0℃之間。
  25. 如請求項20之用於處理基板的設備,其中,該機器可讀指令更包含在致使引入該改質氣體與致使引入該移除氣體之其中至少一者期間,致使偏壓施加至該基板支座的指令。
  26. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程以使識別該等製程條件的指令更包含:致使選定一偏壓功率以在引入該移除氣體的期間施加一偏壓的指令,其中由該偏壓提供的離子能量係在該脫附能與該表面結合能之間。
  27. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程,以將該改質氣體選定為吸附於該材料而不蝕刻該材料。
  28. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程,以將該移除氣體選定為移除經改質的該表面而不蝕刻下方未改質的材料。
  29. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程,以使該材料選自由矽、碳、鈮、鉬、釕、銠、錸、鋨、鎢、及鉭所組成之群組。
  30. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程,以使該改質氣體為一含鹵素氣體。
  31. 如請求項20之用於處理基板的設備,其中,該控制器被進一步編程,以使該移除氣體為一惰性氣體。
  32. 如請求項20-31其中任一項之用於處理基板的設備,其中,用於執行原子層蝕刻的該機器可讀指令更包含在致使引入該改質氣體與致使引入該移除氣體之間,致使沖洗該處理腔室的指令。
TW111126005A 2016-12-19 2017-12-18 設計者原子層蝕刻 TWI832325B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662436286P 2016-12-19 2016-12-19
US62/436,286 2016-12-19
US201762532916P 2017-07-14 2017-07-14
US62/532,916 2017-07-14
US15/841,205 US10566212B2 (en) 2016-12-19 2017-12-13 Designer atomic layer etching
US15/841,205 2017-12-13

Publications (2)

Publication Number Publication Date
TW202245054A TW202245054A (zh) 2022-11-16
TWI832325B true TWI832325B (zh) 2024-02-11

Family

ID=62556881

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106144335A TWI811199B (zh) 2016-12-19 2017-12-18 設計者原子層蝕刻
TW111126005A TWI832325B (zh) 2016-12-19 2017-12-18 設計者原子層蝕刻

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106144335A TWI811199B (zh) 2016-12-19 2017-12-18 設計者原子層蝕刻

Country Status (6)

Country Link
US (4) US10566212B2 (zh)
JP (2) JP7209155B2 (zh)
KR (3) KR20230145551A (zh)
CN (1) CN110741462A (zh)
TW (2) TWI811199B (zh)
WO (1) WO2018118655A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6936700B2 (ja) 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR20200094751A (ko) * 2017-12-15 2020-08-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10998170B2 (en) * 2018-04-13 2021-05-04 Tokyo Electron Limited Method for ion mass separation and ion energy control in process plasmas
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10937659B2 (en) * 2019-04-09 2021-03-02 Tokyo Electron Limited Method of anisotropically etching adjacent lines with multi-color selectivity
TW202105514A (zh) * 2019-06-13 2021-02-01 日商東京威力科創股份有限公司 蝕刻方法以及蝕刻裝置
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
JP2022164060A (ja) * 2021-04-15 2022-10-27 東京エレクトロン株式会社 エッチング方法及び処理装置
KR20220146408A (ko) * 2021-04-22 2022-11-01 주식회사 히타치하이테크 에칭 방법
JP7231683B1 (ja) 2021-08-30 2023-03-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2023058642A1 (ja) * 2021-10-06 2023-04-13 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
KR20240033327A (ko) 2022-09-05 2024-03-12 에스케이하이닉스 주식회사 리간드 교환반응을 이용한 원자층의 에칭방법
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH06326060A (ja) * 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
JPH07183256A (ja) * 1993-12-22 1995-07-21 Hitachi Ltd 原子層エッチング方法及び装置
JP2012529777A (ja) * 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
JP2016028424A (ja) * 2014-07-10 2016-02-25 東京エレクトロン株式会社 基板の高精度エッチング方法
JP2016208031A (ja) * 2015-04-20 2016-12-08 ラム リサーチ コーポレーションLam Research Corporation Mramスタックをパターニングする乾式プラズマ・エッチング法

Family Cites Families (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JP3331819B2 (ja) 1995-06-30 2002-10-07 ソニー株式会社 化合物半導体のプラズマエッチング方法
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
DE19681602T1 (de) 1995-10-19 1998-11-26 Massachusetts Inst Technology Verfahren zum Entfernen von Metall
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8206568B2 (en) * 1999-06-22 2012-06-26 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
JP2001255670A (ja) 2000-03-10 2001-09-21 Mitsubishi Electric Corp 微細レジストパターン形成方法および装置
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
KR100463237B1 (ko) 2000-06-28 2004-12-23 주식회사 하이닉스반도체 감광막패턴의 형성 방법
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
WO2002091461A2 (en) 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US20030003374A1 (en) 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
AU2003223472A1 (en) 2002-05-14 2003-12-02 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP3878577B2 (ja) 2003-06-06 2007-02-07 株式会社東芝 半導体装置の製造方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
TW200428532A (en) 2003-06-03 2004-12-16 Silicon Integrated Sys Corp Method of modifying conductive wiring
KR100606532B1 (ko) 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
DE102006001253B4 (de) 2005-12-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
US8257987B2 (en) 2006-02-02 2012-09-04 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905993B1 (ko) 2007-09-13 2009-07-02 인하대학교 산학협력단 인듐옥사이드-징크옥사이드의 건식 식각 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
EP2240968A1 (en) 2008-02-08 2010-10-20 Illumitex, Inc. System and method for emitter layer shaping
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR100925210B1 (ko) 2008-05-27 2009-11-06 한국전자통신연구원 건식 식각 공정을 이용한 산화물 박막 트랜지스터의 제조방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20100019233A (ko) 2008-08-08 2010-02-18 삼성전자주식회사 박막 트랜지스터 기판 및 이의 제조 방법
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
JP5128421B2 (ja) 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
JP4305574B1 (ja) 2009-01-14 2009-07-29 住友電気工業株式会社 Iii族窒化物基板、それを備える半導体デバイス、及び、表面処理されたiii族窒化物基板を製造する方法
JP5275094B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP4982582B2 (ja) 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
KR101340793B1 (ko) 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20130200391A1 (en) 2010-09-28 2013-08-08 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
CN103502506B (zh) 2011-04-29 2016-06-08 应用材料公司 用于在涂覆工艺中钝化柔性基板的装置和方法
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
KR101380835B1 (ko) 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US9570600B2 (en) 2012-11-16 2017-02-14 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
CN105308723B (zh) 2013-06-17 2019-01-01 应用材料公司 利用湿式晶片背面接触进行铜镀硅穿孔的方法
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
JP6347695B2 (ja) * 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US10265742B2 (en) 2013-11-25 2019-04-23 Applied Materials, Inc. Method for in-situ chamber clean using carbon monoxide (CO) gas utlized in an etch processing chamber
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
US11164753B2 (en) 2014-01-13 2021-11-02 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
CN106133876A (zh) 2014-03-26 2016-11-16 东丽株式会社 半导体器件的制造方法及半导体器件
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9675811B2 (en) 2014-08-28 2017-06-13 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6532066B2 (ja) * 2015-03-30 2019-06-19 東京エレクトロン株式会社 原子層をエッチングする方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
TWI625784B (zh) 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW202336855A (zh) * 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
WO2017147254A1 (en) 2016-02-23 2017-08-31 Tokyo Electron Limited Method and system for atomic layer etching
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR101853588B1 (ko) 2017-08-01 2018-04-30 성균관대학교산학협력단 반도체 소자, 광전 소자, 및 전이금속 디칼코게나이드 박막의 제조 방법
KR102485169B1 (ko) 2017-09-08 2023-01-09 삼성디스플레이 주식회사 표시 장치, 이의 제조 방법, 및 전극 형성 방법
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10790149B2 (en) 2018-07-26 2020-09-29 Tokyo Electron Limited Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
CN115244666A (zh) 2020-03-06 2022-10-25 朗姆研究公司 钼的原子层蚀刻

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH06326060A (ja) * 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
JPH07183256A (ja) * 1993-12-22 1995-07-21 Hitachi Ltd 原子層エッチング方法及び装置
JP2012529777A (ja) * 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
JP2016028424A (ja) * 2014-07-10 2016-02-25 東京エレクトロン株式会社 基板の高精度エッチング方法
JP2016208031A (ja) * 2015-04-20 2016-12-08 ラム リサーチ コーポレーションLam Research Corporation Mramスタックをパターニングする乾式プラズマ・エッチング法

Also Published As

Publication number Publication date
KR20230145551A (ko) 2023-10-17
US20200118835A1 (en) 2020-04-16
US20180350624A1 (en) 2018-12-06
US10566212B2 (en) 2020-02-18
CN110741462A (zh) 2020-01-31
US10566213B2 (en) 2020-02-18
JP2022180585A (ja) 2022-12-06
US11239094B2 (en) 2022-02-01
KR102589704B1 (ko) 2023-10-13
KR102617520B1 (ko) 2023-12-22
US20220093413A1 (en) 2022-03-24
TW202245054A (zh) 2022-11-16
WO2018118655A1 (en) 2018-06-28
TW201838026A (zh) 2018-10-16
JP7320168B2 (ja) 2023-08-03
US20180174860A1 (en) 2018-06-21
KR20190089222A (ko) 2019-07-30
TWI811199B (zh) 2023-08-11
JP7209155B2 (ja) 2023-01-20
JP2020502811A (ja) 2020-01-23
KR20190089231A (ko) 2019-07-30
US11721558B2 (en) 2023-08-08

Similar Documents

Publication Publication Date Title
TWI832325B (zh) 設計者原子層蝕刻
US11069535B2 (en) Atomic layer etch of tungsten for enhanced tungsten deposition fill
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
JP2016208027A (ja) コバルトのエッチバック
CN112970096A (zh) 蚀刻室中的方向性沉积
KR102642011B1 (ko) 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
JP7461923B2 (ja) 電子励起原子層エッチング