KR102589704B1 - 원자 층 에칭 (atomic layer etching) - Google Patents

원자 층 에칭 (atomic layer etching) Download PDF

Info

Publication number
KR102589704B1
KR102589704B1 KR1020197020687A KR20197020687A KR102589704B1 KR 102589704 B1 KR102589704 B1 KR 102589704B1 KR 1020197020687 A KR1020197020687 A KR 1020197020687A KR 20197020687 A KR20197020687 A KR 20197020687A KR 102589704 B1 KR102589704 B1 KR 102589704B1
Authority
KR
South Korea
Prior art keywords
energy
substrate
gas
ale
delete delete
Prior art date
Application number
KR1020197020687A
Other languages
English (en)
Other versions
KR20190089222A (ko
Inventor
케렌 제이콥스 카나릭
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020197021389A priority Critical patent/KR102617520B1/ko
Priority to KR1020237034721A priority patent/KR20230145551A/ko
Publication of KR20190089222A publication Critical patent/KR20190089222A/ko
Application granted granted Critical
Publication of KR102589704B1 publication Critical patent/KR102589704B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

ALE (atomic layer etching) 에 의한 자기-제한 에칭을 위한 프로세스 조건들을 결정하기 위해 광범위한 재료들에 대한 개질 동작 및 제거 동작의 시너지를 평가하기 위한 방법들이 본 명세서에 제공된다. 방법들은 재료의 표면 결합 에너지를 결정하는 단계, 재료에 대한 개질 가스를 선택하는 단계로서 재료의 표면을 개질하기 위한 프로세스 조건들은 개질 에너지보다 작고 탈착 에너지보다 큰 에너지를 생성하는, 개질 가스를 선택하는 단계, 제거 가스를 선택하는 단계로서 개질된 표면을 제거하기 위한 프로세스 조건들은 개질된 표면을 제거하도록 탈착 에너지보다 크지만 스퍼터링을 방지하도록 재료의 표면 결합 에너지보다 작은 에너지를 생성하는, 제거 가스를 선택하는 단계, 및 ALE를 위한 프로세스 윈도우를 최대화하도록 시너지를 계산하는 단계를 포함한다.

Description

원자 층 에칭 (ATOMIC LAYER ETCHING)
관련 출원들에 대한 교차 참조
본 출원은 2016년 12월 19일 출원된, 명칭이 "DESIGNER ATOMIC LAYER ETCHING"인 미국 특허 가출원 번호 제 62/436,286 호 및 2017년 7월 14일 출원된, 명칭이 "DESIGNER ATOMIC LAYER ETCHING"인 미국 특허 가출원 번호 제 62/532,916 호 모두의 우선권을 주장하는, 2017년 12월 13일 출원된, 명칭이 "DESIGNER ATOMIC LAYER ETCHING"인 미국 특허 출원번호 제 15/841,205 호의 이점을 주장하고, 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
반도체 제조 프로세스들은 다양한 재료들의 에칭을 포함한다. 피처 사이즈들이 축소됨에 따라, ALE (Atomic Layer Etch) 와 같은 원자 스케일 프로세싱에 대해 성장하는 수요가 있다. 그러나, 다양한 재료들을 스퍼터링하지 않고 자기-제한 (self-limiting) 방식으로 ALE를 수행하는 것은 어렵다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 기술된다. 일 양태는 기판 상의 재료를 에칭하는 방법을 수반하고, 방법은 개질 가스 (modification gas) 및 제거 가스를 사용한 재료의 ALE (atomic layer etching) 프로세스를 위한 프로세스 조건들을 식별하는 단계; 및 기판 상의 재료에 대해: 재료의 표면을 개질하기 위해 개질 가스에 기판을 노출하는 단계로서, 개질 가스는 에칭될 재료에 대한 개질 에너지 및 탈착 (desorption) 에너지를 갖는, 개질 가스에 기판을 노출하는 단계, 및 개질된 표면을 제거하기 위해 제거 가스에 개질된 표면을 노출하고 플라즈마를 점화하는 (igniting) 단계에 의해, ALE 프로세스를 수행하는 단계를 포함하고, 개질 에너지는 탈착 에너지보다 작고, 그리고 탈착 에너지는 재료의 표면 결합 에너지보다 작다.
다양한 실시예들에서, 프로세스 조건들을 식별하는 단계는 기판 온도에 의해 제공된 에너지는 개질 에너지와 탈착 에너지 사이이도록 기판을 개질 가스에 노출하는 단계를 수행하기 위해 기판 온도를 선택하는 단계를 포함한다.
다양한 실시예들에서, 프로세스 조건들을 식별하는 단계는 기판 온도에 의해 제공된 에너지는 개질 에너지와 탈착 에너지 사이이도록 기판을 개질 가스에 노출하는 단계를 수행하기 위해 기판 온도를 선택하는 단계를 포함한다.
다양한 실시예들에서, 개질 가스는 재료를 에칭하지 않고 재료에 흡착하도록 선택된다.
다양한 실시예들에서, 제거 가스는 아래에 놓인 개질되지 않은 재료를 에칭하지 않고 개질된 표면을 제거하도록 선택된다.
일부 실시예들에서, 프로세스 조건들은 온도, 챔버 압력, 플라즈마 전력, 바이어스 전력, 개질 가스 플로우, 및 노출 시간 중 임의의 하나 이상일 수도 있다.
방법은 또한 프로세스 윈도우 내에서 프로세스 조건들을 수정하는 단계를 포함할 수도 있다. 프로세스 윈도우는 최소 바이어스 전력이 개질된 표면을 제거하도록 사용된 최소 바이어스이고 최대 바이어스 전력이 개질된 표면 아래에 놓인 재료를 스퍼터링하지 않고 사용될 수 있는 최고 바이어스이도록 기판을 홀딩하는 페데스탈로 전달된 최소 바이어스 전력 및 최대 바이어스 전력에 의해 규정될 수도 있다.
다양한 실시예들에서, 재료는 실리콘, 탄소, 텅스텐, 및 탄탈룸 중 임의의 하나이다. 일부 실시예들에서, 방법은 또한 ALE 프로세스를 수행하기 전에 약 0 ℃ 미만의 온도로 기판을 냉각하는 단계를 포함하고, 식별된 프로세스 조건은 온도이고, 그리고 재료는 탄탈룸이다.
다양한 실시예들에서, 기판은 약 0 ℃ 미만의 기판 온도에서 개질 가스에 노출된다. 일부 실시예들에서, 온도는 약 -20 ℃ 내지 약 0 ℃이다.
일부 실시예들에서, 개질 가스는 할로겐-함유 가스이다. 일부 실시예들에서, 제거 가스는 불활성 가스이다.
다양한 실시예들에서, ALE는 또한 기판을 개질 가스에 노출하는 단계와 기판을 제거 가스에 노출하는 단계 사이에 기판을 하우징하는 챔버를 퍼징하는 단계를 포함한다.
또 다른 양태는 기판 상의 탄탈룸을 에칭하는 방법을 수반하고, 방법은, 탄탈룸을 포함하는 기판을 제공하는 단계; 약 0 ℃ 미만의 온도로 기판을 냉각하는 단계; 및 탄탈룸의 표면을 개질하기 위해 기판을 개질 가스에 노출하는 단계, 및 탄탈룸의 개질된 표면을 제거하기 위해 개질된 표면을 제거 가스에 노출하고 플라즈마를 점화하는 단계에 의해 탄탈룸의 ALE를 수행하는 단계를 포함한다.
다양한 실시예들에서, 기판은 약 0 ℃ 미만의 기판 온도에서 개질 가스에 노출된다. 일부 실시예들에서, 온도는 약 -20 ℃ 내지 약 0 ℃이다.
다양한 실시예들에서, 기판은 탄탈룸 나이트라이드를 포함한다. 일부 실시예들에서, 방법은 또한 기판을 개질 가스에 노출하는 단계와 기판을 제거 가스에 노출하는 단계 사이에 기판을 하우징하는 챔버를 퍼지하는 단계를 포함한다. 퍼지 단계는 N2, Ar, Ne, He, 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다.
일부 실시예들에서, 개질 가스는 염소이다. 일부 실시예들에서, 개질 가스는 브롬, 요오드, 육불화황, 실리콘 테트라플루오라이드, 및 삼염화붕소 (BCl3) 중 임의의 하나 이상이다.
다양한 실시예들에서, 제거 가스는 아르곤이다. 일부 실시예들에서, 네온 또는 크립톤이 사용될 수도 있다. 제거 동작에서, 기판은 에너지가 표면 결합 에너지보다 작도록 개질된 탄탈룸 표면을 탈착하기 충분하지만 탄탈룸을 스퍼터링하기 불충분한 에너지를 제공함으로써 기판을 에칭하기 위해 아르곤 또는 헬륨과 같은 에너지 소스 (예를 들어, 활성화 가스 또는 이온 충격 가스 또는 제거를 유도하는 화학적으로 반응성 종) 에 노출될 수도 있다. 일부 실시예들에서, 제거는 등방성일 수도 있다.
다양한 실시예들에서, 바이어스가 기판을 개질 가스에 노출하는 단계 및 개질된 표면을 제거 가스에 노출하는 단계 중 적어도 하나에 인가되는. 바이어스 전력은 기판 상에 증착된 금속과 함께 활성화된 제거 가스의 문턱값 스퍼터 수율에 따라 선택될 수도 있다.
또 다른 양태는 기판을 프로세싱하기 위한 장치를 수반하고, 장치는 샤워헤드 및 재료를 갖는 기판을 홀딩하기 위한 기판 지지부를 포함하는 프로세스 챔버, 플라즈마 생성기, 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는: 개질 가스 및 제거 가스를 사용하여 재료의 ALE 프로세스를 위한 프로세스 조건들의 식별을 유발하는 머신-판독가능한 인스트럭션; 및 재료의 표면을 개질하기 위해 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션으로서, 개질 가스는 에칭될 재료에 대한 개질 에너지 및 탈착 에너지를 갖는, 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션, 및 개질된 표면을 제거하기 위해 제거 가스의 도입 및 플라즈마의 생성을 유발하는 머신-판독가능한 인스트럭션에 의해, 기판 상의 재료에 대한 ALE 프로세스의 수행을 유발하는 머신-판독가능한 인스트럭션을 저장하고, 개질 에너지는 탈착 에너지보다 작고, 그리고 탈착 에너지는 재료의 표면 결합 에너지보다 작다.
다양한 실시예들에서, 프로세스 조건들의 도입을 유발하는 인스트럭션들은 기판 온도에 의해 제공된 에너지가 개질 에너지와 탈착 에너지 사이이도록 기판을 개질 가스에 노출하는 단계를 수행하기 위한 기판 온도의 선택을 유발하는 인스트럭션들을 포함한다.
다양한 실시예들에서, 프로세스 조건들의 도입을 유발하는 인스트럭션들은 바이어스에 의해 제공된 에너지가 탈착 에너지와 표면 결합 에너지 사이이도록 개질된 표면을 제거 가스에 노출하는 단계 동안 바이어스를 인가하기 위해 바이어스 전력의 선택을 유발하는 인스트럭션들을 포함한다.
다양한 실시예들에서, 개질 가스는 재료를 에칭하지 않고 재료에 흡착하도록 선택된다. 다양한 실시예들에서, 제거 가스는 아래에 놓인 개질되지 않은 재료를 에칭하지 않고 개질된 표면을 제거하도록 선택된다.
일부 실시예들에서, 프로세스 조건들의 도입을 유발하는 인스트럭션들은 온도, 챔버 압력, 플라즈마 전력, 바이어스 전력, 개질 가스 플로우, 및 노출 시간 중 임의의 하나 이상으로부터 프로세스 조건들의 선택을 유발하는 인스트럭션들을 포함한다.
장치는 또한 프로세스 윈도우 내에서 프로세스 조건들의 수정을 유발하는 인스트럭션들을 포함할 수도 있다. 프로세스 윈도우는 최소 바이어스 전력이 개질된 표면을 제거하도록 사용된 최소 바이어스이고 최대 바이어스 전력이 개질된 표면 아래에 놓인 재료를 스퍼터링하지 않고 사용될 수 있는 최고 바이어스이도록 기판을 홀딩하는 페데스탈로 전달된 최소 바이어스 전력 및 최대 바이어스 전력에 의해 규정될 수도 있다.
다양한 실시예들에서, ALE의 수행을 유발하는 인스트럭션들은 또한 개질 가스의 도입을 유발하는 인스트럭션과 제거 가스의 도입을 유발하는 인스트럭션 사이에 기판을 하우징하는 프로세스 챔버를 퍼지하게 하는 인스트럭션들을 포함한다.
또 다른 양태는 기판을 프로세싱하기 위한 장치를 수반하고, 장치는 샤워헤드 및 재료를 갖는 기판을 홀딩하기 위한 기판 지지부를 포함하는 프로세스 챔버, 플라즈마 생성기, 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는: 탄탈룸을 포함하는 기판을 갖는 기판 지지부의 온도를 약 0 ℃ 미만의 온도로 설정하게 하는 머신-판독가능한 인스트럭션; 및 탄탈룸의 표면을 개질하기 위해 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션, 및 개질된 탄탈룸을 제거하기 위해 제거 가스의 도입 및 플라즈마의 생성을 유발하기 위한 머신-판독가능한 인스트럭션에 의해 탄탈룸의 ALE의 수행을 유발하는 머신-판독가능한 인스트럭션을 저장한다.
다양한 실시예들에서, 기판 지지부의 온도 약 0 ℃ 미만의 온도로 설정되게 하는 인스트럭션들은 기판 지지부의 온도를 온도 약 -20 ℃ 내지 약 0 ℃의 온도로 설정되게 하는 인스트럭션들을 포함한다.
다양한 실시예들에서, ALE의 수행을 유발하는 인스트럭션들은 또한 개질 가스의 도입을 유발하는 인스트럭션과 제거 가스의 도입을 유발하는 인스트럭션 사이에 기판을 하우징하는 프로세스 챔버를 퍼지하게 하는 인스트럭션들을 포함한다. 퍼지는 N2, Ar, Ne, He, 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다.
다양한 실시예들에서, 메모리는 바이어스로 하여금 개질 가스의 도입 및 제거 가스 도입 중 적어도 하나 동안 기판을 홀딩하기 위한 기판 지지부에 인가되게 하는 인스트럭션들을 더 저장한다. 바이어스 전력은 기판 상에 증착된 금속과 활성화된 제거 가스의 문턱값 스퍼터링 수율에 따라 선택될 수도 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1a는 ALE를 겪는 기판들의 예시적인 개략도를 도시한다.
도 1b는 도 1a로부터 기판들의 개략도에 기초하여 ALE의 시너지를 도시한다.
도 2는 개시된 실시예들에 따른 일 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 3은 Emod, Edes, 및 EO 동안 실리콘, 게르마늄, 텅스텐, 탄소, 및 탄탈룸에 대한 에너지 배리어들을 도시한다.
도 4는 주기율표의 원소들의 표면 결합 에너지들의 그래프이다.
도 5a는 다양한 재료들에 대해 표면 결합 에너지의 함수로서 스퍼터링 문턱값들의 그래프를 도시한다.
도 5b는 다양한 재료들에 대해 표면 결합 에너지의 함수로서 시너지의 그래프를 도시한다 .
도 5c는 다양한 재료들에 대해 표면 결합 에너지의 함수로서 사이클 당 에칭 (EPC: etch per cycle) 의 그래프를 도시한다.
도 6은 개시된 실시예들에 따른 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 7은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 8은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 개략도이다.
도 9a는 실험 데이터에 의해 결정될 때 온도의 함수로서 도시된 탄탈룸에 대한 에칭 레이트의 그래프이다.
도 9b는 수행된 실험에 따라 ALE를 사용한 탄탈룸에 대해 아르곤 노출 지속기간 및 사이클 당 에칭의 그래프이다.
도 9c는 수행된 실험에 따라 ALE에 의해 탄탈룸에 대해 아르곤을 사용한 제거 동안 페데스탈에 인가된 바이어스 전력 및 사이클 당 에칭의 그래프이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 완전한 이해를 제공하기 위해 진술된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 특정한 실시예들과 함께 기술되었지만, 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
에칭 프로세스들은 종종 재료를 제거하기 위해 에칭 가스들의 조합에 에칭될 재료를 노출하는 단계를 수반한다. 그러나, 이러한 제거는 자기-제한되지 않을 수도 있고 일부 경우들에서 목표된 것보다 많이 에칭할 수도 있고 또는 바람직하지 않은 피처 프로파일을 발생시킬 수도 있다. 피처 사이즈들이 축소됨에 따라, ALE (Atomic Layer Etch) 와 같은 원자 스케일 프로세싱에 대해 성장하는 수요가 있다. ALE는 막 두께들에 수치적 (digital) 이고 작은 변화들을 발생시키는 명목상 자기-제한 단계들의 순환적 프로세스이다. 프로세스는 평활도 (smoothness) 및 컨포멀성 (conformality), 또한 일부 ALE의 경우에 지향성 (directionality) 을 특징으로 한다.
ALE는 원자 스케일 심층 해상도 및 제어를 사용하여 재료의 초박층들의 패턴-규정 에칭 또는 블랭킷 제거를 위해 발전된 반도체 제작시 (예를 들어, 기술 노드 < 10 ㎚) 사용된 다-단계 프로세스이다. ALE는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. ALE 기법들의 예들은 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호; 및 2014년 8월 19일 허여된 미국 특허 제 8,808,561 호에 기술되고, 예시적인 ALE 및 에칭 기법들을 기술할 목적들을 위해 참조로서 본 명세서에 인용되었다. 다양한 실시예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
ALE는 사이클들로 수행될 수도 있다. "ALE 사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로, ALE 사이클은 단층 (monolayer) 을 에칭하는 것과 같이, 에칭 프로세스를 한번 수행하는데 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 적어도 일부 막 층이 에칭된다는 것이다. 통상적으로, ALE 사이클은 반응성 층을 형성하기 위한 개질 동작, 이어서 이 개질된 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑 (sweeping) 하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 고유한 시퀀스의 동작들의 일 예를 포함한다. 예로서, ALE 사이클은 다음 동작들: (i) 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능하게 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시예들에서, 에칭은 컨포멀하지 않게 (nonconformally) 수행될 수도 있다. 도 1a는 ALE 사이클의 2 개의 개략적인 예시들을 도시한다. 다이어그램들 171a 내지 171e는 일반적인 ALE 사이클을 도시한다. 171a에서, 기판이 제공된다. 171b에서, 기판의 표면이 개질된다. 171c에서, 다음 단계가 준비된다. 171d에서, 개질된 층이 에칭된다. 171e에서, 개질된 층이 제거된다. 유사하게, 다이어그램들 172a 내지 172e는 실리콘 막을 에칭하기 위한 ALE 사이클의 일 예를 도시한다. 172a에서, 많은 실리콘 원자들을 포함하는 실리콘 기판이 제공된다. 172b에서, 반응물질 가스 염소가 기판으로 도입되어 기판의 표면을 개질한다. 172b의 개략도는 예로서 일부 염소가 기판의 표면 상에 흡착되는 것을 도시한다. 도 1a에 염소가 도시되지만, 임의의 염소-함유 화합물 또는 적합한 반응물질이 사용될 수도 있다. 172c에서, 반응물질 가스 염소는 챔버로부터 퍼지된다. 172d에서, 제거 가스 아르곤이 Ar+ 플라즈마 종 및 화살표들로 나타낸 바와 같이 지향성 플라즈마와 함께 도입되고, 기판의 개질된 표면을 제거하기 위해 이온 충격이 수행된다. 이 동작 동안, 기판을 향해 이온들을 끌어당기도록 (attract) 바이어스가 기판에 인가된다. 172e에서, 챔버는 퍼지되고 부산물들은 제거된다.
일 사이클은 재료의 0.1 ㎚ 내지 약 50 ㎚, 또는 재료의 약 0.1 ㎚ 내지 약 20 ㎚, 또는 재료의 약 0.1 ㎚ 내지 약 2 ㎚, 또는 재료의 약 0.1 ㎚ 내지 약 5 ㎚, 또는 재료의 약 0.2 ㎚ 내지 약 50 ㎚, 또는 재료의 약 0.2 ㎚ 내지 약 5 ㎚를 부분적으로만 에칭할 수도 있다. 일 사이클에서 에칭된 재료의 양은 자기-제한 방식에서 에칭 목적에 종속될 수도 있다. 일부 실시예들에서, 일 사이클의 ALE는 재료의 단층보다 적게 제거할 수도 있다.
챔버 압력, 기판 온도, 플라즈마 전력, 주파수, 및 타입, 및 바이어스 전력과 같은 ALE 프로세스 조건들은 에칭될 재료, 에칭될 재료를 개질하기 위해 사용된 가스들의 조성, 에칭될 재료 아래에 놓인 재료, 및 개질된 재료를 제거하기 위해 사용된 가스들의 조성에 종속된다. 그러나, 이들 인자들의 조합은 다양한 재료들의 에칭을 위해 ALE를 수행하는 것을 어렵게 만든다.
집적 회로 프로세싱 내로 도입될 증가하는 수의 새로운 재료들 및 많은 수의 프로세스 파라미터들 (가스 압력, 웨이퍼 온도, 플라즈마 전력, 이온 에너지,등) 의 조합들을 고려하면, 주어진 재료에 대해 층-단위 (layer-by-layer) 자기-제한 방식으로 스퍼터링하지 않고 또한 에칭하는 ALE 프로세스를 달성하는 것은 어렵다. ALE 프로세스 전개를 위해 범용으로 적용가능한 툴이 필요하다. 이에 더하여, ALE 성능 또는 심지어 적용가능성을 예측하는 능력을 갖는 것은 ALE를 위해 가장 유망한 후보자들인 재료들에 대한 연구 및 개발에 초점을 둘 것이다.
에칭될 재료에 대한 "ALE 시너지" 메트릭에 기초하여 "디자이너" ALE를 수행하는 방법이 본 명세서에 제공된다. 개시된 실시예들은 에칭될 재료의 스퍼터링, 또는 에칭될 재료 아래에 놓인 재료의 제거 또는 스퍼터링을 감소시키는 동안, 재료의 자기-제한 제거를 달성하기 위해 ALE 시너지 메트릭을 사용하여 ALE 프로세스를 설계하는 것을 가능하게 한다. 대안적으로, 기존의 프로세스 툴 및 액세스가능한 프로세스 파라미터들의 세트에 대해, 개시된 실시예들은 주어진 재료가 ALE를 사용하여 제거될 수 있는지 여부를 예측하게 하고, 그렇다면, 에칭의 예상된 품질을 예측하게 한다. 이에 더하여, 개시된 실시예들은 일 재료가 에칭되게 하는 한편 또 다른 재료는 동일한 조건들 하에 있지 않게 설계함으로써, 재료들 간의 목표된 에칭 선택도를 최대화하도록 사용될 수 있다.
개시된 실시예들은 반도체들 (예를 들어, 실리콘, 게르마늄, 실리콘 게르마늄 (SiGe), 갈륨 나이트라이드 (GaN); 금속들 (예를 들어, 텅스텐, 코발트, 구리, 탄탈룸); 유전체들 (예를 들어, 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (SiN)); 및 탄소와 같은 애시가능 (ashable) 하드마스크들을 포함하는 넓은 부류의 재료들에 적용가능하다. 개시된 실시예들은 또한 나이트라이드들 및 옥사이드들과 같은 에칭 화합물들에 적합하다. 이는 새로운 또는 개선된 유닛 또는 통합된 프로세스들 뿐만 아니라 독립 (stand-alone) 또는 클러스터된 하드웨어를 개발하는데 활용될 수 있다. 방법론은 오프라인 사용을 위해 적절한 컴퓨터 소프트웨어로 구현될 수 있고 또는 레시피 개발, 프로세스 검정 (qualification), 또는 프로세스 제어를 위해 프로세스 툴에 임베딩될 수 있다.
방법론은 범용으로 적용가능하지만 컴퓨터 알고리즘으로서 구현에 적합한, 주어진 재료-프로세스 툴 조합을 위해 커스터마이즈될 (customize) 수 있다. 원자론적 에너지 고려사항들에 기초하여, 이 접근 방법은 본질적으로 스케일링가능하고 현재 및 미래의 디바이스 기술 노드들 모두에 적용될 수 있다. ALE 프로세스가 얼마나 잘 작동하는지 또는 이상에 대응하여 얼마나 잘 작동하는지 예측하는 능력은 이 접근 방법의 부가적인 이점이다.
개시된 실시예들은 집적 회로 기술에 관계된 광범위한 부류의 재료들 (예를 들어, 반도체들 예컨대 실리콘, 게르마늄, 갈륨 나이트라이드; 금속들 예컨대 탄탈룸, 텅스텐, 코발트; 유전체들 예컨대 실리콘 옥사이드, 및 애시가능 하드마스크 재료들 예컨대 비정질 탄소 또는 다이아몬드-형 탄소) 에 대해 ALE를 수행하는 것이 적합하다. 이하의 논의에서, 비-제한적인 예들이 (예를 들어, Cl2-플라즈마 및Ar+ 충돌을 교번함으로써 이루어지는) 실리콘의 ALE 및 탄탈룸의 ALE를 위해 제공된다.
ALE는 2 (또는 그 이상) 개의 분리된 단계들: 개질 (동작 A) 및 제거 (동작 B) 로 에칭 프로세스를 분할하는 것을 수반한다. 예를 들어, 개질 동작 단계는 표면 층을 개질하여 제거 동작 동안 쉽게 제거될 수 있다. 재료의 박층이 사이클마다 제거되고, 사이클이 개질 및 제거를 포함하고, 사이클은 목표된 깊이에 도달할 때까지 반복될 수 있다. 시너지는 동작 A와 동작 B의 상호작용으로 인해 양호한 에칭이 발생한다는 것을 의미한다. ALE에서, 동작 A 및 동작 B 는 공간 또는 시간에서 분리된다.
양호한 ALE는 동작 A와 동작 B의 상호작용으로 인해 발생하고, 다음의 "시너지" 메트릭은 시너지적 (synergistic) 상호작용의 강도 및 영향을 정량화하기 위해 사용된다. ALE 시너지는 다음과 같이 계산되고:
(등식 1)
여기서 EPC ("etch per cycle") 는 일 ALE 사이클에서 제거된 기판 재료의 두께이고, 통상적으로 많은 사이클들에 걸쳐 평균되고, 그리고 A 및 B는 독립 개질 동작 및 제거 동작으로부터 이들 동작들을 독립적으로 수행함으로써 기준 지점들로서 조심스럽게 (respectfully) 측정된 EPC에 대한 기여이다.
시너지는 ALE 거동 (behavior) 의 많은 양태들을 캡처하는 테스트이고, 상이한 ALE 조건들 또는 시스템들을 비교하는데 잘 맞는다. 이 동작 A로부터 반응물질들이 소비된 후 동작 B에서 왜 에칭이 중단되는지에 대한 기본적인 메커니즘이다. 이는 따라서 종횡비 독립성, 균일도, 평활도, 및 선택도와 같은 ALE 이점들의 자기-제한 거동의 원인이 있다.
도 1b는 실리콘에 대해 예시된 ALE 시너지의 개략적인 예시이다. 예로서, 염소화 동작 A 및 아르곤 이온 충격 동작 B를 사용하여 수행된 실리콘의 ALE를 고려한다. 전체 ALE 프로세스가 1 ㎚/사이클, 그러나 A 에서만 0.1 nm/사이클 및 B에서만 0.1 ㎚/사이클만을 제거한다면, 시너지는 80 %이다. 고-시너지 프로세스는 저-시너지 프로세스에 대해 약 60 % 이하인 것과 비교하여, 약 90 % 이상의 시너지를 가질 수도 있다.
개시된 실시예들은 고 시너지를 갖는 ALE 프로세스를 달성하도록 구성된다 -시너지가 100 %가 되는 ALE 프로세스가 이상적이다. 이러한 이상은 모든 경우들에서 액세스가능한 범위의 프로세스 조건들, 웨이퍼 쓰루풋 요건들, 등과 같은 미리 결정된 실제적인 고려사항들을 달성하기 불가능할 수도 있다. 그러나, 100 %의 이상 (ideal) 보다 작은 시너지에 대한 허용오차는 애플리케이션 및 기술 노드에 종속될 것이고, 아마도 연속적인 기술 세대 (technology generation) 각각이 보다 높은 레벨들의 이상성을 요구할 것이다.
고 시너지를 갖는 ALE 프로세스를 설계하기 위한 개시된 실시예들은 전체 ALE 프로세스 및 100 %에 가까운 시너지를 갖는 에칭을 달성하기 위해 극복되는 에너지 배리어들을 특징으로 하는 5 개의 규정 에너지들 사이의 계층적 관계를 달성하는 것에 기초한다.
이 관계는 다음과 같다:
Emod < εA < Edes < εB < EO (등식 2)
대문자 E로 쓰여진 3 개의 에너지들 (EO, Emod, 및 Edes) 은 에칭될 재료 및 반응물질의 속성들에 의해 결정된다.
EO 는 개질되지 않은 재료의 표면 결합 에너지이고 표면으로부터 제거되는 것을 막는 응집력 (cohesive force) 이다. 값들은 승화 열로부터 추산되고 통상적으로 원자 당 2 내지 10 eV의 범위이다.
Emod 는 표면을 개질하기 위한 흡착 배리어이고 반응물질들을 해리하거나 표면 원자들을 재조직할 필요성으로부터 발생한다. 이 배리어는 플라즈마가 반응물질들을 라디칼들로 해리하기 위해 사용될 때, 예컨대 실리콘의 플라즈마 염소화 동안 무시해도 될 정도일 수도 있다.
탈착 배리어Edes 는 개질된 표면으로부터 부산물을 제거하기 위해 사용된 에너지이다. 예를 들어, 실리콘의 ALE에서, 부산물은 약 2.9 eV 탈착 에너지를 갖는 SiCl2 (g) 일 수도 있다. 이 배리어는 휘발성 및 열적 탈착 온도들에 관련된다.
E들에 대한 실험적 값들은 화학적-물리적 설명서들 공개된 과학 논문들에서 알 수 있고 또는 순이론적 계산들 (ab initio calculations) 로부터 획득될 수 있다. 예로서, Ar+ 이온들/Cl2를 사용한 실리콘 ALE 에 대해, Emod = 0.3 eV < Edes ~ 2.9 eV < EO = 4.7 eV.
εA 및 εB 는 각각 동작 A 및 동작 B 주변의 에너지들이다. 레이트들의 관점에서, 전달된 에너지가 에너지 배리어와 비교하여 충분히 높을 때 미리 결정된 반응이 진행될 것이다. 이 에너지는 (지향성 에너지 소스의 가능성을 허용하는) 적합하게 에너제틱 (energetic) 인 이온들, 전자들, 등의 플럭스에 의해 또는 온도 종속성과 관련하여 아레니우스-타입 (Arrhenius-type) 으로 열적으로 제공될 수 있다 (, 레이트는 ε-E/kT 이거나 ε-E/kT 를 특징으로 한다).
εA 및 εB 는 장비 및 프로세스 조건들에 종속되고, 하드웨어 및 프로세스 파라미터들의 액세스가능한 범위 내에서, 미리 결정된 재료 시스템에 대해 고-시너지 ALE 에칭을 제공하도록 선택된다.
온도와 관련하여, 평균 온도를 소량 상승시키는 것은 전달된 에너지를 극적으로 상승시킬 수 있다. 예를 들어, Maxwell-Boltzmann 분포를 만족하는 가스는 평균 에너지 < E > = 3/2 kT를 갖는다. 예를 들어, 25 ℃ (300K) 의 상온으로부터 325 ℃ (600K) 로 상승하는 온도는 2 < E >일 것이다. 그러나, 지수 꼬리 분포 (exponential tail of distribution) 의 고 에너지 원자들의 상승은 2 배보다 훨씬 크게 상승할 것이다 - 이 경우, E > 1eV 를 갖는 원자들 군 (population) 은 거의 10억배 상승한다.
이온들에 대한 제거 레이트의 에너지 종속성은 표면 결합 에너지 E0에 반비례하는 비례 상수를 사용하여, 문턱값 에너지에 대한 이온 에너지의 제곱근에 종속된다. 대부분의 입사하는 (incident) 이온의 운동 에너지는 원자-원자 충돌들에서 열로 소멸되고, 배리어 에너지의 약 20 배인 이온 에너지는 ALE를 위해 충분한 에너지 소스를 제공하도록 사용된다. 예를 들어, 2.5 eV 의 배리어는 약 95 %의 입사 이온들이 웨이퍼 격자 (lattice) 를 사용하여 열중성화한 후 ALE 프로세스를 구동하기 위해 이용가능하지 않을 것이기 때문에 약 50 eV보다 큰 입사 이온 에너지를 사용하여 극복될 수도 있다.
등식 (2) 에서 부등식들의 순서는 흡착이 동작 A에서 탈착 없이 일어난다면, 그리고 동작 B에서 개질되지 않은 재료를 제거하지 않고 탈착이 일어난다면, 최고 시너지가 발생한다는 것을 나타낸다. 이 관계는 ALE 프로세스에 대한 에너지 "윈도우"를 나타낸다. 따라서, EO 및 Emod 는 부등식의 상한 및 하한을 설정하여, 이들 에너지의 보다 큰 차, 보다 큰 정도 (latitude) 의 에너지는 충분한 시너지를 달성해야 한다.
등식 (2) 에 도시된 E들 및 엡실론들의 상호 관계 본질은, ALE 프로세스의 성공이 재료-반응물질 조합의 속성들 (E's), 뿐만 아니라 반응기 조건들의 선택 (ε's) 및 고 시너지에 대한 기준을 만족하는 에너지들에도 종속될 것이라는 사실을 강조한다. 게다가, 쓰루풋은 또한 배리어를 극복하는 것이 동작 시간들의 제한들에 종속될 때의 인자이다 - 화학 반응이 열역학적으로 양호할 수도 있고 (즉, Gibbs 자유 에너지 변화는 크고 음성이다) 그러나 동역학은 반응 시간이 비현실적으로 길게 하는 상황과 유사하다.
개시된 실시예들은 또한 일 재료가 에칭되는 한편 또 다른 재료는 동일한 조건들 하에 있지 않게 설계함으로써 재료들 간의 에칭 선택도에 대해 설계되도록 사용될 수 있다. 이는 (기판과 마스킹 층 사이에서, 에칭될 재료와 아래에 놓인 에칭 정지 층 사이, 등과 같은) 고 에칭 선택도를 달성하는데 어려움을 주는, 방법론의 잠재적인 이점이다.
ALD (Atomic Layer Deposition) 및 ALE가 유사한 순차적인, 자기-제한, 원자단위 프로세스들이라고 감안하면, 등식 (1) 및 등식 (2) 과 유사한 형식, 및 가장 기본적인 (first-principles) 에너제틱 고려사항들에 기초한 유사한 방법론이 ALD를 위해 개발될 수 있다. 일부 실시예들에서, ALD 및 ALE는 반도체 디바이스들을 제조하도록 사용된 일련의 동작들로 조합될 수도 있다. 예를 들어, ALD 및 ALE의 통합에 관한 추가 기술은 본 명세서에 전체가 참조로서 인용된 2017년 2월 21일 허여된 명칭이 "INTEGRATING ATOMIC SCALE PROCESSES: ALD (ATOMIC LAYER DEPOSITION) AND ALE (ATOMIC LAYER ETCH)"인 미국 특허 제 9,675,811 호에 기술된다.
도 2는 에칭될 재료, ALE를 사용하여 에칭하기 위해 사용된 반응물질들, 및 에칭될 재료 또는 임의의 아래에 놓인 재료를 스퍼터링하지 않고 그리고 비-자기-제한 방식으로 매우 신속하게 재료를 에칭하지 않고 ALE를 사용하여 효과적으로 에칭하기 위한 프로세스 조건들을 선택하기 위한 동작들을 도시하는 프로세스 흐름도를 제공한다.
동작 299에서, ALE를 위한 프로세스 조건들이 식별된다. 예시적인 프로세스 조건들은 온도, 챔버 압력, 플라즈마 전력, 바이어스 전력, 개질 가스 플로우, 및 노출 시간을 포함한다. 이들 프로세스 조건들은 개질 동안, 또는 제거 동안, 또는 모두에서, 사용된 프로세스 조건들일 수도 있다. 예시적인 프로세스 조건들은 기판을 개질 가스에 노출하는 단계를 수행하기 위한 기판 온도, 및 이하에 기술된 바와 같이 동작 211에서 수행된, 개질된 표면의 제거 가스로의 노출 동안 바이어스를 인가하기 위한 바이어스 전력을 포함한다.
동작 201에서, EO 가 결정된다. EO 는 등식 2에 주어진 에너지 부등식의 에너지 상한을 설정한다. EO 는 재료의 선택에 의해 결정되어, 사실상 EO 를 선택하는 것은 에칭할 재료를 선택하는 것과 등가이다. 재료의 선택이 결정되어야 하면, 고 시너지를 달성하기 위해 가장 큰 프로세스 윈도우를 제공할 것이기 때문에 가능한 큰 에너지 EO를 갖는 재료를 선택할 수도 있다.
동작 203에서, 동작 201에서 선택된 재료를 에칭하기 위한 반응물질 또는 개질 가스가 선택된다. 이 선택은 개질 가스와 에칭될 막의 상호작용에 따라 Emod 및 Edes 의 값들을 구술할 것이다. Emod에 대한 값은 εA 및 εB 의 선택들에 대한 유연성을 제공하도록 충분히 작아야 하지만, 반응물질이 반응할 것이지만 탈착하지 않도록 (Edes > Emod) 충분히 크다. 이들 값들은 (Emod에 대해) Arrhenius 등식을 사용한 순이론적 계산으로부터 그리고 (Edes 에 대해) 휘발성 측정값들, 순이론적 계산들, 또는 열적 탈착 온도들로부터 추정될 수 있다.
동작 205에서, 양상이 εA 및 εB 에 대한 값을 결정하도록 에너지 전달 양상이 선택되고, Emod < εA < Edes < εB < EO이다. 이들 εA 및 εB 값들은 표면으로 전달되고 (예를 들어, 이온들, 양자들 또는 전자들의 에너제틱 플럭스, 화학적 에너지, 등) 또는 주변으로부터 이용가능한 유용한 에너지 (예를 들어, 기판 또는 플라즈마 온도) 를 나타낸다. 다양한 실시예들에서, εA 는 기판을 개질하기 충분한 (Emod < εA) 개질 동작 (동작 A) 동안 인가된 에너지를 나타내지만, 개질 가스가 표면과 반응하는 것을 방지하기 충분히 낮다 (eA < Edes). 다양한 실시예들에서, εB 는 개질된 표면을 제거하기 충분한 (Edes < εB) 제거 동작 (동작 B) 동안 인가된 에너지를 나타내고, 에칭될 재료의 스퍼터링을 방지하기 충분히 낮다 (eB < EO). 임의의 미리 결정된 재료에 대해, 개질 가스에 따라, Emod 및 Edes 는 가변할 수도 있다.
개질 가스로서 Cl2 및 제거 가스로서 Ar+를 사용한 실리콘의 ALE의 경우에서, εA 는 Cl2 (열적) 또는 Cl2 플라즈마의 온도에 의해 결정될 수 있는 한편, εB Ar 이온들에 의해 전달된 유용한 에너지에 의해 결정될 수 있다. 예를 들어, 플라즈마가 사용되면, 이는 반응 경로 (및 따라서 Emod 및 Edes) 에 영향을 줄 수 있고 상이한 개질 가스를 선택할 수도 있다. 다양한 실시예들에서, 동작 A를 위한 에너지, 또는 εA는 개질 동작 동안 기판의 온도를 가변함으로써 조절되는 한편, 동작 B를 위한 에너지, 또는 εB 는 제거 동작 동안 플라즈마 조건들 (예컨대 플라즈마 전력 또는 바이어스 전력) 을 가변함으로써 조절된다. 따라서, 자기-제한 방식으로 ALE를 달성하기 위해, Emod 와 Edes 사이의 범위가 작다면, 탈착을 유발하지 않고 개질 동작을 수행하기 위한 온도 범위가 작고, Emod 와 Edes 사이의 범위가 크다면, 탈착을 유발하지 않고 개질 동작을 수행하기 위한 온도 범위가 크다. Edes 와 EO 사이의 범위가 작다면, 스퍼터링 없이 제거 동작을 수행하기 위한 프로세스 조건들의 범위는 작은 한편, Edes 와 EO 사이의 범위가 크다면, 스퍼터링 없이 제거 동작을 수행하기 위한 프로세스 조건들의 범위는 크다.
동작 207a에서, 발생되는 ALE 프로세스의 시너지가 측정되고, 그리고 동작 207b에서, 여전히 5 개의 에너지들 사이에서 등식 2를 만족하는 동안, ALE 프로세스 조건들은 시너지를 더 상승시키도록 수정한다. 값들의 범위를 활용할 수 있고 시너지를 계산하기 위해 개별 그리고 시너지적 에칭 레이트들을 측정할 수 있다. 예를 들어, Ar+ 이온 충격이 사용되면, 웨이퍼를 바이어스할 수 있고 이온 에너지들의 범위에서 런 쓰루 (run through) 할 수 있다 (예를 들어, 10 내지 100 eV). 이는 시너지가 가장 높은, 바이어스 윈도우를 결정하도록 사용될 수 있다.
일부 실시예들에서, 동작 201은 결정된 시너지가 목표된 값이 아니면 반복될 수도 있다. 일부 실시예들에서, 동작 203 및 동작 205는 바람직한 시너지 속성들을 갖는 개질 가스를 선택하기 위해 에너지 전달 양상을 평가하도록 반복적으로 수행될 수도 있다.
동작 209에서, 기판은 선택된 프로세스 조건들에 기초하여 기판의 표면을 개질하기 위해 동작 203에서 선택된 개질 가스에 노출된다.
동작 211에서, 개질된 표면은 프로세스 조건들 예컨대 시너지를 최대화하기 위해 동작 207b에서 수정된 바이어스 전력을 사용하여 기판으로부터 제거된다. 일부 실시예들에서, 동작 209 및 동작 211이 반복된다.
표 1은 개질 동작을 위해 다양한 개질 가스들 및 제거를 위해 아르곤 플라즈마를 사용하여 다양한 재료들의 ALE에 대한 예시적인 시너지들을 도시한다.
재료 ALE 개질 ALE 제거 측정값들
실리콘 염소 플라즈마 50 eV Ar+ 시너지 = 90 %
EPC = 0.70 ㎚/사이클
α = 0.03 ㎚/사이클
β = 0.04 ㎚/사이클
게르마늄 염소 플라즈마 25 eV Ar+ 시너지 = 66 %
EPC = 0.80 ㎚/사이클
α = 0.20 ㎚/사이클
β = 0.07 ㎚/사이클
비정질 탄소 산소 플라즈마 50 eV Ar+ 시너지 = 97 %
EPC = 0.31 ㎚/사이클
α = 0 ㎚/사이클
β = 0.01 ㎚/사이클
텅스텐 염소 플라즈마 60 eV Ar+ 시너지 = 95 %
EPC = 0.21 ㎚/사이클
α = 0 ㎚/사이클
β = 0.01 ㎚/사이클
갈륨 나이트라이드 염소 플라즈마 70 eV Ar+ 시너지 = 91 %
EPC = 0.33 ㎚/사이클
α = 0 ㎚/사이클
β = 0.03 ㎚/사이클
실리콘 다이옥사이드 염소 플라즈마 70 eV Ar+ 시너지 = 80 %EPC = 0.50 ㎚/사이클
α = 0 ㎚/사이클
β = 0.10 ㎚/사이클
탄탈룸이 도 2의 동작들을 사용한 탄탈룸 ALE를 위한 프로세스 조건들을 어떻게 조절할 지 결정하는 입증된 예로서 사용된다. 동작 201에서, EO에 대한 값은 탄탈룸의 표면 결합 에너지를 계산함으로써 결정된다. 문헌 값들이 탄탈룸의 표면 결합 에너지를 평가하도록 취해진다.
동작 203에서, 반응물질은 Emod 및 Edes에 기초하여 선택된다. 예를 들어, 흡착 배리어 (Emod) 는 개질 동안 플라즈마가 사용되면, ~0으로 취해진다. Edes 는 일부 반응물질 재료 체계들에서 문헌적으로 발견되는 열적 탈착 온도들을 추정함으로써 결정된다. 에너지 전달 양상은 εA 및 εB 에 대해 동작 205에서 결정된다. 나중에 시너지가 동작 207a에서 계산되고, 동작 207b에서 필요하다면 프로세스 조건들은 수정된다. 다양한 실시예들에서, 임의의 하나 이상의 불활성 캐리어 가스들 (예컨대 N2, Ar, Ne, He, 또는 이들의 조합들) 이 임의의 개질 동작 또는 제거 동작 동안 흐를 수도 있다는 것이 이해될 것이다. 부가적으로, ALE 사이클에 대해, 챔버는 일부 실시예들에서 개질 후, 또는 제거 후, 또는 모두 후에 퍼지될 수도 있다. 일부 실시예들에서, ALE 사이클은 개질, 퍼지, 제거, 및 퍼지를 포함한다. 퍼지는 다른 동작들에서 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 단계를 수반할 수도 있다.
도 3은 염소가 개질 가스로서 사용되고 아르곤이 다른 원소적 재료들의 ALE에 대한 제거 가스로서 사용될 때 탄탈룸 ALE가 상대적인 흡착 에너지, 탈착 에너지 및 표면 결합 에너지에 기초하여 어떻게 작동하는 지의 일 예를 도시한다. 도 4에서 이하에 더 기술되는 바와 같이, 표면 결합 에너지들 EO (검정 삼각형들) 는 문헌적 값들에 의해 결정된다. 흡수 배리어 Emod (스트라이프 음영된 삼각형들) 는 플라즈마가 사용되기 때문에 ~0으로 취해진다. 탈착 에너지 Edes 는 탈착 온도로부터 추론된다.
도 3에서 제공된 모든 예들에 대해, Emod 는 약 0 eV로 취해진다. 실리콘에 대해, 탈착 온도는 SiCl2에 대해 650 ℃ 이고, Edes 는 염소를 사용한 에칭을 위해 (실리콘 표면이 염소에 의해 개질될 때 부산물 SiCl2를 형성하도록) 이 온도로부터 약 2.3 eV가 되도록 추론된다. 실리콘의 표면 결합 에너지는 4.7 eV이다.
게르마늄에 대해, 탈착 온도는 GeCl2 에 대해 350 ℃이고, Edes 는 (게르마늄 표면이 염소에 의해 개질될 때 부산물 GeCl2 를 형성하도록) 이 온도로부터 1 내지 2 eV이도록 추론된다. 게르마늄의 표면 결합 에너지는 3.8 eV이다.
텅스텐에 대해, (텅스텐 표면이 염소에 의해 개질될 때 부산물 WCl5를 형성하기 위해) 개질 가스로서 염소를 사용하여 약 800 ℃의 탈착 온도로부터 추론된 Edes 는 약 3 eV이다. 텅스텐에 대한 표면 결합 에너지는 8.8 eV이다.
탄소에 대해, (탄소 표면이 산소에 의해 개질될 때 부산물 CO를 형성하기 위해) 개질 가스로서 산소를 사용하여 약 850 ℃의 탈착 온도로부터 추론된 Edes 는 약 3 eV이다. 그래파이트 (graphitic) 탄소에 대한 표면 결합 에너지는 7.4 eV이다.
탄탈룸에 대해, (탄탈룸 표면이 염소에 의해 개질될 때 부산물 TaCl5 을 형성하기 위해) 개질 가스로서 염소를 사용하여 약 23 ℃의 탈착 온도로부터 추론된 Edes 는 약 1.5 eV이다. 탄탈룸에 대한 표면 결합 에너지는 8.1 eV이다.
탈착 배리어 (흰색 삼각형들) 에 대한 상대적인 값은 반응물질-재료 체계들에 대한 문헌에서 발견되는, 열적 탈착 온도들에 기초하여 추정된다. 도 3에 나타낸 온도들은 열적 탈착 온도들이다. 탄탈룸 ALE에 대한 에너지 배리어들은 탄탈룸과의 염소 반응을 억제하기 위해 개질 동안 저온, 및 이온 에너지에 대해 제거 동작 동안 큰 윈도우를 사용하는 것을 암시한다. 이는 Emod와 Edes 사이의 윈도우가 매우 작기 때문이고, 탈착 온도 250 ℃에서 탈착 에너지가 매우 작다고 고려하면, 탄탈룸의 ALE를 위한 프로세싱 온도는 개질 동작 (동작 A) 동안 사용된 에너지는 비-자기-제한 방식으로 염소가 탄탈룸과 반응하는 것을 방지하도록 이 작은 윈도우 내에 있다는 것을 보장하도록 낮아야 한다. 그러나, Edes 와 EO 사이의 큰 에너지 갭을 고려하면, 탄탈룸의 고 표면 결합 에너지를 고려하여 넓은 범위의 이온 에너지가 탄탈룸 표면을 스퍼터링할 위험 없이 제거 동작 (동작 B) 동안 사용될 수 있다.
도 4는 승화 열에 의해 결정될 때, 원소적 재료들에 대한 표면 결합 에너지를 도시한다. 이 플롯에 따르면, 탄소 및 내화 (refractory) 금속들 (W, Ta, Re, Nb, Mo, 등) 은 ALE를 위한 우수한 후보군들이다. 약 6 eV보다 큰 표면 결합 에너지를 갖는 다른 재료들로부터, 탄탈룸은 이 재료가 BEOL 프로세싱의 금속화 (metallization) 에서 배리어/라이너의 일부로서 사용되기 때문에 특히 유용하다. 표면 결합 에너지에 기초하여, 탄탈룸의 ALE는 잘 작동할 것이다.
도 5a 내지 도 5c는 ALE에서 재료 경향들의 개요를 도시한다. 논의된 바와 같이, 이 경향들은 고 표면 결합 에너지를 갖는 다른 재료들이 ALE를 위한 우수한 후보군들이라는 것을 암시한다. 도 5a에서, 윈도우의 상부 에지 또는 스퍼터링 문턱값 (재료가 개질되지 않고 스퍼터링되는 에너지일 때) 이 표면 결합 에너지 EO에 대해 플롯팅된다. 도시된 바와 같이, 표면 결합 에너지가 상승함에 따라 윈도우의 상부 에지가 상승한다. 윈도우의 보다 높은 상부 에지는 재료를 스퍼터링하지 않고 재료를 개질하도록 사용될 수도 있는 에너지들의 보다 넓은 범위를 가능하게 한다.
도 5b는 표면 결합 에너지 EO의 함수로서, 등식 1에 의해 계산되는 시너지를 도시한다. 도시된 바와 같이, 표면 결합 에너지가 상승함에 따라, 시너지가 상승한다. 이들은 고 표면 결합 에너지 재료들이 고 시너지 효과를 갖는 것이 보다 가능성이 높을 (likely) 것이고, 따라서 ALE를 위해 우수한 후보군들이라는 것을 보여준다.
도 5c는 표면 결합 에너지 EO의 함수로서 ㎚/사이클로 사이클 당 에칭 (EPC) 을 도시한다. 도시된 바와 같이, 표면 결합 에너지가 상승함에 따라, 사이클 당 에칭이 감소한다. 즉, 보다 적은 재료가 사이클 당 에칭된다. 이는 고 표면 결합 에너지 재료들이 ALE에 의해 층단위 자기-제한 에칭을 위해 보다 밀접하게 제어될 수 있다는 것을 암시하여, 저 표면 결합 에너지 재료들은 ALE에 의해 보다 고속으로 에칭될 가능성이 높다.
탄탈룸 예에 대해, 다양한 실시예들에서, 탄탈룸은 개시된 실시예들에 따라 ALE를 사용하여 에칭될 수도 있다. 예를 들어, 탄탈룸을 에칭하기 위해 염소 (예시적인 개질 가스로서) 를 사용하기 위해 EO, Edes, 및 Emod를 식별할 때, 탄탈룸을 갖는 기판이 다음의 예시적인 방법을 사용하여 에칭될 수도 있다.
도 6은 특정한 개시된 실시예들에 따라 탄탈룸의 ALE를 위해 수행된 동작들의 예시적인 프로세스 흐름도를 도시한다. 상기 기술된 바와 같이, 탄탈룸에 대한 시너지를 결정한 후, 탄탈룸의 ALE는 프로세스 조건들을 토글링함으로써 달성될 수 있다.
동작 601에서, 탄탈룸을 갖는 기판이 프로세스 챔버로 제공된다. 기판은 상부에 증착된 유전체, 도전성, 또는 반-도전성 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 패터닝된 기판은 하나 이상의 좁은 개구 및/또는 재차 들어간 (re-entrant) 개구들, 피처들 내 협착부들 및 고 종횡비들을 특징으로 할 수도 있는, 비아들 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처들은 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내 홀 또는 비아이다. 또 다른 예는 기판 또는 층의 트렌치이다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은 하부-층을 가질 수도 있다. 하부-층들은 비-제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 다양한 실시예들에서, 기판은 탄탈룸 또는 탄탈룸 유도체들을 포함한다. 일부 실시예들에서, 기판은 탄탈룸 나이트라이드, 또는 탄탈룸 및/또는 탄탈룸 나이트라이드의 2 이상의 층들을 포함한다.
동작 603에서, 기판은 저 기판 온도에서 탄탈룸의 표면을 개질하도록 개질 가스에 노출된다. 이 동작 동안, 또는 가스를 도입하기 전이지만 기판을 프로세스 챔버에 제공한 후, 기판은 저온으로 냉각되고, 저온은 0 ℃의, 거의 0 ℃ 또는 약 0 ℃ 미만, 예컨대 -30 ℃ 내지 약 0 ℃의 온도이다.
개질 가스는 개질 동안 인가된 에너지, 예컨대 저온이 개질 에너지 (표면을 개질하기 충분한 에너지) 와 탈착 에너지 사이의 에너지를 달성하도록 탄탈룸의 표면을 개질한다. 온도는 개질 가스가 탄탈룸과 반응하는 것을 방지하기 위해 낮게 유지되고, 이와 같이 반응은 ALE의 자기-제한 거동이 숭해되는 것을 방지할 것이다. 예를 들어, 약 60 ℃의 온도에서, 염소 가스에 노출될 때, 탄탈룸의 에칭은 발생할 것이고, ALE 프로세스를 발생되지 않는다.
다양한 실시예들에서, 개질 가스 플로우는 기판으로 도입된 개질 가스의 양을 가변하기 위해 조절될 수도 있다. 기판은 임의의 적합한 노출 시간 동안 개질 가스에 노출될 수도 있다. 일부 실시예들에서, 기판은 탄탈룸의 표면 상에 개질 가스를 흡착시키기 충분한 노출 시간 동안 노출된다. 일부 실시예들에서, 노출 시간은 적어도 약 1 초, 또는 약 1 초 또는 약 2 초이다.
일부 실시예들에서, 동작 603 동안, 플라즈마가 또한 탄탈룸의 개질된 표면을 형성하기 위해 점화된다. 플라즈마는 보다 고속의 흡착 동역학을 인에이블함으로써 흡착 시간을 상승시킨다. 예를 들어, 플라즈마는 개질 가스를 라디칼들로 변환함으로써 에너지 배리어 Edes 를 하강시킨다. 일부 실시예들에서, 염소-계 플라즈마가 이 동작 동안 생성될 수도 있다. 염소-계 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버에서 플라즈마를 형성함으로써 인시츄로 생성될 수 있고 또는 기판을 하우징하지 않는 프로세스 챔버 예컨대 리모트 플라즈마 생성기에서 리모트로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다. 다양한 실시예들에서, 플라즈마는 유도 커플링된 플라즈마 또는 용량 커플링된 플라즈마 또는 마이크로파 플라즈마일 수도 있다. 유도 커플링된 플라즈마에 대한 전력은 약 50 W 내지 약 2000 W, 예컨대 약 900 W에서 설정될 수도 있다. 전력은 기판의 직접 플라즈마 에칭을 유발하지 않도록 충분히 낮은 레벨로 설정될 수도 있다.
개질 동작에서, 기판은 할로겐-함유 화학물질을 사용하여 개질될 수도 있다. 예를 들어, 기판이 염소를 챔버 내로 도입함으로써 염소화될 수도 있다. 염소가 개시된 실시예들에서 예시적인 개질 화학물질로서 사용되지만, 일부 실시예들에서, 상이한 개질 화학물질이 챔버 내로 도입된다는 것이 이해될 것이다. 예들은 브롬, 요오드, 육불화황, 실리콘 테트라플루오라이드, 및 삼염화붕소 (BCl3) 를 포함한다.
동작 605에서, 챔버는 선택가능하게 퍼지된다. 퍼지 동작에서, 비-표면-결합 활성 염소 종은 프로세스 챔버로부터 제거될 수도 있다. 이는 흡착된 층을 제거하지 않고, 비-흡착된 개질 화학물질을 제거하기 위해 프로세스 챔버를 퍼지하고 그리고/또는 배기함으로써 이루어질 수 있다. 염소-계 플라즈마에서 생성된 종은 플라즈마를 중단하고 그리고 챔버의 퍼지 및/또는 배기와 선택가능하게 조합하여 남아 있는 종으로 하여금 부식되게 함으로써 제거될 수 있다. 퍼지는 임의의 불활성 가스 예컨대 N2, Ar, Ne, He, 및 이들의 조합들을 사용하여 이루어질 수 있다.
동작 607에서, 개질된 표면을 제거하기 위해 기판은 제거 가스에 노출되고 플라즈마가 점화된다. 다양한 실시예들에서, 제거 가스는 아르곤이다. 일부 실시예들에서, 네온 또는 크립톤이 사용될 수도 있다. 제거 동작에서, 기판은 에너지가 표면 결합 에너지보다 낮도록 개질된 탄탈룸 표면을 탈착하기 충분하지만 탄탈룸을 스퍼터링하기 불충분한 에너지를 제공함으로써 기판을 에칭하기 위해 아르곤 또는 헬륨과 같은 에너지 소스 (예를 들어, 제거를 유도하는 활성화 또는 이온 충격 가스 또는 화학적으로 반응성 종) 에 노출될 수도 있다. 일부 실시예들에서, 제거는 등방성일 수도 있다. 일부 실시예들에서, 동작 607에서 개질된 표면은 기판 온도를 상승시킴으로써 제거될 수 있지만, 이러한 제거는 등방성이다. 예를 들어, 일부 실시예들에서, 열을 사용한 제거는 탈착을 위해 사용될 수도 있지만, 이러한 제거는 등방성일 수도 있다.
추정된 탈착 레이트는 보다 낮은 온도들보다 보다 높은 온도들에서 보다 낮고, 따라서, 다양한 실시예들에서, 플라즈마는 탈착 레이트를 상승시키도록 점화된다. 플라즈마로부터 생성된 이온들은 저온들에서 이방성 에칭을 사용하여 제거하게 한다. 이온들을 사용하는 것은 대안적인 기법으로 하여금 지향성으로 에칭을 수행하도록 그리고 Arrhenius 레이트 법칙에 종속되지 않는 에칭 프로세스를 수행하도록 에칭하게 한다. 일부 실시예들에서, 바이어스가 ALE에 의한 제거를 보조하기 위해 동작 607 및 동작 603 중 적어도 하나 동안 인가된다. 약 90 %의 에너지와 같은 상당한 에너지 손실이 충돌들로 인해 발생하고, 따라서 바이어스를 인가함으로써 이방성 에칭은 개질된 탄탈룸 층을 효과적으로 제거하기 위해 에너지 손실들을 극복하는 것을 돕는 것으로 이해될 것이다.
제거 동안, 바이어스가 지향성 이온 충돌을 용이하게 하도록 선택가능하게 인가될 수도 있다. 바이어스 전력은 스퍼터링은 방지하지만 제거 가스로 하여금 피처로 들어가게 하고 피처의 개구부에서 또는 개구부 근방에서 텅스텐을 에칭하게 하여 이를 개방하게 하도록 선택된다. 바이어스 전력은 활성화된 제거 가스와 기판 상에 증착된 금속의 문턱값 스퍼터링 수율에 따라 선택될 수도 있다. 본 명세서에 사용된 바와 같이 스퍼터링은 기판의 표면의 적어도 일부의 물리적 제거를 지칭할 수도 있다. 이온 충격은 기판의 표면 상으로 종의 물리적 충격을 지칭할 수도 있다.
동작 609에서, 챔버는 챔버로부터 반응된 부산물들을 제거하기 위해 선택가능하게 퍼지된다. 챔버는 동작 605에 대해 상기 기술된 바와 같이 임의의 가스들 또는 기법들을 사용하여 퍼지될 수도 있다.
도시된 바와 같이, 일부 실시예들에서, 동작들 603 내지 609는 기판으로부터 목표된 양의 탄탈룸을 에칭하기 위해 필요한 만큼 선택가능하게 반복될 수도 있다.
장치
특정한 실시예들에서, ALE (atomic layer etching) 동작들에 적합할 수도 있는 ICP 리액터들이 이제 기술된다. 이러한 ICP 리액터들은 또한 2013년 12월 10일 출원된, 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공보 제 2014/0170853 호에 기술되고, 전체 내용들이 모든 목적들을 위해 참조로서 본 명세서에 인용된다. ICP 리액터들이 본 명세서에 기술되었지만, 일부 실시예들에서, 용량 커플링된 플라즈마 리액터들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 7은 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 커플링 플라즈마 에칭 장치 (700) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo™리액터이다. 유도 커플링 플라즈마 장치 (700) 는 챔버 벽들 및 윈도우 (711) 에 의해서 구조적으로 구획된 전체 프로세스 챔버 (701) 를 포함한다. 챔버 벽들은 스테인레스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (711) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택가능한 내부 플라즈마 그리드 (750) 는 상부 서브-챔버 (702) 및 하부 서브-챔버 (703) 로 전체 프로세싱 챔버를 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (750) 는 제거될 수도 있고, 따라서, 서브-챔버들 (702 및 703) 로 이루어진 챔버 공간을 활용한다. 척 (717) 은 하단 내측 표면 근방에서 하부 프로세싱 챔버 (703) 내에 위치된다. 척 (717) 은 에칭 및 증착 프로세스들이 수행되는 반도체 웨이퍼 (719) 를 수용 및 홀딩하도록 구성된다. 척 (717) 은 웨이퍼 (719) 가 존재하는 경우에 웨이퍼 (719) 를 지지하는 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 이 척 (717) 을 둘러싸며 척 (717) 위에 존재할 경우에 웨이퍼 (719) 의 상단 표면과 대략 동일 평면에 있는 상부 표면을 갖는다. 척 (717) 은 또한 웨이퍼 (719) 를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부가 이 목적을 위해서 제공될 수도 있다. 척 (717) 으로부터 웨이퍼 (719) 를 리프팅 (lifting) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (717) 은 RF 전력 공급부 (723) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (723) 는 연결부 (727) 를 통해 매칭 회로 (721) 에 연결된다. 매칭 회로 (721) 는 연결부 (725) 을 통해 척 (717) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (723) 는 척 (717) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (711) 위에 위치된 코일 (733) 을 포함한다. 일부 실시예들에서, 코일이 개시된 실시예들에서 사용되지 않는다. 코일 (733) 은 전기적으로 도전성 재료로 제조되고, 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 7에 도시된 코일 (733) 의 예는 3 회의 턴들을 포함한다. 코일 (733) 의 단면도들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (733) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (741) 를 포함한다. 일반적으로, RF 전력 공급부 (741) 는 연결부 (745) 를 통해 매칭 회로 (739) 에 연결된다. 매칭 회로 (739) 는 연결부 (743) 를 통해 코일 (733) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (741) 는 코일 (733) 에 연결된다. 선택가능한 Faraday 차폐부 (749) 는 코일 (733) 과 윈도우 (711) 사이에 위치된다. Faraday 차폐부 (749) 는 코일 (733) 에 대해 이격된 관계로 유지될 수도 있다. Faraday 차폐부 (749) 는 윈도우 (711) 바로 위에 배치된다. 코일 (733), Faraday 차폐부 (749), 및 윈도우 (711) 는 각각 서로 실질적으로 평행하도록 구성된다. Faraday 차폐부는 금속 또는 다른 종이 플라즈마 챔버 (701) 의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어, 염소, 아르곤, 산소, 등) 이 상부 챔버 (702) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (760) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (770) 을 통해 프로세스 챔버 (701) 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 프로세스 가스들을 용량 커플링 플라즈마 프로세싱 챔버로 공급하도록 유사한 가스 플로우 유입구들이 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프 (740) 가 프로세스 챔버 (701) 로부터 프로세스 가스들을 유출하도록 그리고 프로세스 챔버 (701) 내에서 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 ALE의 퍼지 동작 동안 챔버 (701) 를 배기하도록 사용될 수도 있다. 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하도록 프로세스 챔버 (701) 로 진공 펌프를 유체적으로 연결하도록 밸브-제어된 도관이 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, 용량 커플링 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (760 및/또는 770) 를 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스들은 주 가스 플로우 유입구 (760) 를 통해서만, 또는 측면 가스 플로우 유입구 (770) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. Faraday 차폐부 (749) 및/또는 선택가능한 그리드 (750) 는 챔버 (701) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (749) 및 선택가능한 그리드 (750) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다. 일부 실시예들에서, 일단 액체 반응물질 또는 전구체가 기화되면, 기화된 반응물질 또는 전구체는 가스 플로우 유입구 (760 및/또는 770) 를 통해 챔버 (701) 내로 도입되도록, 액체 기화 및 전달 시스템이 챔버 (701) 의 업스트림에 위치될 수도 있다. 예시적인 액체 전구체들은 SiCl4 및 실리콘 아마이드들을 포함한다.
RF 전류로 하여금 코일 (733) 을 통해 흐르게 하도록 RF 전력 공급부 (741) 로부터 코일 (733) 로 RF 전력이 공급된다. 코일 (733) 을 통한 RF 전류는 코일 (733) 을 중심으로 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (702) 내에 유도 전류를 생성한다. 웨이퍼 (719) 와 다양한 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호작용들은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (702) 및 하부 서브-챔버 (703) 양자가 있도록 플라즈마 그리드가 사용되면, 상부 서브-챔버 (702) 내에서 전자-이온 플라즈마를 생성하도록 유도 전류는 상부 서브-챔버 (702) 내에 존재하는 가스에 작용한다. 선택가능한 내부 플라즈마 그리드 (750) 는 하부 서브-챔버 (703) 내 핫 (hot) 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (703) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마는 모두 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마가 양이온들에 대한 음이온들의 보다 큰 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (722) 를 통해 하부 서브-챔버 (703) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (717) 은 탄탈룸을 에칭하도록 기판을 프로세싱하기 위해 약 -200 ℃ 내지 약 600 ℃ 범위 또는 약 -20 ℃ 내지 약 250 ℃의 온도들에서 동작할 수도 있고, 척 (717) 은 약 0 ℃ 미만의 온도로 설정될 수도 있다. 온도는 사용된 툴 및 프로세스 동작 및 특정한 레시피에 종속될 것이다.
챔버 (701) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 플럼빙을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (701) 에 커플링된다. 부가적으로, 챔버 (701) 는 로보틱스들로 하여금 통상적인 자동화를 사용하여 반도체 웨이퍼들을 장치 챔버 (701) 내외로 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, (하나 이상의 물리적 제어기 또는 논리 제어기를 포함할 수도 있는) 시스템 제어기 (730) 는 프로세싱 챔버의 동작들 중 일부 또는 전부를 제어한다. 시스템 제어기 (730) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치는 약 500 ㎳까지, 또는 약 750 ㎳까지의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질 (chemistry), 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현예들에서, 제어기 (730) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (730) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (730) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다. 일부 실시예들에서, 제어기 (730) 는 ALE의 개질 동작을 위한 온도 윈도우를 결정하도록, 또는 ALE의 제거 동작을 위한 프로세스 조건들의 윈도우를 결정하도록, 또는 둘 다를 위해 사용될 수도 있다.
제어기 (730) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (730) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 (730) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (730) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
VTM (vacuum transfer module) (838) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들 및 프로세스 모듈들의 장치는 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 또한 로드록 또는 이송 모듈로 공지된 에어록 (830) 이 4 개의 프로세싱 모듈들 (820a 내지 820d) 을 갖는 VTM (838) 내에 도시되고, 프로세싱 모듈들은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있다. 예로서, 프로세싱 모듈들 (820a 내지 820d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 하나 이상의 기판 에칭 프로세싱 모듈들 (820a 내지 820d 중 어느 하나) 이 본 명세서에 개시된 바와 같이, 즉, 개질 가스를 도입하기 위해, 제거 가스를 도입하기 위해, 그리고 개시된 실시예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (830) 및 프로세스 모듈 (820) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (838) 에 인터페이싱하는 패싯 (836) 을 갖는다. 패싯 각각 내부에서, 센서들 (1 내지 18) 은 웨이퍼가 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (826) 의 통과를 검출하도록 사용된다.
로봇 (822) 이 스테이션들 사이에서 웨이퍼 (826) 를 이송한다. 일 실시예에서, 로봇 (822) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (822) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (826) 와 같은 웨이퍼들을 피킹하기 위해 엔드 이펙터 (824) 를 갖는다. ATM (atmospheric transfer module) (840) 의 프론트엔드 로봇 (832) 이 LPM (Load Port Module) (842) 의 FOUP (Front Opening Unified Pod) (834) 또는 카세트로부터 에어록 (830) 으로 웨이퍼들 (826) 을 이송하도록 사용된다. 프로세스 모듈 (820) 내부의 모듈 중심 (828) 은 웨이퍼 (826) 를 배치하기 위한 일 위치이다. ATM (840) 내 얼라이너 (844) 가 웨이퍼들을 정렬하기 위해 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (842) 내 FOUP들 (834) 중 하나에 배치된다. 프론트엔드 로봇 (832) 은 FOUP (834) 로부터 웨이퍼 (826) 로 하여금 에칭되거나 프로세싱되기 전에 적절히 중심에 위치되게 하는, 얼라이너 (844) 로 웨이퍼를 이송한다. 정렬된 후, 웨이퍼 (826) 는 프론트엔드 로봇 (832) 에 의해 에어록 (830) 내로 이동된다. 에어록 모듈들이 ATM와 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (826) 는 손상되지 않고 두 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (830) 로부터, 웨이퍼 (826) 는 로봇 (822) 에 의해 VTM (838) 을 통해 프로세싱 모듈들 (820a 내지 820d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (822) 은 암들 각각의 엔드 이펙터들 (824) 을 사용한다. 일단 웨이퍼 (826) 가 프로세싱되면, 웨이퍼는 로봇 (822) 에 의해 프로세싱 모듈들 (820a 내지 820d) 로부터 에어록 모듈 (830) 로 이동된다. 여기서부터, 웨이퍼 (826) 는 프론트엔드 로봇 (832) 에 의해 FOUP들 (834) 중 하나 또는 얼라이너 (844) 로 이동될 수도 있다.
웨이퍼 운동을 제어하는 컴퓨터는 클러스터 아키텍쳐에 로컬일 수 있거나 제조 플로어 내의 클러스터 아키텍쳐의 외부 또는 리모트 위치에 위치되고 네트워크를 통해 클러스터 아키텍쳐에 연결될 수 있다는 것을 주의한다. 도 7에 대하여 상기 기술된 바와 같은 제어기는 도 8의 툴을 사용하여 구현될 수도 있다.
실험
도 9a 내지 도 9c는 디자이너 ALE의 일 예를 도시한다. 도 9a는 0 ℃에서 반응이 억제될 수 있다는 것을 확정하는, 온도의 함수로서, 동작 A (개질) 를 도시한다. 이 설정점 기판 온도에서, 도 9c는 모두 디자이너 ALE에서 개질을 위해 염소 및 제거를 위해 아르곤을 수반하는, 2 번의 실험들에 기초한 바이어스 스캔을 도시한다. 원 플롯들은 40 사이클들의 ALE 동안 수집된 데이터를 나타낸다. 사각형 플롯들은 25 사이클들의 ALE 동안 수집된 데이터를 나타낸다. 윈도우는 ~20 내지 90 eV인 것으로 확정된다. 게르마늄 ALE 윈도우가 10 eV 폭 (in width) 이 되고 케이스 연구 실리콘 ALE 윈도우가 20 eV 폭인 것과 비교하여 70 eV 윈도우가 여기서 가장 큰 것으로 관찰된다. 도 9b는 시간 상 자기-제한 거동을 더 확정한다. 전체적으로, 시너지는 약 94 % 보다 크지만 편광해석법 오류로 인해 제한될 수도 있다. 전체적으로, 이 재료는 고 시너지 ALE 거동을 보인다. 탄탈룸이 약 60 ℃ 온도들에서 제어가능하지 않은 에칭 방식으로 매우 신속하게 반응된다는 것을 가정하지만 시너지 및 상대적인 에너지 값들을 계산한 후, 툴이 허용할 때 약 0 ℃ 미만 (예컨대 -200 ℃ 내지 약 0 ℃) 에서 탄탈룸의 ALE를 수행하는 것은 자기-제한 에칭을 발생시킨다는 것은 놀랍다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (45)

  1. 기판 상의 재료를 에칭하는 방법에 있어서,
    개질 가스 (modification gas) 및 제거 가스를 사용한 재료의 ALE (atomic layer etching) 프로세스를 위한 프로세스 조건들을 식별하는 단계; 및
    기판 상의 상기 재료에 대해:
    상기 재료의 표면을 개질하기 위해 상기 개질 가스에 상기 기판을 노출하는 단계로서, 상기 개질 가스는 에칭될 상기 재료에 대한 개질 에너지 및 탈착 (desorption) 에너지를 갖는, 상기 개질 가스에 기판을 노출하는 단계, 및
    상기 개질된 표면을 제거하기 위해 상기 제거 가스에 상기 개질된 표면을 노출하고 플라즈마를 점화하는 (igniting) 단계에 의해, 상기 ALE 프로세스를 수행하는 단계를 포함하고,
    상기 개질 에너지는 상기 탈착 에너지보다 작고, 그리고 상기 탈착 에너지는 상기 재료의 표면 결합 에너지보다 작고;
    상기 프로세스 조건들을 식별하는 단계는 상기 기판을 상기 개질 가스에 노출하는 단계를 수행하기 위해 기판 온도를 선택하는 단계를 포함하고, 상기 기판 온도에 의해 제공된 이온 에너지는 상기 개질 에너지와 상기 탈착 에너지 사이이고;
    상기 재료는 6 eV보다 큰 표면 결합 에너지를 갖고;
    상기 재료는 탄소, 니오븀, 몰리브덴, 루테늄, 로듐, 레늄, 오스뮴, 텅스텐, 및 탄탈룸으로 구성된 그룹으로부터 선택되고;
    상기 방법은,
    상기 개질 가스에 상기 기판을 노출하기 전 상기 기판을 상기 개질 에너지와 상기 탈착 에너지 사이의 이온 에너지를 제공하기 위한 온도로 설정하는 단계를 더 포함하고; 그리고
    상기 기판을 상기 개질 에너지와 상기 탈착 에너지 사이의 이온 에너지를 제공하기 위한 온도로 설정하는 단계는 상기 기판을 저온으로 냉각하는 단계를 포함하는, 에칭 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 프로세스 조건들을 식별하는 단계는 상기 개질된 표면을 상기 제거 가스에 노출하는 단계 동안 바이어스를 인가하기 위해 바이어스 전력을 선택하는 단계를 포함하고, 상기 바이어스에 의해 제공된 상기 에너지는 상기 탈착 에너지와 상기 표면 결합 에너지 사이인, 에칭 방법.
  4. 제 1 항에 있어서,
    상기 개질 가스는 상기 재료를 에칭하지 않고 상기 재료에 흡착하도록 선택되는, 에칭 방법.
  5. 제 1 항에 있어서,
    상기 제거 가스는 아래에 놓인 개질되지 않은 재료를 에칭하지 않고 상기 개질된 표면을 제거하도록 선택되는, 에칭 방법.
  6. 제 1 항에 있어서,
    상기 프로세스 조건들은 온도, 챔버 압력, 플라즈마 전력, 바이어스 전력, 개질 가스 플로우, 및 노출 시간으로 구성된 그룹으로부터 선택되는, 에칭 방법.
  7. 제 1 항에 있어서,
    프로세스 윈도우 내에서 상기 프로세스 조건들을 수정하는 단계를 더 포함하는, 에칭 방법.
  8. 삭제
  9. 제 1 항에 있어서,
    바이어스는 상기 기판을 상기 개질 가스에 노출하는 단계 및 상기 개질된 표면을 상기 제거 가스에 노출하는 단계 중 적어도 하나에 인가되는, 에칭 방법.
  10. 제 1 항, 제 3 항 내지 제 7 항 및 제 9 항 중 어느 한 항에 있어서,
    ALE는 상기 기판을 상기 개질 가스에 노출하는 단계와 상기 기판을 상기 제거 가스에 노출하는 단계 사이에 상기 기판을 하우징하는 챔버를 퍼징하는 단계를 더 포함하는, 에칭 방법.
  11. 제 10 항에 있어서,
    상기 퍼지 단계는 N2, Ar, Ne, He, 및 이들의 조합들로 구성된 그룹으로부터 선택된 불활성 가스를 전달함으로써 수행되는, 에칭 방법.
  12. 제 1 항, 제 3 항 내지 제 7 항 및 제 9 항 중 어느 한 항에 있어서,
    상기 개질 가스는 할로겐-함유 가스인, 에칭 방법.
  13. 제 12 항에 있어서,
    상기 개질 가스는 염소인, 에칭 방법.
  14. 제 12 항에 있어서,
    상기 개질 가스는 브롬, 요오드, 육불화황, 실리콘 테트라플루오라이드, 및 삼염화붕소 (BCl3) 로 구성되는 그룹으로부터 선택되는, 에칭 방법.
  15. 제 1 항, 제 3 항 내지 제 7 항 및 제 9 항 중 어느 한 항에 있어서,
    상기 제거 가스는 불활성 가스인, 에칭 방법.
  16. 제 15 항에 있어서,
    상기 제거 가스는 네온 또는 크립톤인, 에칭 방법.
  17. 기판을 프로세싱하기 위한 장치에 있어서,
    샤워헤드 및 재료를 갖는 기판을 홀딩하기 위한 기판 지지부를 포함하는 프로세스 챔버,
    플라즈마 생성기, 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 연결되고,
    상기 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고
    상기 메모리는:
    개질 가스 및 제거 가스를 사용하여 상기 재료의 ALE 프로세스를 위한 프로세스 조건들의 식별을 유발하는 머신-판독가능한 인스트럭션; 및
    상기 재료의 표면을 개질하기 위해 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션으로서, 상기 개질 가스는 에칭될 상기 재료에 대한 개질 에너지 및 탈착 에너지를 갖는, 상기 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션, 및
    상기 개질된 표면을 제거하기 위해 상기 제거 가스의 도입 및 플라즈마의 생성을 유발하는 머신-판독가능한 인스트럭션에 의해, 상기 기판 상의 상기 재료에 대한 상기 ALE 프로세스의 수행을 유발하는 머신-판독가능한 인스트럭션을 저장하고,
    상기 개질 에너지는 상기 탈착 에너지보다 작고, 그리고 상기 탈착 에너지는 상기 재료의 표면 결합 에너지보다 작고,
    상기 프로세스 조건들의 식별은 상기 개질 가스의 도입을 유발하기 위한 기판 온도의 선택을 유발하는 것을 포함하고, 상기 기판 온도에 의해 제공된 이온 에너지는 상기 개질 에너지와 상기 탈착 에너지 사이이고; 그리고
    상기 재료는 6 eV보다 큰 표면 결합 에너지를 갖고, 상기 재료는 탄소, 니오븀, 몰리브덴, 루테늄, 로듐, 레늄, 오스뮴, 텅스텐, 및 탄탈룸으로 구성된 그룹으로부터 선택되고, 그리고 상기 머신-판독가능한 인스트럭션들은 상기 개질 가스를 도입하기 전 상기 기판을 상기 개질 에너지와 상기 탈착 에너지 사이의 이온 에너지를 제공하기 위한 온도로의 설정을 유발하는 머신-판독가능한 인스트럭션을 더 포함하고,
    상기 기판을 상기 개질 에너지와 상기 탈착 에너지 사이의 이온 에너지를 제공하기 위한 온도로의 설정을 유발하는 머신-판독가능한 인스트럭션은 상기 기판을 저온으로의 냉각을 유발하는 머신-판독가능한 인스트럭션을 포함하는, 기판을 프로세싱하기 위한 장치.
  18. 제 17 항에 있어서,
    상기 식별된 프로세스 조건들은 온도, 챔버 압력, 플라즈마 전력, 바이어스 전력, 개질 가스 플로우, 및 노출 시간으로 구성된 그룹으로부터 선택되는, 기판을 프로세싱하기 위한 장치.
  19. 제 17 항에 있어서,
    상기 머신-판독가능한 인스트럭션들은 프로세스 윈도우 내에서 상기 프로세스 조건들의 수정을 유발하는 머신-판독가능한 인스트럭션을 더 포함하는, 기판을 프로세싱하기 위한 장치.
  20. 제 17 항에 있어서,
    상기 머신-판독가능한 인스트럭션들은 상기 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션 및 상기 제거 가스의 도입을 유발하는 머신-판독가능한 인스트럭션 중 적어도 하나 동안 상기 기판 지지부에 바이어스가 인가되게 하는 머신-판독가능한 인스트럭션들을 더 포함하는, 기판을 프로세싱하기 위한 장치.
  21. 제 17 항 내지 제 20 항 중 어느 한 항에 있어서,
    ALE를 수행하기 위한 상기 머신-판독가능한 인스트럭션들은 상기 개질 가스의 도입을 유발하는 머신-판독가능한 인스트럭션과 상기 제거 가스의 도입을 유발하는 머신-판독가능한 인스트럭션 사이에 상기 프로세스 챔버를 퍼지하게 하는 머신-판독가능한 인스트럭션들을 더 포함하는, 기판을 프로세싱하기 위한 장치.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
KR1020197020687A 2016-12-19 2017-12-14 원자 층 에칭 (atomic layer etching) KR102589704B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020197021389A KR102617520B1 (ko) 2016-12-19 2017-12-14 탄탈룸의 원자층 에칭 (ale)
KR1020237034721A KR20230145551A (ko) 2016-12-19 2017-12-14 원자 층 에칭

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662436286P 2016-12-19 2016-12-19
US62/436,286 2016-12-19
US201762532916P 2017-07-14 2017-07-14
US62/532,916 2017-07-14
US15/841,205 2017-12-13
US15/841,205 US10566212B2 (en) 2016-12-19 2017-12-13 Designer atomic layer etching
PCT/US2017/066470 WO2018118655A1 (en) 2016-12-19 2017-12-14 Designer atomic layer etching

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020197021389A Division KR102617520B1 (ko) 2016-12-19 2017-12-14 탄탈룸의 원자층 에칭 (ale)
KR1020237034721A Division KR20230145551A (ko) 2016-12-19 2017-12-14 원자 층 에칭

Publications (2)

Publication Number Publication Date
KR20190089222A KR20190089222A (ko) 2019-07-30
KR102589704B1 true KR102589704B1 (ko) 2023-10-13

Family

ID=62556881

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020197021389A KR102617520B1 (ko) 2016-12-19 2017-12-14 탄탈룸의 원자층 에칭 (ale)
KR1020237034721A KR20230145551A (ko) 2016-12-19 2017-12-14 원자 층 에칭
KR1020197020687A KR102589704B1 (ko) 2016-12-19 2017-12-14 원자 층 에칭 (atomic layer etching)

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020197021389A KR102617520B1 (ko) 2016-12-19 2017-12-14 탄탈룸의 원자층 에칭 (ale)
KR1020237034721A KR20230145551A (ko) 2016-12-19 2017-12-14 원자 층 에칭

Country Status (6)

Country Link
US (4) US10566212B2 (ko)
JP (2) JP7209155B2 (ko)
KR (3) KR102617520B1 (ko)
CN (1) CN110741462A (ko)
TW (2) TWI811199B (ko)
WO (1) WO2018118655A1 (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6936700B2 (ja) 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
WO2019117130A1 (ja) * 2017-12-15 2019-06-20 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10998170B2 (en) * 2018-04-13 2021-05-04 Tokyo Electron Limited Method for ion mass separation and ion energy control in process plasmas
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10937659B2 (en) * 2019-04-09 2021-03-02 Tokyo Electron Limited Method of anisotropically etching adjacent lines with multi-color selectivity
TW202105514A (zh) * 2019-06-13 2021-02-01 日商東京威力科創股份有限公司 蝕刻方法以及蝕刻裝置
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
JP2022164060A (ja) * 2021-04-15 2022-10-27 東京エレクトロン株式会社 エッチング方法及び処理装置
US20240047222A1 (en) * 2021-04-22 2024-02-08 Hitachi High-Tech Corporation Etching method
JP7231683B1 (ja) 2021-08-30 2023-03-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2023058642A1 (ja) * 2021-10-06 2023-04-13 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
KR20240033327A (ko) 2022-09-05 2024-03-12 에스케이하이닉스 주식회사 리간드 교환반응을 이용한 원자층의 에칭방법
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150270140A1 (en) * 2014-06-09 2015-09-24 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
JPH07183256A (ja) * 1993-12-22 1995-07-21 Hitachi Ltd 原子層エッチング方法及び装置
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JP3331819B2 (ja) 1995-06-30 2002-10-07 ソニー株式会社 化合物半導体のプラズマエッチング方法
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8206568B2 (en) * 1999-06-22 2012-06-26 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
JP2001255670A (ja) 2000-03-10 2001-09-21 Mitsubishi Electric Corp 微細レジストパターン形成方法および装置
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
KR100463237B1 (ko) 2000-06-28 2004-12-23 주식회사 하이닉스반도체 감광막패턴의 형성 방법
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
CN100355058C (zh) 2001-05-04 2007-12-12 东京毅力科创株式会社 具有连续沉积和蚀刻的电离pvd
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US20030003374A1 (en) 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
WO2003098662A2 (en) 2002-05-14 2003-11-27 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP3878577B2 (ja) 2003-06-06 2007-02-07 株式会社東芝 半導体装置の製造方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
TW200428532A (en) 2003-06-03 2004-12-16 Silicon Integrated Sys Corp Method of modifying conductive wiring
KR100606532B1 (ko) 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
DE102006001253B4 (de) 2005-12-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
WO2007145679A2 (en) 2006-02-02 2007-12-21 Trustees Of Boston University Planarization of gan by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905993B1 (ko) 2007-09-13 2009-07-02 인하대학교 산학협력단 인듐옥사이드-징크옥사이드의 건식 식각 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
JP2011512037A (ja) 2008-02-08 2011-04-14 イルミテックス, インコーポレイテッド エミッタ層成形のためのシステムおよび方法
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR100925210B1 (ko) 2008-05-27 2009-11-06 한국전자통신연구원 건식 식각 공정을 이용한 산화물 박막 트랜지스터의 제조방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20100019233A (ko) 2008-08-08 2010-02-18 삼성전자주식회사 박막 트랜지스터 기판 및 이의 제조 방법
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
JP5128421B2 (ja) 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
JP4305574B1 (ja) 2009-01-14 2009-07-29 住友電気工業株式会社 Iii族窒化物基板、それを備える半導体デバイス、及び、表面処理されたiii族窒化物基板を製造する方法
JP5275094B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP4982582B2 (ja) 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
KR101340793B1 (ko) 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20130200391A1 (en) 2010-09-28 2013-08-08 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
EP3441503B1 (en) 2011-04-29 2023-08-23 Applied Materials, Inc. Devices and methods for passivating a flexible substrate in a coating process
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
KR101380835B1 (ko) 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP2920814A4 (en) 2012-11-16 2016-11-02 Massachusetts Inst Technology SEMICONDUCTOR STRUCTURE AND ETCHING TECHNIQUE FOR VENTING FORMATION
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
CN105308723B (zh) 2013-06-17 2019-01-01 应用材料公司 利用湿式晶片背面接触进行铜镀硅穿孔的方法
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
JP6347695B2 (ja) * 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US10265742B2 (en) 2013-11-25 2019-04-23 Applied Materials, Inc. Method for in-situ chamber clean using carbon monoxide (CO) gas utlized in an etch processing chamber
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
JP6692754B2 (ja) 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
JP6159757B2 (ja) 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6532066B2 (ja) * 2015-03-30 2019-06-19 東京エレクトロン株式会社 原子層をエッチングする方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
CN107567650A (zh) 2015-04-02 2018-01-09 东京毅力科创株式会社 使用双频电容耦合等离子体(ccp)以euv抗蚀剂进行的沟槽和孔图案化
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) * 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
WO2017147254A1 (en) 2016-02-23 2017-08-31 Tokyo Electron Limited Method and system for atomic layer etching
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR101853588B1 (ko) 2017-08-01 2018-04-30 성균관대학교산학협력단 반도체 소자, 광전 소자, 및 전이금속 디칼코게나이드 박막의 제조 방법
KR102485169B1 (ko) 2017-09-08 2023-01-09 삼성디스플레이 주식회사 표시 장치, 이의 제조 방법, 및 전극 형성 방법
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
TWI809158B (zh) 2018-07-26 2023-07-21 日商東京威力科創股份有限公司 針對半導體元件形成晶體穩定的鐵電性鉿鋯基膜的方法
WO2021178399A1 (en) 2020-03-06 2021-09-10 Lam Research Corporation Atomic layer etching of molybdenum

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150270140A1 (en) * 2014-06-09 2015-09-24 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes

Also Published As

Publication number Publication date
TWI832325B (zh) 2024-02-11
US20220093413A1 (en) 2022-03-24
US10566212B2 (en) 2020-02-18
US20180174860A1 (en) 2018-06-21
US10566213B2 (en) 2020-02-18
KR20190089222A (ko) 2019-07-30
JP2020502811A (ja) 2020-01-23
US11239094B2 (en) 2022-02-01
JP7209155B2 (ja) 2023-01-20
JP7320168B2 (ja) 2023-08-03
US20200118835A1 (en) 2020-04-16
KR102617520B1 (ko) 2023-12-22
TWI811199B (zh) 2023-08-11
US11721558B2 (en) 2023-08-08
CN110741462A (zh) 2020-01-31
WO2018118655A1 (en) 2018-06-28
TW201838026A (zh) 2018-10-16
KR20230145551A (ko) 2023-10-17
KR20190089231A (ko) 2019-07-30
JP2022180585A (ja) 2022-12-06
US20180350624A1 (en) 2018-12-06
TW202245054A (zh) 2022-11-16

Similar Documents

Publication Publication Date Title
KR102589704B1 (ko) 원자 층 에칭 (atomic layer etching)
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US9984858B2 (en) ALE smoothness: in and outside semiconductor industry
KR102570795B1 (ko) 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
US9870899B2 (en) Cobalt etch back
KR102642011B1 (ko) 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US20220028697A1 (en) Directional deposition in etch chamber
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
KR20240069686A (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant