CN110741462A - 设计者原子层蚀刻 - Google Patents

设计者原子层蚀刻 Download PDF

Info

Publication number
CN110741462A
CN110741462A CN201780086828.5A CN201780086828A CN110741462A CN 110741462 A CN110741462 A CN 110741462A CN 201780086828 A CN201780086828 A CN 201780086828A CN 110741462 A CN110741462 A CN 110741462A
Authority
CN
China
Prior art keywords
gas
substrate
modifying
causing
energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780086828.5A
Other languages
English (en)
Other versions
CN110741462B (zh
Inventor
克伦·雅各布斯·卡纳里克
特塞翁格·金姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Publication of CN110741462A publication Critical patent/CN110741462A/zh
Application granted granted Critical
Publication of CN110741462B publication Critical patent/CN110741462B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本文提供了用于评估各种材料的改性和去除操作的协同作用以确定通过原子层蚀刻进行自限蚀刻的工艺条件的方法。所述方法包括确定材料的表面结合能量,为材料选择改性气体,其中用于使材料表面改性的工艺条件产生的能量小于改性能量且大于解吸能量,选择去除气体,其中用于去除经改性的表面的工艺条件产生的能量大于解吸能量以去除经改性的表面但小于材料的表面结合能量以防止溅射,并计算协同作用以使用于原子层蚀刻的工艺窗最大化。

Description

设计者原子层蚀刻
相关申请的交叉引用
本申请要求于2017年12月13日提交的名称为“DESIGNER ATOMIC LAYER ETCHING”的美国专利申请No.15/841,205的权益,该申请要求于2016年12月19日提交的名称为“DESIGNER ATOMIC LAYER ETCHING”的美国临时专利申请No.62/436,286和2017年7月14日提交的名称为“DESIGNER ATOMIC LAYER ETCHING”的美国临时专利申请No.62/532,916的优先权,其全部内容通过引用并入本文并用于所有目的。
背景技术
半导体制造工艺包括蚀刻各种材料。随着特征尺寸缩小,对原子尺度处理(例如原子层蚀刻(ALE))的需求不断增长。然而,在不对各种材料进行溅射的情况下以自限制方式执行ALE是具有挑战性的。
发明内容
本文描述了用于处理半导体衬底的方法和装置。一方面涉及一种蚀刻在衬底上的材料的方法,该方法包括:识别使用改性气体和去除气体对材料进行的原子层蚀刻工艺的工艺条件;以及通过以下方式对所述衬底上的所述材料执行所述原子层蚀刻工艺:使所述衬底暴露于所述改性气体以使所述材料的表面改性,所述改性气体相对于待蚀刻的所述材料具有改性能量和解吸能量,以及使经改性的所述表面暴露于所述去除气体并点燃等离子体以去除经改性的所述表面,其中,所述改性能量小于所述解吸能量,所述解吸能量小于所述材料的表面结合能量。
在多种实施方案中,识别所述工艺条件包括选择用于执行所述使所述衬底暴露于所述改性气体的衬底温度,使得由所述衬底温度提供的能量介于所述改性能量和所述解吸能量之间。
在多种实施方案中,识别所述工艺条件包括选择偏置功率以在所述使经改性的所述表面暴露于所述去除气体期间施加偏置,使得由所述偏置提供的能量介于所述解吸能量与所述表面结合能量之间。
在多种实施方案中,选择所述改性气体以吸附到所述材料上而不蚀刻所述材料。
在多种实施方案中,其中选择所述去除气体以去除经改性的所述表面而不蚀刻下伏的未改性的材料。
在多种实施方案中,所述工艺条件可以是温度、室压强、等离子体功率、偏置功率、改性气体流量和暴露时间中的任何一种或多种。
所述方法还可以包括在工艺窗内修改所述工艺条件。工艺窗可以由传递到保持衬底的基座的最小和最大偏置功率限定,使得最小偏置功率是用于去除经改性的表面的最小偏置功率,并且最大偏置功率是可以在没有溅射经改性的表面下面的材料的情况下使用的最大偏置。
在多种实施方案中,所述材料是硅、碳、钨和钽中的任何一种。在一些实施方案中,所述方法还包括在执行所述原子层蚀刻工艺之前将所述衬底冷却至低于约0℃的温度,其中所识别的所述工艺条件是温度,并且其中所述材料是钽。
在多种实施方案中,所述衬底在低于约0℃的衬底温度下暴露于所述改性气体。在一些实施方案中,所述温度介于约-20℃和约0℃之间。
在一些实施方案中,改性气体是含卤素的气体。在一些实施方案中,去除气体是惰性气体。
在多种实施方案中,原子层蚀刻还包括在所述使所述衬底暴露于所述改性气体和所述使所述衬底暴露于所述去除气体之间清扫容纳所述衬底的室。
另一方面涉及一种蚀刻在衬底上的钽的方法,该方法包括:提供包含钽的所述衬底;将所述衬底冷却至低于约0℃的温度;以及通过以下方式对所述钽进行原子层蚀刻:使所述衬底暴露于改性气体以使所述钽的表面改性,以及使经改性的所述表面暴露于去除气体并点燃等离子体以去除所述钽的经改性的所述表面。
在多种实施方案中,在低于约0℃的衬底温度下使所述衬底暴露于所述改性气体。在一些实施方案中,所述温度介于约-20℃和约0℃之间。
在多种实施方案中,所述衬底包括氮化钽。在一些实施方式中,所述方法还包括在所述使所述衬底暴露于所述改性气体和所述使所述衬底暴露于所述去除气体之间清扫容纳所述衬底的室。清扫可以使用诸如N2、Ar、Ne、He及其组合之类的任何惰性气体进行。
在一些实施方案中,所述改性气体是氯。在一些实施方案中,改性气体是溴、碘、六氟化硫、四氟化硅和三氯化硼(BCl3)中的任何一种或者多种。
在多种实施方案中,除去气体是氩气。在一些实施方案中,可以使用氖或氪气。在去除操作中,衬底可以暴露于能量源(例如,激活或离子轰击气体或诱导去除的化学反应性物质),例如氩气或氦气,以通过提供足以解吸经修改的钽表面但不足以溅射钽的能量(使得能量小于表面结合能量)来蚀刻衬底。在一些实施方案中,去除可以是各向同性的。
在多种实施方案中,将偏置应用于使所述衬底暴露于所述改性气体和使经改性的所述表面暴露于所述去除气体中的至少一者。可以根据激活的去除气体对衬底上沉积的金属的阈值溅射产率来选择偏置功率。
另一方面涉及一种用于处理衬底的装置,所述装置包括:处理室,其包括喷头和用于保持具有材料的所述衬底的衬底支撑件,等离子体发生器,和控制器,其具有至少一个处理器和存储器,其中,所述至少一个处理器和所述存储器彼此通信连接,所述至少一个处理器与流量控制硬件至少能操作地连接,并且所述存储器存储机器可读指令,所述机器可读指令用于:致使识别使用改性气体和去除气体对材料进行的原子层蚀刻工艺的工艺条件;以及致使通过以下方式对所述衬底上的所述材料执行所述原子层蚀刻工艺:致使引入改性气体以使所述材料的表面改性,所述改性气体相对于待蚀刻的所述材料具有改性能量和解吸能量,以及致使引入所述去除气体并产生等离子体以去除经改性的所述表面,其中,所述改性能量小于所述解吸能量,并且所述解吸能量小于所述材料的表面结合能量。
在多种实施方案中,用于致使引入工艺条件的指令包括用于致使选择用于执行所述使所述衬底暴露于所述改性气体的衬底温度,使得由所述衬底温度提供的能量介于所述改性能量和所述解吸能量之间的指令。
在多种实施方案中,用于致使引入工艺条件的指令包括用于致使选择偏置功率以在所述使经改性的所述表面暴露于所述去除气体期间施加偏置,使得由所述偏置提供的能量介于所述解吸能量与所述表面结合能量之间的指令。
在多种实施方案中,选择所述改性气体以吸附到所述材料上而不蚀刻所述材料。在多种实施方案中,选择所述去除气体以去除经改性的所述表面而不蚀刻下伏的未改性的材料。
在一些实施方案中,用于致使引入工艺条件的指令包括用于致使选择来自温度、室压强、等离子体功率、偏置功率、改性气体流量和暴露时间中的任何一个或者多个的工艺条件的指令。
所述装置还可以包括用于致使在处理窗内修改所述工艺条件的指令。工艺窗可以由传递到保持衬底的基座的最小和最大偏置功率限定,使得最小偏置功率是用于去除经改性的表面的最小偏置功率,并且最大偏置功率是可以在没有溅射经改性的表面下面的材料的情况下使用的最大偏置。
在多种实施方案中,用于致使执行所述原子层蚀刻的指令还包括用于在用于致使引入所述改性气体的指令和用于致使引入所述去除气体的指令之间致使对容纳所述衬底的所述处理室进行清扫的指令。
另一方面涉及一种用于处理衬底的装置,所述装置包括:处理室,其包括喷头和用于保持所述衬底的衬底支撑件,等离子体发生器,和控制器,其具有至少一个处理器和存储器,其中,所述至少一个处理器和所述存储器彼此通信连接,所述至少一个处理器与流量控制硬件至少能操作地连接,并且所述存储器存储机器可读指令,所述机器可读指令用于:致使具有包含钽的所述衬底的所述衬底支撑件的温度被设定为低于约0℃的温度;以及通过以下方式致使对所述钽执行原子层蚀刻:致使引入改性气体以使所述钽的表面改性,以及致使引入去除气体并产生等离子体以去除经改性的所述钽。
在多种实施方案中,用于致使将所述衬底支撑件的温度设定为低于约0℃的温度的指令包括用于致使将所述衬底支撑件的温度设定为介于约-20℃和约0℃之间的温度的指令。
在多种实施方案中,用于致使对所述钽执行原子层蚀刻的指令还包括用于在用于致使引入所述改性气体的指令和用于致使引入所述去除气体的指令之间致使对容纳所述衬底的所述处理室进行清扫的指令。可以使用诸如N2、Ar、Ne、He及其组合之类的任何惰性气体进行吹扫。
在多种实施方案中,所述存储器还包括存储用于在致使引入所述改性气体和致使引入所述去除气体中的至少一者期间致使偏置施加到保持所述衬底的所述衬底支撑件的指令。可以根据激活的去除气体对衬底上沉积的金属的阈值溅射产率来选择偏置功率。
下面参照附图进一步描述这些和其他方面。
附图说明
图1A示出了经历原子层蚀刻的衬底的示例性示意图。
图1B示出了基于图1A的衬底的示意图的原子层蚀刻的协同作用。
图2是描绘根据所公开的实施方案的方法的操作的工艺流程图。
图3显示了用于Emod、Edes和EO的硅、锗、钨、碳和钽的能垒。
图4是元素周期表中元素的表面结合能的图。
图5A示出了各种材料的表面结合能与溅射阈值的函数关系的曲线图。
图5B显示了各种材料的表面结合能与协同作用的函数关系的曲线图。
图5C示出了作为各种材料的表面结合能与每循环蚀刻的函数关系的曲线图。
图6是描绘根据所公开实施方案的方法的操作的工艺流程图。
图7是用于执行所公开实施方案的示例处理室的示意图。
图8是用于执行所公开的实施方案的示例处理装置的示意图。
图9A是通过实验数据确定的所显示的钽的蚀刻速率与温度的函数关系的曲线图。
图9B是根据所进行的实验,使用原子层蚀刻的每个循环的蚀刻和钽暴露于氩的持续时间的曲线图。
图9C是根据所进行的实验,通过原子层蚀刻使用氩气去除钽期间施加到基座的每循环蚀刻和施加到基座的偏置功率的曲线图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或全部的情形下可以实施所公开的实施方案。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是这并非意在限制所公开的实施方案。
蚀刻工艺通常涉及将待蚀刻的材料暴露于蚀刻气体的组合以去除材料。然而,这种去除可能不是自限制的,并且在一些情况下可能蚀刻超过所期望的,或导致不期望的特征轮廓。随着特征尺寸缩小,对原子尺度处理(例如原子层蚀刻(ALE))的需求不断增长。原子层蚀刻是名义上自限步骤的循环工艺,其导致膜厚度的数字和小的变化。该工艺的特征在于平滑性和保形性,以及在一些ALE的情况下的方向性。
ALE是用于先进半导体制造(例如,技术节点<10nm)的多步骤工艺,用于具有原子尺度深度分辨和控制的超薄材料层的覆盖物去除或图案定义蚀刻。ALE是一种使用顺序自限制反应去除薄层材料的技术。一般而言,可使用任何合适的技术执行ALE。原子层蚀刻技术的示例在2014年11月11日公告的美国专利No.8,883,028和2014年8月19日公告的美国专利No.8,808,561中进行了描述,这些专利在此通过引用并入本文,以用于描述示例性原子层蚀刻和蚀刻技术的目的。在多个实施方案中,ALE可以利用等离子体进行,或者可以利用热方式进行。
ALE可以循环进行。“ALE循环”的构思与本文的多个实施方案的讨论相关。通常,ALE循环是用于实施一次蚀刻工艺(例如蚀刻单层)的最小的一组操作。一个循环的结果是蚀刻在衬底表面上的至少一些膜层。通常,ALE循环包括形成反应层的改性操作,随后是仅去除或蚀刻此改性层的去除操作。该循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送反应物气体,(ii)从室清扫反应物气体,(iii)输送去除气体和任选的等离子体,以及(iv)清扫室。在一些实施方案中,可以非保形地执行蚀刻。图1A显示了ALE循环的两个示例性示意图。图171a-171e示出了通用的ALE循环。在171a中,提供衬底。在171b中,使衬底的表面改性。在171c中,准备下一步。在171d中,蚀刻改性层。在171e中,去除改性层。类似地,图表172a-172e示出了用于蚀刻硅膜的ALE循环的示例。在172a中,提供硅衬底,其包括许多硅原子。在172b中,将反应物气体氯引入衬底,这使衬底的表面改性。172b中的示意图显示,作为一个示例,一些氯被吸附到衬底的表面上。尽管图1A中描绘了氯,但是可以使用任何含氯化合物或合适的反应物。在172c中,从室中清扫反应物气体氯。在172d中,去除气体氩以定向等离子体引入,定向等离子体如由Ar+等离子体物质和箭头所表示,并且进行离子轰击以去除衬底的改性表面。在此操作期间,向衬底施加偏置以将离子吸引向衬底。在172e中,清扫室并去除副产物。
循环可以仅部分蚀刻约0.1nm至约50nm的材料,或介于约0.1nm和约20nm之间的材料,或介于约0.1nm和约2nm之间的材料,或介于约0.1nm和约5nm之间的材料,或介于约0.2nm和约50nm之间的材料,或介于约0.2nm和约5nm之间的材料。在循环中蚀刻的材料量可以取决于以自限制方式蚀刻的目的。在一些实施方案中,ALE循环可以去除少于单层的材料。
ALE工艺条件,例如室压强、衬底温度、等离子体功率、频率和类型以及偏置功率,取决于待蚀刻的材料、用于修改待蚀刻材料的气体的组成、待蚀刻材料下面的材料以及用于去除改性材料的气体的组成。然而,这些因素的组合使得执行ALE以蚀刻各种材料具有挑战性。
鉴于集成电路加工中引入的新材料数量不断增加以及工艺参数(气体压强、晶片温度、等离子体功率、离子能量等)的大量组合,因而实现不溅射但是也以逐层自限制方式进行蚀刻的ALE工艺是具有挑战性的。需要一种普遍适用的工具来执行ALE工艺开发。此外,具有预测ALE性能甚至适用性的能力将集中研究和开发成为最有希望的用于ALE的候选材料的材料。
本文提供了一种基于用于待蚀刻材料的“ALE协同作用”标准来执行“设计者”ALE的方法。所公开的实施方案允许人们使用ALE协同标准来设计ALE工艺,以实现材料的自限性去除,同时减少待蚀刻材料的溅射,或者实现待蚀刻材料下面的材料的自限性去除,同时减少待蚀刻材料下面的材料的溅射。替代地,对于现有的处理工具和成组的可访问的工艺参数,所公开的实施方案允许人们预测是否可以使用ALE去除给定的材料,并且如果是的话,则预测蚀刻的预期质量。另外,所公开的实施方案可通过设计一种待蚀刻的材料而另一种材料在相同的条件下不被蚀刻而用于最大化材料之间所需的蚀刻选择性。
所公开的实施方案适用于多种类型的材料,这些材料包括半导体(例如,硅、锗、硅锗(SiGe)、氮化镓(GaN);金属(例如,钨、钴、铜、钽);电介质(例如,氧化硅(SiO2),氮化硅(SiN));和可灰化的硬掩模,例如碳。所公开的实施方案也适用于蚀刻诸如氮化物和氧化物之类的化合物。它可用于开发新的或改进的单元或集成工艺以及单独的或者群集的硬件。该方法可以通过适当的计算机软件实现,该计算机软件用于离线使用,或者嵌入用于配方开发,过程认证或过程控制的处理工具中。
该方法是普遍适用的,但可以针对给定的材料-处理工具组合进行定制,从而有助于其自身实现为计算机算法。基于原子能量考虑因素,该方法具有固有的可扩展性,并且可以应用于当前和未来的设备技术节点。它预测ALE工艺的工作情况或相对于理想状态的工作情况如何的能力是该方法的另一个好处。
所公开的实施方案适合于在集成电路技术中执行用于感兴趣的广泛类型的材料(例如,诸如硅、锗、氮化镓之类的半导体;诸如钽、钨、钴之类的金属;诸如氧化硅之类的电介质,以及如无定形或类金刚石碳之类的可灰化的硬掩模材料)的ALE。在以下讨论中,提供了用于硅的ALE(例如通过交替Cl2等离子体和Ar+轰击进行)和用于钽的ALE的非限制性示例。
ALE涉及将蚀刻工艺分成两个(或更多个)单独的步骤:修改(操作A)和去除(操作B)。例如,改性操作步骤修改表面层,使得在去除操作期间可以容易地去除它。每个循环去除一层薄的材料,其中循环包括修改和去除,并且可以重复该循环直到达到所需的深度。协同作用意味着由于操作A和B的相互作用而发生有利的蚀刻。在ALE中,操作A和B在空间或时间上分开。
由于操作A和B的相互作用而发生有利的原子层蚀刻,并且使用以下“ALE协同作用”标准来量化协同相互作用的强度和影响。ALE协同作用的计算方法是:
Figure BDA0002171260020000091
其中EPC(“每循环蚀刻”)是在一个ALE循环中去除的衬底材料的厚度,通常是许多循环的平均值,并且A和B是独立修改和去除操作对EPC的贡献,分别通过独立执行这些操作为参考点来测量。
协同作用是一种捕获ALE行为的许多方面的测试,非常适合比较不同的ALE条件或系统。这是为什么在操作A的反应物被消耗之后停止在操作B中的蚀刻的基本机制。因此,它导致ALE益处(例如深宽比独立性、均匀性、平滑性和选择性)的自限制行为。
图1B是针对硅示出的ALE协同作用的示意图。举例来说,考虑使用氯化操作A和氩离子轰击操作B进行的硅的ALE。如果整个ALE工艺去除1nm/循环,但仅0.1nm/循环来自单独的A,并且仅0.1nm/循环来自单独的B,那么协同作用是80%。与低于约60%的低协同作用工艺相比,高协同作用工艺可能具有高于约90%的协同作用。
所公开的实施方案被构造成实现具有高协同作用的ALE工艺-理想的是协同作用为100%的ALE工艺。考虑到实际考虑因素,例如工艺条件的可达范围、晶片产量要求等,这种理想可能无法在所有情况下实现。但是,对于小于理想值100%的协同效应的容差将取决于应用和技术节点并且可能每一代后续技术都需要更高水平的理想值。
用于设计具有高协同作用的ALE工艺的所公开的实施方案基于实现表征整个ALE工艺的五个定义能量与实现具有接近100%的协同作用的蚀刻要克服的能垒之间的分层关系。
这种关系如下:
EmodA<EdesB<EO (方程2)
用大写E写入的三种能量(EO、Emod和Edes)由待蚀刻材料和反应物的性质决定。
EO是未改性材料的表面结合能,并且是保持原子不被从表面去除的内聚力。值通常根据升华热估计,并且通常在每个原子2-10eV的范围内。
Emod是使表面改性的吸附能垒,并且根据需要升高以离解反应物或重组表面原子。当使用等离子体将反应物离解成自由基时,例如在硅的等离子体氯化过程中,该能垒可以忽略不计。
解吸能垒Edes是用于从改性表面去除副产物的能量。例如,在硅的ALE中,副产物可以是具有约2.9eV解吸能的SiCl2(g)。该能垒与挥发性和热解吸温度有关。
E的实验值可以在化学物理手册和已发表的科学论文中找到,也可以根据从头计算(ab initio calculations)获得。举例来说,对于利用Ar+离子/Cl2的硅ALE,Emod=0.3eV<Edes~2.9eV<EO=4.7eV。
εA和εB分别是操作A和B中周围环境中的能量。就速率而言,如果与能垒相比所传递的能量足够高,则将进行给定的反应。这种能量可以通过适当的高能离子、电子等的通量(允许定向能量源的可能性)提供或通过热方式利用针对温度依赖性的阿伦尼斯(Arrhenius)型关系来提供(即,速率是e-E/kT或由e-E/kT表征)。
εA和εB取决于设备和工艺条件,并且在可访问的硬件和工艺参数范围内,选择为给定材料系统提供高协同作用的ALE蚀刻。
关于温度,将平均温度增加少量可以显著增加输送的能量。例如,满足Maxwell-Boltzmann分布的气体具有平均能量<E>=3/2kT。将温度从例如25℃(300K)的室温升至325℃(600K)将使<E>翻倍。然而,分布指数尾部的高能原子的增加将增加远远超过两倍-在这种情况下,E>1eV的原子数量增加了近十亿倍。
离子去除速率的能量依赖性取决于离子能量相对于阈值能量的平方根,其比例常数与表面结合能E0成反比。由于大部分入射离子的动能在原子-原子碰撞中作为热量消散,因此使用约为能垒能量20倍的离子能量为ALE提供足够的能量来源。例如,使用大于约50eV的入射离子能量可以克服2.5eV的能垒,因为在用晶片晶格热化之后,约95%的入射离子将不可用于驱动ALE工艺。
方程(2)中不等式的顺序表明当在操作A中没有解吸的情况下发生吸附时,并且当在操作B中没有去除未改性的材料的情况下发生解吸时,发生最高的协同作用。这种关系代表ALE工艺的能量“窗”。因此,EO和Emod设定了不等式的上限和下限,因此它们的能量差越大,纬度(latitude)越大的一者就越需要获得足够的协同作用。
方程(2)中所示的E和ε(epsilons)的相互关联性质强调以下事实:ALE工艺的成功不仅取决于材料-反应物组合(E)的性质,而且取决于为了满足高协同作用的标准而对反应器条件(ε)和能量的选择。此外,吞吐量也是一个因素,因为克服能垒取决于操作时间的限制-类似于化学反应可能在热力学上有利的情况(即吉布斯自由能变化大而且是负的)但其中动力学是使得反应时间不切实际地长。
所公开的实施方案还可以用于通过设计一种材料蚀刻而另一种材料在相同的条件下不蚀刻来设计材料之间的蚀刻选择性。考虑到难以实现(例如在衬底和掩模层之间,在待蚀刻的材料与下面的蚀刻停止层之间等的)高蚀刻选择性,这是该方法的潜在益处。
可以为原子层沉积(ALD)开发与方程(1)和(2)类似的形式以及基于第一原理能量因素的类似方法,因为ALD和ALE是类似的顺序的、自限制的、原子化的工艺。在一些实施方案中,ALD和ALE可以在用于制造半导体器件的一系列操作中组合。例如,关于ALD和ALE的集成的进一步描述在2017年2月21日授权的名称为“INTEGRATING ATOMIC SCALE PROCESSES:ALD(ATOMIC LAYER DEPOSITION)AND ALE(ATOMIC LAYER ETCH)”的美国专利No.9,675,811中有所描述,该专利通过引入整体并入本文。
图2提供了描绘用于选择以下项的操作的工艺流程图:待蚀刻的材料,用于使用ALE蚀刻的反应物,以及使用ALE有效蚀刻而不溅射待蚀刻的材料也不溅射任何下伏材料并且没有以非自限制方式过快地蚀刻材料的工艺条件。
在操作299中,识别用于原子层蚀刻的工艺条件。示例性工艺条件包括温度、室压强、等离子体功率、偏置功率、改性气体流量和暴露时间。这些工艺条件可以是在改性期间或在去除期间或在两者期间使用的工艺条件。示例性工艺条件包括用于执行将衬底暴露于改性气体的衬底温度,以及用于在将改性表面暴露于去除气体期间施加偏置的偏置功率,其如下所述在操作211中执行。
在操作201中,确定EO。EO设定了方程2中给出的能量不等式的上能量边界。EO取决于材料的选择,因此实际上选择EO相当于选择要蚀刻的材料。如果要确定选择材料,可以选择具有尽可能大的能量EO的材料,因为这将提供实现高协同作用的最大工艺窗。
在操作203中,选择用于蚀刻在操作201中选择的材料的反应物或改性气体。该选择将决定Emod和Edes的值,具体取决于改性气体与待蚀刻膜的相互作用。Emod的值应该足够小,以便为εA和εB的选择提供灵活性,但足够大以使反应物反应但不解吸(Edes>Emod)。这些值可以通过从头计算或使用Arrhenius方程的实验测试(对于Emod)以及通过波动率测量、从头计算或热解吸温度(对于Edes)来估计。
在操作205中,选择能量输送模态,使得模态确定εA和εB的值,由此EmodA<EdesB<EO。这些εA和εB值代表传递到表面的有用能量(例如离子、光子或电子的能量通量,化学能等)或可从周围环境获得(例如衬底或等离子体温度)的有用能量。在多种实施方案中,εA表示在改性操作(操作A)期间施加的能量,其足以使衬底改性(EmodA),但足够低以防止改性气体与表面反应(εA<Edes)。在多种实施方案中,εB表示在去除操作(操作B)期间施加的能量,其足以去除改性的表面(EdesB),并且足够低以防止待蚀刻的材料的溅射(εB<EO)。对于任何给定的材料,取决于改性气体,Emod和Edes可以变化。
在使用Cl2作为改性气体且Ar+作为去除气体的对硅的ALE的情况下,εA可以通过Cl2(热)或Cl2等离子体的温度来确定,而εB可以通过由Ar离子传递的有用的能量来确定。例如,如果使用等离子体,则这可以影响反应途径(并因此影响Emod和Edes),并且可以选择不同的改性气体。在多种实施方案中,用于操作A的能量或εA通过在改性操作期间改变衬底的温度来调制,而用于操作B的能量或εB通过在去除操作期间改变等离子体条件来调制(例如等离子体功率或偏置功率)。因此,为了以自限制方式实现ALE,如果Emod和Edes之间的范围小,则用于执行改性操作而不引起解吸的温度范围小,并且如果Emod和Edes之间的范围大,则用于执行改性操作而不引起解吸的温度范围大。如果Edes和EO之间的范围小,则用于执行改性操作而不溅射的工艺条件的范围小,而如果Edes和EO之间的范围大,则用于执行改性操作而不溅射的工艺条件的范围大。
在操作207a中,测量所得ALE工艺的协同作用,并且在操作207b中,修改ALE工艺条件以进一步增加协同作用,同时仍然满足五个能量中的方程2。可以利用一系列值并测量单个的和协同的蚀刻速率以计算协同作用。例如,如果使用Ar+离子轰击,则可以给晶片施加偏置并运行通过一系列离子能量(例如10-100eV)。这可用于确定协同作用最高的偏置窗。
在一些实施方案中,如果确定的协同作用不是期望值,则可以重复操作201。在一些实施方案中,可以重复执行操作203和205以评估能量输送模态,从而选择具有期望的协同性质的改性气体。
在操作209中,将衬底暴露于在操作203中选择的改性气体,以基于所选择的工艺条件使衬底的表面改性。
在操作211中,使用诸如在操作207b中修改的偏置功率之类的工艺条件将修改的表面从衬底去除,以最大化协同作用。在一些实施方案中,重复操作209和211。
表1显示了使用各种改性气体进行改性操作和氩等离子体进行去除的对各种材料进行的ALE的示例性协同作用。
表1
Figure BDA0002171260020000131
Figure BDA0002171260020000141
使用钽作为使用图2的操作确定如何调制钽ALE的工艺条件的演示示例。在操作201中,通过计算钽的表面结合能来确定EO的值。采用文献值来评估钽的表面结合能。
在操作203中,基于Emod和Edes选择反应物。例如,如果在改性过程中使用等离子体,则吸附能垒(Emod)取为约0。通过估算文献中发现的一些反应物质系统的热解吸温度来确定Edes。在操作205中针对εA和εB确定能量输送模态。然后在操作207a中计算协同作用,并且如果在操作207b中需要,则修改工艺条件。应当理解,在多种实施方案中,任何一种或多种惰性载气(例如N2、Ar、Ne、He或其组合)可以在任何改性或去除操作过程中流动。另外,在一些实施方案中,对于ALE循环,室可以在改性之后或在去除之后或者在两者之后被清扫。在一些实施方案中,ALE循环包括改性、清扫、去除和吹扫。清扫会涉及打扫气体,其可以是在其他操作中使用的载气或不同的气体。在一些实施方案中,清扫可包括抽空室。
图3示出了相对于其他元素材料的ALE,当使用氯作为改性气体并且氩气用作去除气体时,钽ALE如何基于相对吸附、解吸和表面结合能起作用的示例。表面结合能EO(黑色三角形)由文献值确定,如图4所示,其在下面进一步描述。由于使用等离子体,因此吸收能垒Emod(条纹阴影三角形)取为约0。从解吸温度推断出解吸能Edes
对于图3中提供的所有示例,Emod取为约0eV。对于硅,SiCl2的解吸温度为650℃,并且对于使用氯的蚀刻,从该温度推断Edes为约2.3eV(当硅表面被氯改性时形成副产物SiCl2)。硅的表面结合能为4.7eV。
对于锗,GeCl2的解吸温度为350℃,并且从该温度推断Edes为介于1和2eV之间(以在通过氯使锗表面改性时形成副产物GeCl2)。锗的表面结合能为3.8eV。
对于钨,使用氯作为改性气体从约800℃的解吸温度推断出的Edes约为3eV(以在钨表面被氯改性时形成副产物WCl5)。钨的表面结合能为8.8eV。
对于碳,使用氧作为改性气体从约850℃的解吸温度推断出的Edes为约3eV(以在碳表面被氧改性时形成副产物CO)。石墨碳的表面结合能为7.4eV。
对于钽,使用氯作为改性气体从约23℃的解吸温度推断出的Edes为约1.5eV(以在钽表面被氯改性时形成副产物TaCl5)。钽的表面结合能为8.1eV。
对于这些反应物-材料系统,解吸能垒(白色三角形)的相对值基于在文献中发现的热解吸温度估算。图3中所示的温度是热解吸温度。钽ALE的能垒建议在改性过程中使用低温来抑制氯与钽的反应,并且在去除操作期间使用关于离子能量的大的窗。这是因为Emod和Edes之间的窗非常小,并且考虑到解吸温度250℃时的解吸能量非常小,因此钽的ALE的处理温度应该低,以确保在改性操作期间使用的能量(操作A)在这个小窗内,以防止氯以非自限制方式与钽反应。然而,考虑到Edes和EO之间的大的能隙,在去除操作(操作B)期间可以使用宽范围的离子能量,而在钽的高表面结合能下没有钽表面溅射的风险。
图4显示了元素材料的如通过升华的热量所确定的表面结合能。根据该图,碳和难熔金属(W、Ta、Re、Nb、Mo等)是ALE的良好候选者。在表面结合能大于约6eV的其他材料中,钽特别有用,因为该材料在BEOL处理中用作金属化中的阻挡层/衬里的一部分。基于表面结合能,对钽的ALE应该工作良好。
图5A-5C示出了ALE中材料趋势的概述。如上所述,趋势表明具有高表面结合能的其他材料是ALE的良好候选者。在图5A中,窗的上边缘或溅射阈值(如在能量下,材料将被溅射而不是被改性)相对于表面结合能EO绘制。如图所示,随着表面结合能增大,窗的上边缘增大。窗的上边缘越高,使得可用于使材料改性而不溅射它的能量的范围更宽。
图5B显示了通过方程1计算的与表面结合能EO成函数关系的协同作用。如图所示,随着表面结合能增大,协同作用增大。这些表明高表面结合能材料更可能具有高协同效应,因此是ALE的良好候选者。
图5C示出了与表面结合能EO成函数关系的以nm/循环为单位的每循环蚀刻量(EPC)。如图所示,随着表面结合能增大,每循环蚀刻量减少。也就是说,每循环蚀刻的材料更少。这表明高表面结合能材料能够针对通过ALE进行的逐层自限蚀刻被更加严密地控制,而低表面结合能材料更可能通过ALE更快地蚀刻。
对于钽的示例,在多种实施方案中,根据某些公开的实施方案,可以使用ALE蚀刻钽。例如,在识别EO、Edes和Emod以使用氯(作为示例性改性气体)来蚀刻钽时,可以使用以下示例方法蚀刻具有钽的衬底。
图6示出了根据某些公开的实施方案的对钽的原子层蚀刻执行的操作的示例性工艺流程图。如上所述,在确定钽的协同作用之后,可以通过切换工艺条件来实现对钽的原子层蚀刻。
在操作601中,将具有钽的衬底提供给处理室。衬底可以是硅晶片,例如200mm晶片、300mm晶片或450mm晶片,包括上面沉积有一层或多层材料的晶片,所述材料例如电介质、导电或半导电材料。图案化衬底可具有诸如通孔或接触孔之类的“特征”,其可在于窄和/或凹入开口、特征内的收缩部和高深宽比中的一个或多个表征。特征可以形成在上述层中的一个或多个中。特征的一个示例是半导体衬底中或该衬底上的层中的孔或通孔。另一个示例是衬底或层中的沟槽。在多种实施方案中,特征可具有下层,例如阻挡层或粘附层。下层的非限制性示例包括介电层和导电层,例如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。在多种实施方案中,衬底包括钽或钽衍生物。在一些实施方案中,衬底包括氮化钽,或两层或更多层钽和/或氮化钽。
在操作603中,将衬底暴露于改性气体以在低衬底温度下使钽的表面改性。在该操作期间,或在引入气体之前但在将衬底提供到处理室之后,将衬底冷却至低温,低温为0℃、约0℃或小于约0℃的温度,例如介于-30℃和约0℃之间。
改性气体使钽的表面改性,使得在改性期间施加的能量(例如低温)实现在改性能量(足以改变表面的能量)和解吸能量之间的能量。温度保持较低以防止改性气体与钽反应,因为这种反应会阻止原子层蚀刻的自限性行为被执行。例如,在约60℃的温度下,当暴露于氯气时会发生钽的蚀刻,因此不会导致ALE工艺。
在多种实施方案中,可调节改性气体流以改变引入到衬底的改性气体的量。可以将衬底暴露于改性气体持续任何合适的暴露时间。在一些实施方案中,将衬底暴露持续足够的暴露时间以将改性气体吸附到钽的表面上。在一些实施方案中,暴露时间为至少约1秒,或约1秒,或约2秒。
在一些实施方案中,在操作603期间,还点燃等离子体以形成钽的改性表面。等离子体通过更快的吸附动力学来增加吸附时间。例如,等离子体通过将改性气体转化为自由基来降低能垒Edes。在一些实施方案中,在该操作期间可以产生基于氯的等离子体。由基于氯的等离子体产生的物质可以通过在容纳衬底的处理室中形成等离子体而原位产生,或者它们可以在不容纳衬底的处理室(例如远程等离子体发生器)中远程产生,并且可以被供应到容纳衬底的处理室中。在多种实施方案中,等离子体可以是电感耦合等离子体或电容耦合等离子体或微波等离子体。用于电感耦合等离子体的功率可以设定在约50W和约2000W之间,例如约900W。可以将功率设置在足够低的水平,以便不引起衬底的直接等离子体蚀刻。
在改性操作中,可以使用含卤素化学物质使衬底改性。例如,可以通过将氯引入室中来氯化衬底。在公开的实施方案中,氯被用作示例性改性化学物质,但是应当理解,在一些实施方案中,将不同的改性化学物质引入室中。示例包括溴、碘、六氟化硫、四氟化硅和三氯化硼(BCl3)。
在操作605中,任选地清扫室。在清扫操作中,可以从处理室中去除非表面结合的活性氯物质。这可以通过清扫和/或抽空处理室以去除未吸附的改性化学物质而不去除被吸附的层来完成。在基于氯的等离子体中产生的物质可以通过停止等离子体并允许剩余物质衰变(任选地与室的吹扫和/或抽空相结合)来去除。可以使用任何惰性气体如N2、Ar、Ne、He及其组合进行吹扫。
在操作607中,将衬底暴露于去除气体并点燃等离子体以去除改性表面。在多种实施方案中,去除气体是氩气。在一些实施方案中,可以使用氖气或氪气。在去除操作中,衬底可以暴露于能量源(例如,激活或离子轰击气体或诱导去除的化学反应物质),例如氩气或氦气,以通过提供足以解吸经修性的钽表面但不足以溅射钽的能量使得能量小于表面结合能量来蚀刻衬底。在一些实施方案中,去除可以是各向同性的。在一些实施方案中,可以通过提高衬底温度来去除操作607中的改性表面,但是这种去除是各向同性的。例如,在一些实施方案中,使用热量的去除可以用于解吸,但是这种去除可以是各向同性的。
与较低温度相比,估计的解吸速率在较高温度下较低,因此在多种实施方案中,点燃等离子体以增加解吸速率。从等离子体产生的离子使得能使用各向异性蚀刻在低温下进行去除。使用离子允许蚀刻替代技术以蚀刻以定向执行蚀刻以及执行不依赖于Arrhenius速率定律的蚀刻工艺。在一些实施方案中,在操作607和603中的至少一个期间施加偏置以帮助通过原子层蚀刻进行的去除。应当理解,由于碰撞而发生大量能量损失,例如约90%的能量损失,因此通过施加偏置进行的各向异性蚀刻有助于克服能量损失以有效地去除改性的钽层。
在去除期间,可任选地施加偏置以促进定向离子轰击。选择偏置功率以防止溅射,但允许去除气体进入特征并蚀刻在特征的开口处或附近的钨,从而打开它。可以根据激活的去除气体对衬底上沉积的金属的阈值溅射产率来选择偏置功率。这里使用的溅射可以指物理去除衬底表面中的至少一些。离子轰击可以指物质对衬底表面的物理轰击。
在操作609中,任选地清扫室以从室去除反应的副产物。可以使用如上关于操作605所述的任何气体或技术来清扫室。
如图所示,在一些实施方案中,可以根据需要任选地重复操作603-609,以从衬底蚀刻所需量的钽。
装置
现在描述在某些实施方案中可适用于原子层蚀刻(ALE)操作的感应耦合等离子体(ICP)反应器。这样的ICP反应器还描述在2013年12月10日提交的并且名称为“IMAGEREVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中,其在此通过引用整体并入本文并用于所有目的。尽管本文描述了ICP反应器,但是应该理解的是,在一些实施方案中也可以使用电容耦合等离子体反应器。
图7示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体蚀刻装置700的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的Lam Research Corp.生产。所述感应耦合等离子体装置700包括由室壁701和窗711结构上限定的总处理室701。室壁701可以由不锈钢或铝制成。窗711可以由石英或其他介电材料制成。任选的内部等离子体栅格750将总处理室701分为上副室702和下副室703。在大多数实施方案中,等离子体栅格750可以被移除,从而利用由副室702和703构成的室空间。卡盘717定位在下副室703中在底部内表面附近。卡盘717被配置成接收和保持在其上执行蚀刻和沉积工艺的晶片719。卡盘717可以是当晶片719存在时用于支撑晶片719的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘717,并具有大致与晶片719(当晶片存在于卡盘717上方时)的顶面在同一平面的上表面。卡盘717还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片719使其离开卡盘717。卡盘717可以用RF功率源723充电。RF功率源723通过连接件727被连接到匹配电路721。匹配电路721通过连接件725连接到卡盘717。以这种方式,RF功率源723被连接到卡盘717上。
用于等离子体产生的元件包括位于窗711上方的线圈733。在一些实施方案中,所公开的实施方案中未使用线圈。线圈733由导电材料制成,并包括至少一整匝。在图7中所示的线圈733的示例包括三匝。线圈733的横截面用符号示出,具有“X”符号的线圈表示线圈733旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈733的RF功率源741。一般地,RF功率源741通过连接件745被连接到匹配电路739。匹配电路739通过连接件743连接到线圈733。以这种方式,RF功率源741被连接到线圈733。任选的法拉第屏蔽件749被定位在线圈733和窗711之间。法拉第屏蔽件749以相对于线圈733成隔开的关系被保持。法拉第屏蔽件749被设置在窗711的正上方。线圈733、法拉第屏蔽件749和窗711各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室701的介电窗上。
工艺气体(例如氯气、氩气、氧气等)可以通过位于上室702中的一个或多个主气体流入口760和/或通过一个或多个侧气体流入口770流入处理室701。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵740,可用于将工艺气体从处理室701抽出并维持处理室701内的压强。例如,该泵可用于在ALE清扫操作过程中排空室701。阀控制的导管可用于使真空泵流体连接在处理室701上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口760和/或770供给。在某些实施方案中,工艺气体可以仅通过主气体流入口760供给,或者仅通过侧气体流入口770供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件749和/或任选的栅格750可以包括使工艺气体能输送至室701的内部通道和孔。法拉第屏蔽件749和任选的栅格750中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于室701的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口760和/或770引入到室701中。示例性液体前体包括SiCl4和硅酰胺。
射频功率从RF功率源741供给到线圈733以使RF电流流过线圈733。流过线圈733的RF电流产生围绕线圈733的电磁场。电磁场产生在上副室702内的感应电流。所生成的各离子和自由基与晶片719的物理和化学相互作用选择性地在晶片上蚀刻特征和沉积层。
如果使用等离子体栅格使得存在上副室702和下副室703二者,则感应电流作用于存在于上副室702中的气体上以在上副室702中产生电子-离子等离子体。任选的内部等离子体栅格750限制下副室703中的热电子的量。在一些实施方案中,设计和操作所述装置使得存在于下副室703中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子:阳离子比率。挥发性的蚀刻和/或沉积的副产物可通过端口722从下副室703去除。本文公开的卡盘717可以在范围介于约-200℃和约600℃之间或约-20℃和约250℃之间的温度下操作,以处理衬底以蚀刻钽,卡盘717可以设定在低于约0℃的温度。温度取决于工艺操作和具体配方以及所使用的工具。
室701当安装在干净的房间或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供工艺气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到室701。此外,室701可耦合在传送室上,从而允许使用典型的自动化由机器人进出室701传送半导体晶片。
在一些实施方案中,系统控制器730(其可包括一个或多个物理或逻辑控制器)控制处理室的一些或所有操作。系统控制器730可包括一个或多个存储器器件和一个或多个处理器。在一些实施方案中,所述装置包括当进行所公开的实施方案时用于控制流速和持续时间的开关系统。在一些实施方案中,所述装置可具有高达约500ms或高达约750ms的切换时间。切换时间可取决于流动化学物质、配方选择、反应器的体系结构和其他因素。
在一些实现方式中,控制器730是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称作“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,系统控制器730可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器730可以被定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。在一些实施方案中,控制器730可以用于确定用于ALE的改性操作的温度窗,或者用于确定用于ALE的去除操作的工艺条件窗或两者。
在一些实现方式中,控制器730可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是晶片厂(fab)主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,该控制器730接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具。因此,如上所述,该控制器730可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图8描述了半导体工艺集群结构,其中各个模块与真空传送模块838(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的传送模块的配置可以被称为“集群工具架构”系统。气密室830(也被称为装载锁或传送模块)在具有四个处理模块820a-820d的VTM 838中示出,四个处理模块820a-820d可以被单独优化以执行各种制造工艺。例如,处理模块820a-820d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。衬底蚀刻处理模块中的一个或多个(820a-820d中的任意一个或多个)可以如本文所公开的被实施,即,用于引入改性气体,用于引入去除气体,以及根据所公开的实施方案的其它合适的功能。气密室830和处理模块820可以被称为“站”。每个站具有将站与VTM 838连接的小面836(facet 836)。在每个小面内部,传感器1-18被用于在衬底826在各站之间移动时检测衬底826的通过。
机械手822将晶片826在各站之间传输。在一个实施方案中,机械手822具有一个臂,而在另一实施方案中,机械手822具有两个臂,其中每个臂具有端部执行器824以拾取晶片(例如晶片826)以供运输。在大气传送模块(ATM)840中,前端机械手832用于从在负载端口模块(LPM)842中的晶片盒或前开式标准盒(FOUP)834传送晶片826到气密室830。处理模块820内的模块中心828是用于放置晶片826的一个位置。在ATM 840中的对准器844用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 842中的多个FOUP 834中的一个中。前端机械手832将晶片从FOUP 834传送到对准器844,其允许晶片826在被蚀刻或处理之前适当地居中。对准后,晶片826由前端机械手832移动到气密室830中。由于气密模块具有匹配ATM和VTM之间的环境的能力,因此晶片826能够在两种压强环境之间移动而不被损坏。从气密模块830,晶片826通过机械手822移动通过VTM 838并进入处理模块820a-820d中的一个。为了实现这种晶片移动,机械手822在其每一个臂上使用端部执行器824。一旦晶片826已被处理,则通过机械手822将其从处理模块820a-820d移动到气密模块830中。晶片826可以从这里通过前端机械手832移动到多个FOUP 834中的一个中或到对准器844。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图7所述的控制器可以用图8中的工具实施。
试验
图9A-9C示出了设计者ALE的示例。图9A显示了作为温度函数的操作A(改性),证实可以抑制0℃下的反应。在该设定点衬底温度下,图9C示出了基于两个实验的偏置扫描,两个实验都涉及在设计者ALE中用于改性的氯和用于去除的氩。圆形图表示针对40个ALE循环收集的数据。方形图表示针对25个ALE循环收集的数据。窗确认为约20eV-90eV。这个70eV窗是这里观察到的最大窗,而相比之下,锗ALE窗的宽度为10eV,并且该案例研究硅ALE窗的宽度为20eV。图9B进一步确认了时间上的自限性行为。总的来说,协同作用大于约94%,但可能受椭圆偏振误差的限制。总的来说,这种材料显示出高协同作用的ALE行为。这是令人惊讶的,因为钽在约60℃的温度下以不可控制的蚀刻方式反应太快,但在计算协同作用和相对能量值之后,在工具允许的情况下在约0℃或小于约0℃下(例如在-200℃至约0℃之间)进行钽的ALE,从而导致自限蚀刻。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方案,但是显而易见的是,可在所附权利要求的范围内实施某些变化和修改。应当注意的是,具有实施本发明实施方案的工艺、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (45)

1.一种蚀刻在衬底上的材料的方法,该方法包括:
识别使用改性气体和去除气体对材料进行的原子层蚀刻工艺的工艺条件;以及
通过以下方式对所述衬底上的所述材料执行所述原子层蚀刻工艺:
使所述衬底暴露于所述改性气体以使所述材料的表面改性,所述改性气体相对于待蚀刻的所述材料具有改性能量和解吸能量,以及
使经改性的所述表面暴露于所述去除气体并点燃等离子体以去除经改性的所述表面,
其中,所述改性能量小于所述解吸能量,所述解吸能量小于所述材料的表面结合能量。
2.根据权利要求1所述的方法,其中识别所述工艺条件包括选择用于执行所述使所述衬底暴露于所述改性气体的衬底温度,其中由所述衬底温度提供的能量介于所述改性能量和所述解吸能量之间。
3.根据权利要求1所述的方法,其中识别所述工艺条件包括选择偏置功率以在所述使经改性的所述表面暴露于所述去除气体期间施加偏置,其中由所述偏置提供的能量介于所述解吸能量与所述表面结合能量之间。
4.根据权利要求1所述的方法,其中选择所述改性气体以吸附到所述材料上而不蚀刻所述材料。
5.根据权利要求1所述的方法,其中选择所述去除气体以去除经改性的所述表面而不蚀刻下伏的未改性的材料。
6.根据权利要求1所述的方法,其中所述工艺条件选自温度、室压强、等离子体功率、偏置功率、改性气体流量和暴露时间。
7.根据权利要求1所述的方法,其还包括在工艺窗内修改所述工艺条件。
8.根据权利要求1所述的方法,其中所述材料选自硅、碳、钨和钽。
9.根据权利要求8所述的方法,其还包括在执行所述原子层蚀刻工艺之前将所述衬底冷却至低于约0℃的温度,其中所识别的所述工艺条件是温度,并且其中所述材料是钽。
10.根据权利要求9所述的方法,其中所述衬底在低于约0℃的衬底温度下暴露于所述改性气体。
11.根据权利要求9所述的方法,其中所述温度介于约-20℃和约0℃之间。
12.根据权利要求9所述的方法,其中所述衬底包括氮化钽。
13.根据权利要求1所述的方法,其中将偏置应用于所述使所述衬底暴露于所述改性气体和所述使经改性的所述表面暴露于所述去除气体中的至少一者。
14.根据权利要求1-13中任一项所述的方法,其中原子层蚀刻还包括在所述使所述衬底暴露于所述改性气体和所述使所述改性表面暴露于所述去除气体之间清扫容纳所述衬底的室。
15.根据权利要求14所述的方法,其中通过输送选自N2、Ar、Ne、He及其组合的惰性气体进行清扫。
16.根据权利要求1-13中任一项所述的方法,其中所述改性气体是含卤素的气体。
17.根据权利要求16所述的方法,其中所述改性气体是氯。
18.根据权利要求16的方法,其中改性气体选自溴、碘、六氟化硫、四氟化硅和三氯化硼(BCl3)。
19.根据权利要求1-13中任一项所述的方法,其中所述去除气体是惰性气体。
20.根据权利要求19所述的方法,其中所述去除气体是氖气或氪气。
21.一种蚀刻在衬底上的钽的方法,该方法包括:
提供包含钽的所述衬底;
将所述衬底冷却至低于约0℃的温度;以及
通过以下方式对所述钽进行原子层蚀刻:
使所述衬底暴露于改性气体以使所述钽的表面改性,以及
使经改性的所述表面暴露于去除气体并点燃等离子体以去除所述钽的经改性的所述表面。
22.根据权利要求21所述的方法,其中在低于约0℃的衬底温度下使所述衬底暴露于所述改性气体。
23.根据权利要求21所述的方法,其中所述温度介于约-20℃和约0℃之间。
24.根据权利要求21所述的方法,其中所述衬底包括氮化钽。
25.根据权利要求21所述的方法,其中将偏置应用于所述使所述衬底暴露于所述改性气体和所述使所述改性表面暴露于所述去除气体中的至少一者。
26.根据权利要求21-25中任一项所述的方法,其中原子层蚀刻还包括在所述使所述衬底暴露于所述改性气体和所述使所述衬底暴露于所述去除气体之间清扫容纳所述衬底的室。
27.根据权利要求26所述的方法,其中通过输送选自N2、Ar、Ne、He及其组合的惰性气体进行清扫。
28.根据权利要求21-25中任一项所述的方法,其中所述改性气体是含卤素的气体。
29.根据权利要求28所述的方法,其中所述改性气体是氯。
30.根据权利要求28的方法,其中改性气体选自溴、碘、六氟化硫、四氟化硅和三氯化硼(BCl3)。
31.根据权利要求21-25中任一项所述的方法,其中所述去除气体是惰性气体。
32.根据权利要求31所述的方法,其中所述去除气体是氖气或氪气。
33.一种用于处理衬底的装置,所述装置包括:
处理室,其包括喷头和用于保持具有材料的所述衬底的衬底支撑件,
等离子体发生器,和
控制器,其具有至少一个处理器和存储器,
其中,所述至少一个处理器和所述存储器彼此通信连接,
所述至少一个处理器与流量控制硬件至少能操作地连接,并且
所述存储器存储机器可读指令,所述机器可读指令用于:
致使识别使用改性气体和去除气体对材料进行的原子层蚀刻工艺的工艺条件;以及
致使通过以下方式对所述衬底上的所述材料执行所述原子层蚀刻工艺:
致使引入改性气体以使所述材料的表面改性,所述改性气体相对于待蚀刻的所述材料具有改性能量和解吸能量,以及
致使引入所述去除气体并产生等离子体以去除经改性的所述表面,
其中,所述改性能量小于所述解吸能量,并且所述解吸能量小于所述材料的表面结合能量。
34.根据权利要求33所述的装置,其中所识别的所述工艺条件选自温度、室压强、等离子体功率、偏置功率、改性气体流量和暴露时间。
35.根据权利要求33所述的装置,其中,所述机器可读指令还包括用于致使在处理窗内修改所述工艺条件的指令。
36.根据权利要求33所述的装置,其中,所述机器可读指令还包括用于在致使执行所述原子层蚀刻工艺之前致使将所述衬底支撑件温度设置为小于约0℃的温度的指令。
37.根据权利要求36所述的装置,其中,所述机器可读指令还包括用于在致使引入所述改性气体期间致使将所述衬底支撑件温度设定为低于约0℃的温度的指令。
38.根据权利要求36所述的装置,其中所述衬底支撑温度介于约-20℃和约0℃之间。
39.根据权利要求33所述的装置,其中,所述机器可读指令还包括用于在致使引入所述改性气体和致使引入所述去除气体中的至少一者期间致使偏置施加到所述衬底支撑件的指令。
40.根据权利要求33-39中任一项所述的装置,其中,用于执行原子层蚀刻的所述机器可读指令还包括用于在致使引入所述改性气体和致使引入所述去除气体之间致使对所述处理室进行清扫的指令。
41.一种用于处理衬底的装置,所述装置包括:
处理室,其包括喷头和用于保持所述衬底的衬底支撑件,
等离子体发生器,和
控制器,其具有至少一个处理器和存储器,
其中,所述至少一个处理器和所述存储器彼此通信连接,
所述至少一个处理器与流量控制硬件至少能操作地连接,并且
所述存储器存储机器可读指令,所述机器可读指令用于:
致使具有包含钽的所述衬底的所述衬底支撑件的温度被设定为低于约0℃的温度;以及
通过以下方式致使对所述钽执行原子层蚀刻:
致使引入改性气体以使所述钽的表面改性,以及
致使引入去除气体并产生等离子体以去除经改性的所述钽。
42.根据权利要求41所述的装置,其中,所述机器可读指令还包括用于在致使引入所述改性气体期间致使将所述衬底支撑件温度设定为低于约0℃的温度的指令。
43.根据权利要求41所述的装置,其中,所述衬底支撑温度介于约-20℃和约0℃之间。
44.根据权利要求41所述的装置,其中,所述机器可读指令还包括用于在致使引入所述改性气体和致使引入所述去除气体中的至少一者期间致使偏置施加到所述衬底支撑件的指令。
45.根据权利要求41-44中任一项所述的装置,其中,用于执行原子层蚀刻的所述机器可读指令还包括用于在致使引入所述改性气体和致使引入所述去除气体之间致使对所述处理室进行清扫的指令。
CN201780086828.5A 2016-12-19 2017-12-14 设计者原子层蚀刻和钽的原子层蚀刻 Active CN110741462B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662436286P 2016-12-19 2016-12-19
US62/436,286 2016-12-19
US201762532916P 2017-07-14 2017-07-14
US62/532,916 2017-07-14
US15/841,205 2017-12-13
US15/841,205 US10566212B2 (en) 2016-12-19 2017-12-13 Designer atomic layer etching
PCT/US2017/066470 WO2018118655A1 (en) 2016-12-19 2017-12-14 Designer atomic layer etching

Publications (2)

Publication Number Publication Date
CN110741462A true CN110741462A (zh) 2020-01-31
CN110741462B CN110741462B (zh) 2024-06-25

Family

ID=62556881

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780086828.5A Active CN110741462B (zh) 2016-12-19 2017-12-14 设计者原子层蚀刻和钽的原子层蚀刻

Country Status (6)

Country Link
US (4) US10566212B2 (zh)
JP (2) JP7209155B2 (zh)
KR (3) KR102617520B1 (zh)
CN (1) CN110741462B (zh)
TW (2) TWI811199B (zh)
WO (1) WO2018118655A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6936700B2 (ja) 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
CN111527591A (zh) * 2017-12-15 2020-08-11 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10998170B2 (en) * 2018-04-13 2021-05-04 Tokyo Electron Limited Method for ion mass separation and ion energy control in process plasmas
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10937659B2 (en) * 2019-04-09 2021-03-02 Tokyo Electron Limited Method of anisotropically etching adjacent lines with multi-color selectivity
EP3985714A4 (en) * 2019-06-13 2023-07-19 Tokyo Electron Limited ETCHING PROCESS AND ETCHING DEVICE
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
CN116569311A (zh) * 2020-07-19 2023-08-08 应用材料公司 使用掺杂硼的硅材料的整合工艺
JP2022164060A (ja) * 2021-04-15 2022-10-27 東京エレクトロン株式会社 エッチング方法及び処理装置
JPWO2022224412A1 (zh) * 2021-04-22 2022-10-27
JP7231683B1 (ja) 2021-08-30 2023-03-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2023058642A1 (ja) * 2021-10-06 2023-04-13 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) * 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
KR20240033327A (ko) 2022-09-05 2024-03-12 에스케이하이닉스 주식회사 리간드 교환반응을 이용한 원자층의 에칭방법
US11869747B1 (en) * 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241933A1 (en) * 1999-06-22 2005-11-03 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
US20070082508A1 (en) * 2005-10-11 2007-04-12 Chiang Tony P Methods for discretized processing and process sequence integration of regions of a substrate
US20160203995A1 (en) * 2015-01-12 2016-07-14 Lam Research Corporation Integrating atomic scale processes: ald (atomic layer deposition) and ale (atomic layer etch)
US20160308112A1 (en) * 2015-04-20 2016-10-20 Lam Research Corporation Dry plasma etch method to pattern mram stack

Family Cites Families (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
JPH07183256A (ja) * 1993-12-22 1995-07-21 Hitachi Ltd 原子層エッチング方法及び装置
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JP3331819B2 (ja) 1995-06-30 2002-10-07 ソニー株式会社 化合物半導体のプラズマエッチング方法
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
US6083413A (en) 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
JP2001255670A (ja) 2000-03-10 2001-09-21 Mitsubishi Electric Corp 微細レジストパターン形成方法および装置
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
KR100463237B1 (ko) 2000-06-28 2004-12-23 주식회사 하이닉스반도체 감광막패턴의 형성 방법
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
KR100878103B1 (ko) 2001-05-04 2009-01-14 도쿄엘렉트론가부시키가이샤 순차적 증착 및 에칭에 의한 이온화된 pvd
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US20030003374A1 (en) 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
AU2003223472A1 (en) 2002-05-14 2003-12-02 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP3878577B2 (ja) 2003-06-06 2007-02-07 株式会社東芝 半導体装置の製造方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
TW200428532A (en) 2003-06-03 2004-12-16 Silicon Integrated Sys Corp Method of modifying conductive wiring
KR100606532B1 (ko) 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
DE102006001253B4 (de) 2005-12-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
US8257987B2 (en) 2006-02-02 2012-09-04 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905993B1 (ko) 2007-09-13 2009-07-02 인하대학교 산학협력단 인듐옥사이드-징크옥사이드의 건식 식각 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
JP2011512037A (ja) 2008-02-08 2011-04-14 イルミテックス, インコーポレイテッド エミッタ層成形のためのシステムおよび方法
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR100925210B1 (ko) 2008-05-27 2009-11-06 한국전자통신연구원 건식 식각 공정을 이용한 산화물 박막 트랜지스터의 제조방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20100019233A (ko) 2008-08-08 2010-02-18 삼성전자주식회사 박막 트랜지스터 기판 및 이의 제조 방법
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
JP5128421B2 (ja) 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
JP4305574B1 (ja) 2009-01-14 2009-07-29 住友電気工業株式会社 Iii族窒化物基板、それを備える半導体デバイス、及び、表面処理されたiii族窒化物基板を製造する方法
JP5275094B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP4982582B2 (ja) 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
KR20130115085A (ko) 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
KR101340793B1 (ko) 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012050888A2 (en) 2010-09-28 2012-04-19 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
JP5964411B2 (ja) 2011-04-29 2016-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated コーティングプロセスにおいてフレキシブル基板をパッシベーションするためのデバイスおよび方法
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
KR101380835B1 (ko) 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2014078699A1 (en) 2012-11-16 2014-05-22 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
JP6367322B2 (ja) 2013-06-17 2018-08-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102394994B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
JP6347695B2 (ja) * 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US10265742B2 (en) 2013-11-25 2019-04-23 Applied Materials, Inc. Method for in-situ chamber clean using carbon monoxide (CO) gas utlized in an etch processing chamber
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
CN105917445B (zh) 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
EP3125274B1 (en) 2014-03-26 2018-09-12 Toray Industries, Inc. Method for manufacturing semiconductor device
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
JP6159757B2 (ja) * 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
SG11201707998TA (en) * 2015-03-30 2017-10-30 Tokyo Electron Ltd Method for atomic layer etching
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
WO2016161287A1 (en) 2015-04-02 2016-10-06 Tokyo Electron Limited Trench and hole patterning with euv resists using dual frequency capacitively coupled plasma (ccp)
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
KR102399578B1 (ko) * 2015-06-05 2022-05-17 램 리써치 코포레이션 GaN 및 다른 III-V 족 재료들의 원자층 에칭
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
TWI658512B (zh) 2016-02-23 2019-05-01 東京威力科創股份有限公司 原子層蝕刻用方法與系統
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR101853588B1 (ko) 2017-08-01 2018-04-30 성균관대학교산학협력단 반도체 소자, 광전 소자, 및 전이금속 디칼코게나이드 박막의 제조 방법
KR102485169B1 (ko) 2017-09-08 2023-01-09 삼성디스플레이 주식회사 표시 장치, 이의 제조 방법, 및 전극 형성 방법
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
WO2020023837A1 (en) 2018-07-26 2020-01-30 Tokyo Electron Limited Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
JP2023517291A (ja) 2020-03-06 2023-04-25 ラム リサーチ コーポレーション モリブデンの原子層エッチング

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241933A1 (en) * 1999-06-22 2005-11-03 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
US20070082508A1 (en) * 2005-10-11 2007-04-12 Chiang Tony P Methods for discretized processing and process sequence integration of regions of a substrate
US20160203995A1 (en) * 2015-01-12 2016-07-14 Lam Research Corporation Integrating atomic scale processes: ald (atomic layer deposition) and ale (atomic layer etch)
US20160308112A1 (en) * 2015-04-20 2016-10-20 Lam Research Corporation Dry plasma etch method to pattern mram stack

Also Published As

Publication number Publication date
KR20230145551A (ko) 2023-10-17
JP7320168B2 (ja) 2023-08-03
TW202245054A (zh) 2022-11-16
US10566213B2 (en) 2020-02-18
KR102589704B1 (ko) 2023-10-13
JP2022180585A (ja) 2022-12-06
KR20190089222A (ko) 2019-07-30
US10566212B2 (en) 2020-02-18
KR20190089231A (ko) 2019-07-30
CN110741462B (zh) 2024-06-25
TWI832325B (zh) 2024-02-11
US20180350624A1 (en) 2018-12-06
KR102617520B1 (ko) 2023-12-22
WO2018118655A1 (en) 2018-06-28
US11721558B2 (en) 2023-08-08
US20200118835A1 (en) 2020-04-16
JP7209155B2 (ja) 2023-01-20
US20180174860A1 (en) 2018-06-21
US20220093413A1 (en) 2022-03-24
TWI811199B (zh) 2023-08-11
TW201838026A (zh) 2018-10-16
US11239094B2 (en) 2022-02-01
JP2020502811A (ja) 2020-01-23

Similar Documents

Publication Publication Date Title
US11239094B2 (en) Designer atomic layer etching
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US10784086B2 (en) Cobalt etch back
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
US20200161139A1 (en) Integrating atomic scale processes: ald (atomic layer deposition) and ale (atomic layer etch)
KR102642011B1 (ko) 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant