TWI658512B - 原子層蝕刻用方法與系統 - Google Patents

原子層蝕刻用方法與系統 Download PDF

Info

Publication number
TWI658512B
TWI658512B TW106106060A TW106106060A TWI658512B TW I658512 B TWI658512 B TW I658512B TW 106106060 A TW106106060 A TW 106106060A TW 106106060 A TW106106060 A TW 106106060A TW I658512 B TWI658512 B TW I658512B
Authority
TW
Taiwan
Prior art keywords
substrate
containing gas
ale
metal oxide
oxide film
Prior art date
Application number
TW106106060A
Other languages
English (en)
Other versions
TW201738952A (zh
Inventor
坎達巴拉 N 泰伯利
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201738952A publication Critical patent/TW201738952A/zh
Application granted granted Critical
Publication of TWI658512B publication Critical patent/TWI658512B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明之實施例提供基板之原子層蝕刻(ALE, atomic layer etching)的方法。依據一實施例,該方法包含提供基板,且將該基板交替地暴露於含氟氣體及含鋁氣體以蝕刻該基板。依據一實施例,該方法包含提供含金屬氧化物膜的基板,將該基板暴露於含氟氣體以在該金屬氧化物膜上形成氟化的層,且之後,將該基板暴露於含鋁氣體以自該金屬氧化物膜移除該氟化的層。該等暴露步驟可交替地重複至少一次,以進一步蝕刻該金屬氧化物膜。

Description

原子層蝕刻用方法與系統
[相關申請案的交互參照]本申請案係關於並主張2016年2月23日申請之美國臨時申請案第62/298677號作為優先權母案,該申請案整體揭露內容併入本說明書中以供參照。
本發明係關於半導體生產及半導體元件的領域,而更具體而言,係關於薄膜的原子層蝕刻(ALE, atomic layer etching)。
隨著元件特徵部的尺寸持續縮減,準確控制精細特徵部的蝕刻成為重大的挑戰。對於高度縮減化的節點10nm(及以下)而言,元件需要原子層級的保真度或非常小的製程變異性。由於變異性所致,對元件效能會有重大的影響。就此而言,自限制及原子層級的處理方法(例如ALE)係變得必要。
本發明之實施例提供一種基板或基板上的薄膜之ALE的方法。依據一實施例,該方法包含提供基板,且將該基板交替地暴露於含氟氣體及含鋁氣體以蝕刻該基板。
依據一實施例,該方法包含提供含金屬氧化物膜的基板,將該基板暴露於含氟氣體以在該金屬氧化物膜上形成氟化的層,且之後,將該基板暴露於含鋁氣體以自該金屬氧化物膜移除該氟化的層。該等暴露步驟可交替地重複至少一次,以進一步蝕刻該金屬氧化物膜。
依據一實施例,該方法包含在製程腔室中於複數基板支撐體上配置含金屬氧化物膜的基板,其中該製程腔室包含圍繞該製程腔室中之旋轉軸而界定的處理空間,使該複數基板支撐體繞該旋轉軸旋轉,在第一處理空間中將該等基板暴露於含氟氣體以在該金屬氧化物膜上形成氟化的層,該第一處理空間係由繞該旋轉軸的第一夾角所界定,且在第二處理空間內將該等基板暴露於惰性氣氛,該第二處理空間係由繞該旋轉軸的第二夾角所界定。該方法更包含在該第三處理空間中將該等基板暴露於含鋁氣體以自該金屬氧化物膜移除該氟化的層,該第三處理空間係由繞該旋轉軸的第三夾角所界定,且該第三處理空間與該第一處理空間被該第二處理空間分隔開,在該第四處理空間內將該等基板暴露於惰性氣氛,該第四處理空間係由繞該旋轉軸的第四夾角所界定,且該第四處理空間與該第二處理空間被該第三處理空間分隔開,且藉由重複地旋轉該等基板通過該第一、第二、第三、及第四處理空間而將該等基板再次暴露於該含氟氣體及該含鋁氣體,以在該等基板其中各者上逐漸蝕刻該金屬氧化物膜。
針對先進半導體技術節點發展先進技術對於生產半導體元件造成空前的挑戰,其中此等元件需要針對蝕刻變異性之原子層級的生產控制。ALE係被半導體產業視為是習知連續蝕刻的替代方案。ALE係為使用循序自限制反應移除材料薄層的基板處理技術,且ALE被視為是前景最為看好的技術之一,以達到原子層級世代中必要的蝕刻變異性所需控制。
ALE係定義為使用循序自限制反應的膜蝕刻技術。其概念係類似於原子層沉積(ALD, atomic layer deposition),除了發生移除作用代替了第二吸附步驟,造成了逐層的材料移除(而非增加)以外。最簡單的ALE實施例係由兩循序的步驟所構成:表面改質(1)及移除(2)。改質會形成具有定義明確之厚度的薄反應性層,相較於未改質的材料,該薄反應性層之後較易被移除。該層的特徵為在材料最外部之層的化學組成及/或物理結構有急劇的變化梯度。移除步驟會移去經改質層的至少一部分,同時使下方基板保持完整,因此將表面「重整」為適用於下一蝕刻循環的狀態。材料的總移除量係由重複之循環的數目所決定。
本發明之實施例提供用於生產半導體元件的方法,而更具體而言,係關於使用含氟氣體及含鋁氣體的ALE。熟習本領域技術者將輕易瞭解,所說明的方法及設備可用於其他蝕刻氣體及薄膜。圖1依據本發明之實施例,係為處理基板的製程流程圖。製程流程100包含,在102中,提供基板,以及在104中,將基板交替地暴露於含氟氣體及含鋁氣體,以蝕刻基板或基板上之膜。可將基板加熱至例如100°C與400°C間的溫度。交替的暴露步驟係於無電漿激發的情況下進行,且可重複至少一次以進一步蝕刻基板。依據一實施例,基板包含金屬氧化物膜,該金屬氧化物膜係由該交替的暴露步驟所蝕刻。例如,含氟氣體可選自氟化氫(HF)及三氟化氮(NF3 )。在一範例中,含鋁氣體可包含有機鋁化合物。在一範例中,含鋁氣體可選自由下列各者所構成的群組:AlMe3 、AlEt3 、AlMe2 H、[Al(O-s -Bu)3 ]4 、Al(CH3 COCHCOCH3 )3 、AlCl3 、AlBr3 、AlI3 、Al(O-i -Pr)3 、[Al(NMe2 )3 ]2 、Al(i -Bu)2 Cl、Al(i -Bu)3 、Al(i -Bu)2 H、AlEt2 Cl、Et3 Al2 (O-s -Bu)3 、H3 AlNMe3 、H3 AlNEt3 、H3 AlNMe2 Et、及H3 AlMeEt2 。金屬氧化物膜可選自由下列各者所構成的群組:Al2 O3、HfO2 、TiO2 、ZrO2 、Y2 O3 、La2 O3 、UO2 、Lu2 O3 、Ta2 O5 、Nb2 O5 、ZnO、MgO、CaO、BeO、V2 O5 、FeO、FeO2 、CrO、Cr2 O3 、CrO2 、MnO、Mn2 O3 、RuO、及其組合。
圖2依據本發明之實施例,係為處理基板的製程流程圖。亦參照圖3A-3D,製程流程200包含,在202中,在製程腔室中提供含金屬氧化物膜302的基板300。例如,金屬氧化物膜302可選自由下列各者所構成的群組:Al2 O3 、HfO2 、TiO2 、ZrO2 、Y2 O3 、La2 O3 、UO2 、Lu2 O3 、Ta2 O5 、Nb2 O5 、ZnO、MgO、CaO、BeO、V2 O5 、FeO、FeO2 、CrO、Cr2 O3 、CrO2 、MnO、Mn2 O3 、RuO、及其組合。可將基板300加熱至例如100°C與400°C間的溫度。在204中,將基板300暴露於含氟氣體306,以在金屬氧化物膜302上形成氟化的層304。例如,含氟氣體可選自HF及NF3 。在206中,可利用惰性氣體(例如:氬(Ar)或氮(N2 ))來吹淨製程腔室,以移除過量的含氟氣體及反應副產物。
之後,在208中,將基板300暴露於含鋁氣體308,以與氟化的層304反應並移除氟化的層304。反應副產物包含揮發性物種,其係自基板300脫附,且被有效地泵抽出製程腔室。含鋁氣體可包含有機鋁化合物。在一範例中,含鋁氣體可選自由下列各者所構成的群組:AlMe3 、AlEt3 、AlMe2 H、[Al(O-s -Bu)3 ]4 、Al(CH3 COCHCOCH3 )3 、AlCl3 、AlBr3 、AlI3 、Al(O-i -Pr)3 、[Al(NMe2 )3 ]2 、Al(i -Bu)2 Cl、Al(i -Bu)3 、Al(i -Bu)2 H、AlEt2 Cl、Et3 Al2 (O-s -Bu)3 、H3 AlNMe3 、H3 AlNEt3 、H3 AlNMe2 Et、及H3 AlMeEt2 。金屬氧化物膜可選自由下列各者所構成的群組:Al2 O3、HfO2 、TiO2 、ZrO2 、Y2 O3 、La2 O3 、UO2 、Lu2 O3 、Ta2 O5 、Nb2 O5 、ZnO、MgO、CaO、BeO、V2 O5 、FeO、FeO2 、CrO、Cr2 O3 、CrO2 、MnO、Mn2 O3 、RuO、及其組合。
在210中,可利用惰性氣體來吹淨腔室以移除過量的含鋁氣體及反應副產物。如製程箭頭212所示,可重複交替的暴露步驟204-210至少一次,以進一步蝕刻金屬氧化物膜302。交替的暴露步驟204-210構成一ALE循環。
圖4依據本發明之實施例,係為處理基板的製程流程圖。製程流程400包含,在402中,在第一製程腔室中提供含金屬氧化物膜的基板。例如,金屬氧化物膜可選自由下列各者所構成的群組:Al2 O3、HfO2 、TiO2 、ZrO2 、Y2 O3 、La2 O3 、UO2 、Lu2 O3 、Ta2 O5 、Nb2 O5 、ZnO、MgO、CaO、BeO、V2 O5 、FeO、FeO2 、CrO、Cr2 O3 、CrO2 、MnO、Mn2 O3 、RuO、及其組合。可將基板加熱至例如約20°C與約400°C間的溫度。在404中,在第一製程腔室中將基板暴露於飽和量的含氟氣體,以與金屬氧化物膜反應並在該金屬氧化物膜上形成氟化的層。例如,含氟氣體可選自HF及NF3 。在406中,可利用惰性氣體(例如:Ar或N2 )來吹淨第一製程腔室,以移除過量的含氟氣體及反應副產物。
之後,在408中,將基板轉移至第二製程腔室以進一步處裡。可將基板加熱至例如約100°C與約400°C間的溫度。在410中,將基板暴露於含鋁氣體,以與氟化的層反應並形成反應產物。含鋁氣體可包含有機鋁化合物。在一範例中,含鋁氣體可選自由下列各者所構成的群組:AlMe3 、AlEt3 、AlMe2 H、[Al(O-s -Bu)3 ]4 、Al(CH3 COCHCOCH3 )3 、AlCl3 、AlBr3 、AlI3 、Al(O-i -Pr)3 、[Al(NMe2 )3 ]2 、Al(i -Bu)2 Cl、Al(i -Bu)3 、Al(i -Bu)2 H、AlEt2 Cl、Et3 Al2 (O-s -Bu)3 、H3 AlNMe3 、H3 AlNEt3 、H3 AlNMe2 Et、及H3 AlMeEt2 。在412中,使蝕刻產物自基板脫附。在414中,可利用惰性氣體(例如:Ar或N2 )來吹淨第二製程腔室,以移除過量的含鋁氣體及反應副產物。如製程箭頭416所示,可重複處理步驟402-414至少一次,以進一步蝕刻金屬氧化物膜。
圖5依據本發明之實施例,示意性地顯示用於處理基板的處理系統。處理系統501包含製程腔室500、用以支撐基板504的基板固持器502、用以排空製程腔室500的泵浦系統506、及用以將氣體輸送至製程腔室500中的噴淋頭508。可將基板504加熱至例如約20°C與約400°C間的溫度。氣體供應系統510及512係配置以將製程氣體供應至噴淋頭508。雖然圖5中未顯示,但處理系統501亦可配置以利用惰性氣體吹淨製程腔室。圖5中的例示性處理氣體包括含氟氣體及三甲基鋁(TMA, AlMe3 )氣體。處理系統501可配置以執行圖2中所描述的處理步驟,該等處理步驟係為將基板504交替地暴露於含氟氣體及含鋁氣體,其中該等交替暴露步驟係以惰性氣體吹淨來分隔。
圖6依據本發明之實施例,示意性地顯示用於處理基板的處理系統。處理系統601包含第一製程腔室600、用以支撐基板604的基板固持器602、用以排空第一製程腔室600的泵浦系統606、及用以將氣體輸送至第一製程腔室600中的噴淋頭608。氣體供應系統610係配置以將含氟氣體供應至噴淋頭608。處理系統601更包含第二製程腔室620、用以支撐基板624的基板固持器622、用以排空第二製程腔室620的泵浦系統626、用於在真空下於第一製程腔室600與第二製程腔室620間轉移基板的閘閥636、及用以將氣體輸送至第二製程腔室620中的噴淋頭628。氣體供應系統630係配置以將TMA氣體(或另一含鋁氣體)供應至噴淋頭628。雖然圖6中未顯示,處理系統601亦可配置以利用惰性氣體吹淨第一製程腔室600及第二製程腔室620。處理系統601可配置以執行圖4中所描述的處理步驟,其中可在第一製程腔室600中將含金屬氧化物膜的基板暴露於含氟氣體,之後可將該基板轉移至第二製程腔室620,並暴露於含鋁氣體。由於將基板暴露於飽和量的含氟氣體以及將基板暴露於含鋁氣體之步驟可能在不同的基板溫度下執行,使用兩分開的製程腔室600、620可容許基板604及624的獨立溫度控制。
圖7依據本發明之實施例,示意性地顯示用於處理基板的處理系統。用於處理複數基板44的批次處理系統10包含輸入/輸出站12、負載/鎖定站14、製程腔室16、及傳送腔室18,傳送腔室18係介設於負載/鎖定站14與製程腔室16之間。以簡化方式顯示的批次處理系統10可包含額外的構造,例如額外的真空隔離壁,其連接負載/鎖定站14與傳送腔室18,及連接製程腔室16與傳送腔室18,此為本領域具通常知識者所瞭解。處於大氣壓力或是接近大氣壓力的輸入/輸出站12適用於容納晶圓匣20,例如前開式晶圓傳送盒(FOUPs, front opening unified pods)。調整晶圓匣20之尺寸及塑形以固持複數基板44,例如具有例如200毫米或300毫米之直徑的半導體晶圓。
負載/鎖定站14係適用於從大氣壓力排空至真空壓力,及從真空壓力通至大氣壓力,而製程腔室16及傳送腔室18係為隔絕的,且持續維持在真空壓力下。負載/鎖定站14固持自輸入/輸出站12之大氣環境引入的複數晶圓匣20。負載/鎖定站14包含平台21、23,平台21、23各支撐晶圓匣20其中一者,且可垂直定位以促使晶圓傳送進出製程腔室16。
晶圓傳送機構22在真空下自負載/鎖定站14中之晶圓匣20其中一者將基板44傳送通過傳送腔室18而進入製程腔室16。另一晶圓傳送機構24在真空下從製程腔室16將在製程腔室16中處理過的基板44傳送通過傳送腔室18而至晶圓匣20。用於提升批次處理系統10之產率的相互獨立操作之晶圓傳送機構22、24可為一般用於拾取及放置操作的選擇順應性關節式/裝配式機械臂(SCARA, selective compliant articulated/assembly robot arm)自動裝置。晶圓傳送機構22、24包含末端效應器,其配置以在傳送期間固緊基板44。製程腔室16可包含分別由晶圓傳送機構22、24所使用之不同的第一及第二可密封孔口(未顯示),以接取製程腔室16內部的處理空間。當沉積或蝕刻製程發生於製程腔室16中時,密封接取孔口。圖7中所描繪的晶圓傳送機構22係為將未處理的基板44自負載/鎖定站14之平台21上的晶圓匣20傳送至製程腔室16時的情況。圖7中所描繪的晶圓傳送機構24係為將已處理的基板44從製程腔室16傳送至負載/鎖定站14之平台23上的晶圓匣20時的情況。
晶圓傳送機構24亦可將從製程腔室16所擷取之已處理的基板44傳送至用於檢測的量測站26,或傳送至用於基板44之後處理低壓冷卻的冷卻站28。在量測站26中所執行的製程可包含(但不限於)用以量測薄膜厚度及/或膜組成的習知技術,例如橢圓偏振技術(ellipsometry)、及用於污染控制的粒子量測技術。
批次處理系統10係裝設有系統控制器36,其程式化以控制及協調批次處理系統10之操作。系統控制器36通常包含用於進行下列各者的中央處理單元(CPU, central processing unit ):控制各種系統功能、腔室製程、及支援硬體(例如:偵測器、自動裝置、馬達、氣體源硬體等)、以及監控系統及腔室製程(例如腔室溫度、製程序列產率、腔室製程時間、輸入/輸出信號等)。可將軟體指令及資料編碼並儲存於記憶體內,以命令CPU。可由系統控制器36所執行的軟體程式決定在基板44所執行的工作,包含關於處理序列工作之監控及執行,以及各種腔室製程配方步驟的工作。
承受器48係配置於製程腔室16內部。承受器48包含複數環形基板支撐體52,其係界定於承受器48之頂表面中。基板支撐體52其中各者係配置以固持基板44其中至少一者於徑向地位於製程腔室16之周緣側壁40內的位置。個別基板支撐體52的數目範圍可例如為自2至8。然而,本領域具通常知識者可察知,取決於基板44之尺寸及承受器48之尺寸,承受器48可配置有任何所欲數目的基板支撐體52。儘管本發明之此實施例係描繪成具有環形或圓形幾何形狀之基板支撐體52的情況,本領域具通常知識者可察知,基板支撐體52可具有配合適當塑形之基板的任何所欲形狀。
批次處理系統10可配置以處理200 mm基板、300 mm基板、或大尺寸的圓形基板,其尺寸會反映於基板支撐體52之尺寸。事實上應考量到,如熟習本領域技術者可察知,批次處理系統10可配置以處理不拘尺寸之基板、晶圓、或液晶顯示器。因此,儘管本發明之態樣係關於基板44(其為半導體基板)之處理來描述,但本發明並不限於此。
基板支撐體52以定心於旋轉軸54上之一致的半徑環繞承受器48的周圍而分布。基板支撐體52具有繞旋轉軸54之接近等角的分隔,其中旋轉軸54實質上與方位軸42共線或同軸,但本發明並不限於此。
當基板44在製程腔室16中處理時,承受器48可持續旋轉,且可以恆定的角速度繞旋轉軸54旋轉。或者,可依據承受器48相對於任意參考點的角方位而隨機改變角速度。
分隔部68、70、72、74將製程腔室16劃分為複數處理空間76、78、80、82,但使承受器48及基板支撐體52能夠環繞旋轉軸54自由地旋轉。分隔部68、70、72、74相對於旋轉軸54朝向周緣側壁40徑向延伸。儘管代表性地顯示四個分隔部68、70、72、74,但本領域具通常知識者可察知,可以任何合適複數個分隔部再細分製程腔室16,以形成不同於四個之數目的處理空間。
批次處理系統10更包含吹淨氣體供應系統84,其係藉由氣體管線連接至穿透過周緣側壁40的氣體注入器30、34。吹淨氣體供應系統84係配置以將吹淨氣體流引至處理空間76及80。引入處理空間76及80中的吹淨氣體可包含惰性氣體,例如鈍氣(例如氦、氖、氬、氙、氪)、或氮、或氫。在基板處理期間,吹淨氣體係持續引入處理空間76及80中,以提供氣態屏幕或障壁,俾阻礙或至少顯著地限制處理空間78與82間之第一及第二製程氣體的傳送。吹淨氣體亦在處理空間76、80內部提供惰性氣氛,以使在承受器48上傳送通過處理空間76、80時,由基板44所帶來的任何薄膜實質上不變。處理空間78係鄰接於處理空間76與80之間,而處理空間82係鄰接於處理空間76與80之間,以使處理空間76、80分隔處理空間78及82,以提供第一及第二製程氣體的相互隔絕。
批次處理系統10更包含第一製程氣體供應系統90及第二製程氣體供應系統92,其中第一製程氣體供應系統90係藉由氣體管線連接至穿透過周緣側壁40的氣體注入器32,而第二製程氣體供應系統92係藉由氣體管線連接至穿透過周緣側壁40的氣體注入器38。第一製程氣體供應系統90係配置以將第一製程氣體引至處理空間78,而第二製程氣體供應系統92係配置以將第二製程氣體引至處理空間82。第一及第二製程氣體供應系統90、92可各包含可見於習知的如此之氣體供應系統中的一或更多材料來源、一或更多加熱器、一或更多壓力控制元件、一或更多流量控制元件、一或更多過濾器、一或更多閥、或一或更多流量感測器。
第一製程氣體可包含例如含氟氣體(例如:HF氣體或NF3 氣體),且其可在有載氣之輔助下或無載氣之輔助下輸送至處理空間78。第二製程氣體可包含例如含鋁氣體,且其可在有載氣之輔助下或無載氣之輔助下輸送至處理空間82。
根據待以ALE來蝕刻的基板上之薄膜的組成及性質,而選擇由第一製程氣體供應系統90供應至製程腔室16的第一製程氣體,以及由第二製程氣體供應系統92供應至製程腔室16的第二製程氣體。依據一實施例,第一製程氣體供應系統90、第二製程氣體供應系統92、及吹淨氣體供應系統84其中一或多者更可配置以將吹淨氣體注入處理空間76、78、80、82其中一或多者中。
當承受器48係繞旋轉軸54旋轉時,在承受器48之周緣的周圍之基板支撐體52配置使各基板44能夠循序地暴露於處理空間76、78、80、82其中各者之內部的不同環境。例如,當承受器48旋轉通過2π弳度(360°)之封閉路徑時,基板44其中各者依序暴露於第一處理空間78內部之環境中的第一製程氣體,然後暴露於包含於第二處理空間80內部之環境的吹淨氣體,接著暴露於第三處理空間82內部之環境中的第二製程氣體,而最後暴露於包含於第四處理空間76內部之環境的吹淨氣體。各基板44在分別的處理空間76、78、80、82之各者中具有由基板44其中各者上待蝕刻的膜之性質所指定的所欲留置時間,該留置時間足以蝕刻金屬氧化物膜。
在ALE製程中,基板44上之金屬氧化物膜的蝕刻係由交替及循序引入適當的製程氣體所控制,該等製程氣體係以自限制方式反應,以逐漸蝕刻金屬氧化物膜。在第一處理空間78內,第一製程氣體的分子鍵結(藉由化學吸收或吸附作用等)至基板44其中各者的頂表面,以形成第一製程氣體的單層或第一製程氣體的單層之部分。在第三處理空間82內,第二製程氣體與第一製程氣體的分子在各相繼的基板44上反應。當基板44旋轉通過第一及第三處理空間78、82時,以循序地後續暴露於第一及第二製程氣體的方式來重複此等步驟。在第一及第三處理空間78、82中之第一及第二製程氣體的環境係分別藉由第二及第四處理空間80、76內部的非化學反應性之吹淨氣體環境而相互隔絕。可將基板44加熱至製程溫度以在熱能方面促進ALE製程。製程溫度可例如介於約20°C與約400°C之間。
圖8依據本發明之實施例,顯示以ALE蝕刻Al2 O3 膜。在無電漿的情況且於約100°C的基板溫度下,使用交替暴露於HF及TMA的方式來進行蝕刻。氬吹淨係用以在各ALE循環中,於HF暴露與TMA暴露間吹淨製程腔室。Al2 O3 膜的蝕刻速率約為每ALE循環0.23埃。
已描述使用含氟氣體及含鋁氣體之原子層蝕刻的複數實施例。本發明前述實施例的說明係為了解釋及說明的目的而提出。其並非意欲窮舉或將本發明限制於所揭露之精確型式。本說明及隨後之申請專利範圍包含許多用語,其僅用於說明性之目的,而不被解釋為限制性。根據上述教示,熟習本相關領域技術者可察知可能存在許多修改及變化。因此,其意指,本發明之範疇並非由此詳細說明所界定,而係由本說明書中隨附的申請專利範圍所界定。
100‧‧‧流程
102‧‧‧步驟
104‧‧‧步驟
200‧‧‧流程
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧箭頭
300‧‧‧基板
302‧‧‧金屬氧化物膜
304‧‧‧氟化的層
306‧‧‧含氟氣體
308‧‧‧含鋁氣體
400‧‧‧流程
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟
412‧‧‧步驟
414‧‧‧步驟
416‧‧‧箭頭
500‧‧‧製程腔室
501‧‧‧處理系統
502‧‧‧基板固持器
504‧‧‧基板
506‧‧‧泵浦系統
508‧‧‧噴淋頭
510‧‧‧氣體供應系統
512‧‧‧氣體供應系統
600‧‧‧第一製程腔室
601‧‧‧處理系統
602‧‧‧基板固持器
604‧‧‧基板
606‧‧‧泵浦系統
608‧‧‧噴淋頭
610‧‧‧氣體供應系統
620‧‧‧第二製程腔室
622‧‧‧基板固持器
624‧‧‧基板
626‧‧‧泵浦系統
628‧‧‧噴淋頭
630‧‧‧氣體供應系統
636‧‧‧閘閥
10‧‧‧批次處理系統
12‧‧‧輸入/輸出站
14‧‧‧負載/鎖定站
16‧‧‧製程腔室
18‧‧‧傳送腔室
20‧‧‧晶圓匣
21‧‧‧平台
22‧‧‧晶圓傳送機構
23‧‧‧平台
24‧‧‧晶圓傳送機構
26‧‧‧量測站
28‧‧‧冷卻站
30‧‧‧氣體注入器
32‧‧‧氣體注入器
34‧‧‧氣體注入器
36‧‧‧系統控制器
38‧‧‧氣體注入器
40‧‧‧周緣側壁
42‧‧‧方位軸
44‧‧‧基板
48‧‧‧承受器
52‧‧‧基板支撐體
54‧‧‧旋轉軸
68‧‧‧分隔部
70‧‧‧分隔部
72‧‧‧分隔部
74‧‧‧分隔部
76‧‧‧處理空間
78‧‧‧處理空間
80‧‧‧處理空間
82‧‧‧處理空間
84‧‧‧吹淨氣體供應系統
90‧‧‧第一製程氣體供應系統
92‧‧‧第二製程氣體供應系統
藉由參考下列詳細說明並結合隨附圖式,可對本發明有更完整的瞭解,且其許多伴隨的優點亦更顯清楚,其中:
圖1依據本發明之實施例,係為處理基板的製程流程圖;
圖2依據本發明之實施例,係為處理基板的製程流程圖;
圖3A-3D依據本發明之實施例,藉由橫剖面圖示意性地顯示處理基板的方法;
圖4依據本發明之實施例,係為處理基板的製程流程圖;
圖5依據本發明之實施例,示意性地顯示用於處理基板的處理系統;
圖6依據本發明之實施例,示意性地顯示用於處理基板的處理系統;
圖7依據本發明之實施例,示意性地顯示用於處理基板的處理系統;及
圖8依據本發明之實施例,顯示以ALE蝕刻Al2 O3 膜。

Claims (20)

  1. 一種原子層蝕刻(ALE, atomic layer etching)的方法,該方法包含: 提供基板;且 將該基板交替地暴露於含氟氣體及含鋁氣體,以蝕刻該基板。
  2. 如申請專利範圍第1項之ALE的方法,其中該交替暴露的步驟係重複至少一次,以進一步蝕刻該基板。
  3. 如申請專利範圍第1項之ALE的方法,其中該基板包含金屬氧化物膜,該金屬氧化物膜係藉由該交替暴露的步驟而被蝕刻。
  4. 如申請專利範圍第1項之ALE的方法,其中該金屬氧化物膜係選自由下列各者所構成的群組:Al2O3、HfO2、TiO2、ZrO2、Y2O3、La2O3、UO2、Lu2O3、Ta2O5、Nb2O5、ZnO、MgO、CaO、BeO、V2O5、FeO、FeO2、CrO、Cr2O3、CrO2、MnO、Mn2O3、RuO、及其組合。
  5. 如申請專利範圍第1項之ALE的方法,其中該含氟氣體包含氟化氫(HF)或三氟化氮(NF3)。
  6. 如申請專利範圍第1項之ALE的方法,其中該含鋁氣體包含有機鋁化合物。
  7. 如申請專利範圍第1項之ALE的方法,其中該含鋁氣體包含烷基鋁化合物。
  8. 如申請專利範圍第1項之ALE的方法,其中該含鋁氣體係選自由下列各者所構成的群組:AlMe3、AlEt3、AlMe2H、[Al(O-s-Bu)3]4、Al(CH3COCHCOCH3)3、AlCl3、AlBr3、AlI3、Al(O-i-Pr)3、[Al(NMe2)3]2、Al(i-Bu)2Cl、Al(i-Bu)3、Al(i-Bu)2H、AlEt2Cl、Et3Al2(O-s-Bu)3、H3AlNMe3、H3AlNEt3、H3AlNMe2Et、及H3AlMeEt2
  9. 如申請專利範圍第1項之ALE的方法,其中該含氟氣體包含氟化氫(HF),而該含鋁氣體包含三甲基鋁(AlMe3)。
  10. 一種原子層蝕刻(ALE, atomic layer etching)的方法,該方法包含: 提供包含金屬氧化物膜的基板; 將該基板暴露於含氟氣體,以在該金屬氧化物膜上形成氟化的層;且 之後,將該基板暴露於含鋁氣體,以自該金屬氧化物膜移除該氟化的層。
  11. 如申請專利範圍第10項之ALE的方法,其中該等暴露步驟係交替地重複至少一次,以進一步蝕刻該金屬氧化物膜。
  12. 如申請專利範圍第10項之ALE的方法,其中該金屬氧化物膜係選自由下列各者所構成的群組:Al2O3、HfO2、TiO2、ZrO2、Y2O3、La2O3、UO2、Lu2O3、Ta2O5、Nb2O5、ZnO、MgO、CaO、BeO、V2O5、FeO、FeO2、CrO、Cr2O3、CrO2、MnO、Mn2O3、RuO、及其組合。
  13. 如申請專利範圍第10項之ALE的方法,其中該含氟氣體包含氟化氫(HF)或三氟化氮(NF3)。
  14. 如申請專利範圍第10項之ALE的方法,其中該含鋁氣體係選自由下列各者所構成的群組:AlMe3、AlEt3、AlMe2H、[Al(O-s-Bu)3]4、Al(CH3COCHCOCH3)3、AlCl3、AlBr3、AlI3、Al(O-i-Pr)3、[Al(NMe2)3]2、Al(i-Bu)2Cl、Al(i-Bu)3、Al(i-Bu)2H、AlEt2Cl、Et3Al2(O-s-Bu)3、H3AlNMe3、H3AlNEt3、H3AlNMe2Et、及H3AlMeEt2
  15. 如申請專利範圍第10項之ALE的方法,更包含在該等暴露步驟間利用惰性氣體來進行氣體吹淨。
  16. 如申請專利範圍第10項之ALE的方法,其中在相同的製程腔室中執行該等暴露步驟。
  17. 一種原子層蝕刻(ALE, atomic layer etching)的方法,該方法包含: 在第一製程腔室中提供包含金屬氧化物膜的基板; 在該第一製程腔室中將該基板暴露於飽和量的含氟氣體,以在該金屬氧化物膜上形成氟化的層; 將該基板轉移至第二製程腔室; 在該第二製程腔室中將該基板暴露於含鋁氣體,以與該氟化的層反應並形成蝕刻產物;且 使該蝕刻產物自該基板脫附, 其中該等暴露步驟係交替地重複至少一次,以進一步蝕刻該金屬氧化物膜。
  18. 如申請專利範圍第17項之ALE的方法,其中該含氟氣體包含氟化氫(HF)或三氟化氮(NF3),且其中該含鋁氣體係選自由下列各者所構成的群組:AlMe3、AlEt3、AlMe2H、[Al(O-s-Bu)3]4、Al(CH3COCHCOCH3)3、AlCl3、AlBr3、AlI3、Al(O-i-Pr)3、[Al(NMe2)3]2、Al(i-Bu)2Cl、Al(i-Bu)3、Al(i-Bu)2H、AlEt2Cl、Et3Al2(O-s-Bu)3、H3AlNMe3、H3AlNEt3、H3AlNMe2Et、及H3AlMeEt2
  19. 一種原子層蝕刻(ALE, atomic layer etching)的方法,該方法包含: 在製程腔室中於複數基板支撐體上配置包含金屬氧化物膜的基板,其中該製程腔室包含圍繞該製程腔室中之旋轉軸而界定的複數處理空間; 使該複數基板支撐體繞該旋轉軸旋轉; 在第一處理空間中將該等基板暴露於含氟氣體,以在該金屬氧化物膜上形成氟化的層,該第一處理空間係由繞該旋轉軸的第一夾角所界定; 在第二處理空間內將該等基板暴露於惰性氣氛,該第二處理空間係由繞該旋轉軸的第二夾角所界定; 在該第三處理空間中將該等基板暴露於含鋁氣體,以自該金屬氧化物膜移除該氟化的層,該第三處理空間係由繞該旋轉軸的第三夾角所界定,且該第三處理空間與該第一處理空間被該第二處理空間分隔開; 在該第四處理空間內將該等基板暴露於惰性氣氛,該第四處理空間係由繞該旋轉軸的第四夾角所界定,且該第四處理空間與該第二處理空間被該第三處理空間分隔開;且 藉由重複地旋轉該等基板通過該第一、第二、第三、及第四處理空間,將該等基板再次暴露於該含氟氣體及該含鋁氣體,以在該等基板其中各者上逐漸蝕刻該金屬氧化物膜。
  20. 如申請專利範圍第19項之ALE的方法,其中該含氟氣體包含氟化氫(HF)或三氟化氮(NF3),且其中該含鋁氣體係選自由下列各者所構成的群組:AlMe3、AlEt3、AlMe2H、[Al(O-s-Bu)3]4、Al(CH3COCHCOCH3)3、AlCl3、AlBr3、AlI3、Al(O-i-Pr)3、[Al(NMe2)3]2、Al(i-Bu)2Cl、Al(i-Bu)3、Al(i-Bu)2H、AlEt2Cl、Et3Al2(O-s-Bu)3、H3AlNMe3、H3AlNEt3、H3AlNMe2Et、及H3AlMeEt2
TW106106060A 2016-02-23 2017-02-23 原子層蝕刻用方法與系統 TWI658512B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662298677P 2016-02-23 2016-02-23
US62/298,677 2016-02-23

Publications (2)

Publication Number Publication Date
TW201738952A TW201738952A (zh) 2017-11-01
TWI658512B true TWI658512B (zh) 2019-05-01

Family

ID=59631207

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106060A TWI658512B (zh) 2016-02-23 2017-02-23 原子層蝕刻用方法與系統

Country Status (3)

Country Link
US (1) US20170243755A1 (zh)
TW (1) TWI658512B (zh)
WO (1) WO2017147254A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102631150B1 (ko) * 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및에칭
JP7034645B2 (ja) * 2017-09-22 2022-03-14 株式会社Screenホールディングス 基板処理方法および基板処理装置
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
JP6679642B2 (ja) * 2018-03-27 2020-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
TW202322215A (zh) * 2019-06-11 2023-06-01 美商應用材料股份有限公司 使用氟及金屬鹵化物來蝕刻金屬氧化物
KR20210079649A (ko) 2019-12-20 2021-06-30 주식회사 원익아이피에스 원자층 식각 방법
US20210313185A1 (en) * 2020-04-06 2021-10-07 California Institute Of Technology Atomic layer etching for smoothing of arbitrary surfaces
US11864472B2 (en) 2020-07-10 2024-01-02 California Institute Of Technology Methods and systems for atomic layer etching and atomic layer deposition
KR20220022502A (ko) * 2020-08-18 2022-02-28 주식회사 원익아이피에스 원자층 식각 방법 및 장치
US11915941B2 (en) * 2021-02-11 2024-02-27 Tokyo Electron Limited Dynamically adjusted purge timing in wet atomic layer etching
JP2024506950A (ja) * 2021-02-19 2024-02-15 東京エレクトロン株式会社 ハイブリッド湿式原子層エッチングプロセスを使用して基板をエッチングするための方法
JP2024510255A (ja) * 2021-03-18 2024-03-06 ラム リサーチ コーポレーション インジウムガリウム亜鉛酸化物のエッチング
CN113921359B (zh) * 2021-08-16 2024-04-26 江汉大学 一种吸收波材料的表面改性方法
KR20240063140A (ko) * 2021-09-07 2024-05-10 램 리써치 코포레이션 붕소 트리클로라이드를 사용한 원자 층 에칭
WO2023164367A1 (en) * 2022-02-22 2023-08-31 Lam Research Corporation Atomic layer etching using an inhibitor
CN115172134B (zh) * 2022-09-06 2022-12-16 江苏鹏举半导体设备技术有限公司 原子层刻蚀装置及刻蚀方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273492A1 (en) * 2013-03-13 2014-09-18 Jeffrey W. Anthis Methods Of Etching Films Comprising Transition Metals
US20150118848A1 (en) * 2008-12-23 2015-04-30 Novellus System, Inc. Atomic layer removal process with higher etch amount
US20150270140A1 (en) * 2014-06-09 2015-09-24 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118848A1 (en) * 2008-12-23 2015-04-30 Novellus System, Inc. Atomic layer removal process with higher etch amount
US20140273492A1 (en) * 2013-03-13 2014-09-18 Jeffrey W. Anthis Methods Of Etching Films Comprising Transition Metals
US20150270140A1 (en) * 2014-06-09 2015-09-24 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes

Also Published As

Publication number Publication date
TW201738952A (zh) 2017-11-01
US20170243755A1 (en) 2017-08-24
WO2017147254A1 (en) 2017-08-31

Similar Documents

Publication Publication Date Title
TWI658512B (zh) 原子層蝕刻用方法與系統
US10304693B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TWI763759B (zh) 受暴露以供處理之基板的表面之原子層蝕刻之執行方法及系統
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
TWI791059B (zh) 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積
US10170323B2 (en) Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US20180033635A1 (en) Integrating atomic scale processes: ald (atomic layer deposition) and ale (atomic layer etch)
US10590534B2 (en) Film deposition method and film deposition apparatus
TWI658492B (zh) 批式處理系統中之多膜沉積與蝕刻用方法及設備
TW201630067A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
JP2011187934A (ja) 成膜方法、成膜装置、および成膜装置の使用方法
JP2019519918A (ja) 原子層エッチングシステム及び方法
US20120108077A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP7330793B2 (ja) (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法
US20090212014A1 (en) Method and system for performing multiple treatments in a dual-chamber batch processing system
KR20230149711A (ko) 고 종횡비 플라즈마 에칭을 위한 금속 기반 라이너 보호
TW201842225A (zh) 用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術
KR20220061234A (ko) 개선된 균일성을 위한 디더링 또는 동적 오프셋들
US20190194803A1 (en) Susceptor cleaning method
TW202220030A (zh) 被處理體之處理方法及電漿處理裝置
KR20210066017A (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
KR20170132666A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 디포짓하기 위한 기법
TW202410175A (zh) 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化
US20240087893A1 (en) Methods for forming mandrels and spacers, related structures, and systems

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees