TW202410175A - 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化 - Google Patents

針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化 Download PDF

Info

Publication number
TW202410175A
TW202410175A TW112109083A TW112109083A TW202410175A TW 202410175 A TW202410175 A TW 202410175A TW 112109083 A TW112109083 A TW 112109083A TW 112109083 A TW112109083 A TW 112109083A TW 202410175 A TW202410175 A TW 202410175A
Authority
TW
Taiwan
Prior art keywords
feature
reactant
etched
stack
substrate
Prior art date
Application number
TW112109083A
Other languages
English (en)
Inventor
艾瑞克 A 哈得森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202410175A publication Critical patent/TW202410175A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文中的諸多實施例係關於用以在半導體基板上的介電材料中形成凹入特徵部的方法、設備及系統。以循環方式採用各別的蝕刻及沉積操作。每一蝕刻操作部分地蝕刻特徵部。每一沉積操作在特徵部的側壁上形成保護塗層以防止於蝕刻操作期間介電材料的橫向蝕刻。可使用導致保護塗層沿著側壁之構成的方法來沉積保護塗層。在某些案例中,使用分子層沉積技術來沉積保護塗層。可使用於相對低溫下產生相對完整側壁塗層的特定反應物來沉積保護塗層。在某些實施方式中,一或更多的反應物包括醛官能基。在某些實施方式中,一或更多的反應物包括異氰酸官能基。

Description

針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化
本揭示內容係關於在半導體基板上的包含介電材料的堆疊中形成蝕刻特徵部的方法,特別係關於針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化。
於半導體裝置的製造期間時常採用的一製程為介電材料中蝕刻圓柱的構成。可發生如此製程的示例性內容包括但不限於記憶體應用,例如DRAM及3D NAND結構。隨著半導體產業進展及裝置尺寸變得更小,如此圓柱變得越來越難以均勻的方式蝕刻,特別係針對具有窄寬度及/或深深度的高縱橫比圓柱。
本文中的某些實施例涉及用於在半導體基板上包括介電材料的堆疊中形成蝕刻特徵部的方法及設備。所揭示的實施例可利用某些技術以於蝕刻特徵部的側壁上沉積鈍化材料。從而允許蝕刻在高縱橫比處發生。在某些實施例中,可使用特定反應物或特定類型之反應物來沉積鈍化材料。在某些情況下,一或更多反應物可包含醛官能基。在某些情況下,一或更多反應物可包含異氰酸官能基。
在所揭示實施例的一實施態樣中,提供在半導體基板上包括介電材料的堆疊中形成蝕刻特徵部的方法。該方法包括:(a)產生包括蝕刻反應物的第一電漿,將基板曝露至第一電漿,以及部分地蝕刻介電材料中之特徵部;(b)於(a)之後,藉由下列步驟於特徵部之側壁上沉積保護膜:(i)將基板曝露至第一反應物並允許第一反應物吸附至基板上,其中第一反應物包括醛官能基,(ii)將基板曝露至第二反應物,其中第一與第二反應物相互反應以形成保護膜,以及(iii)以循環方式重複(i)及(ii)直到保護膜達到目標厚度,其中保護膜係有機聚合膜;以及(c)重複(a)至(b)直到將特徵部蝕刻至最終深度,其中在(b)中沉積的保護膜實質上防止於(a)期間特徵部的橫向蝕刻,且其中特徵部在其最終深度處具有約5或更大的縱橫比。
在某些實施方式中,第一反應物包括二醛或三醛。在某些實施方式中,第一反應物包括琥珀二醛(C 4H 6O 2)、戊二醛(C 5H 8O 2)、己二醛(C 6H 10O 2)、對苯二甲醛(C 8H 6O 2)、1,4-苯二甲醛(C 6H 4(CHO) 2)、鄰苯二甲醛(C 8H 6O 2)、1,2 苯二甲醛(C 6H 4(CHO) 2)、及2-甲基戊二醛(C 6H 10O 2)。在某些實施方式中,第二反應物包含下列的至少其中之一:二胺、二醇、硫醇、及三官能化合物。在某些案例中,第二反應物包含二胺。在某些案例中,第二反應物包括下列的至少其中之一:1,2-乙二胺、1,3-丙二胺、1,4-丁二胺、乙二胺、間苯二甲胺、異佛爾酮二胺、1,3-環己烷雙(甲胺)、1,4-雙(胺基甲基)環己烷、4,4'-亞甲基雙(2-甲基環己胺)、4,4'-亞甲基雙(環己胺)、間苯二胺、對苯二胺、4-胺基芐胺、3-胺基芐胺、4-(2-胺基乙基)苯胺、對苯二甲胺、間苯二甲胺、乙二醇、1,3-丙二醇、1,4-丁二醇、1,2-乙二硫醇、1,3-丙二硫醇、1,4-丁二硫醇、(±)-3-胺基-1,2-丙二醇、甘油、雙(六亞甲基)三胺、三聚氰胺、二亞乙基三胺、(±)-1,2,4-丁三醇、氰尿醯氯、及三甲鋁。
在某些實施方式中,在(b)中沉積保護膜係在沒有將基板曝露至電漿能量的情況下完成的。在某些實施方式中,保護塗層包括聚甲亞胺或聚硫縮醛。在某些實施方式中,在(a)中蝕刻堆疊中之特徵部係在反應腔室中執行,其中在(b)中於特徵部之側壁上沉積保護膜係在相同的反應腔室中執行。在某些實施方式中,蝕刻反應物包括一或更多氟碳化物或氫氟碳化物。在某些實施方式中,在(b)中沉積保護膜發生在反應腔室中,其中在(b)中沉積保護膜進一步包括於操作(b)之每一迭代期間淨化反應腔室至少一次。在某些實施方式中,堆疊包括(i)矽氧化物材料、及(ii)矽氮化物材料或多晶矽材料的交替層。在某些實施方式中,實質上沿著特徵部的整體深度沉積保護膜。在某些實施方式中,特徵部在其最終深度處具有約20或更大的縱橫比。
在所揭示實施例的另一實施態樣中,提供在半導體基板上包括介電材料的堆疊中形成蝕刻特徵部的方法。該方法包括:(a)產生包括蝕刻反應物的第一電漿,將基板曝露至第一電漿,以及部分地蝕刻介電材料中之特徵部;(b)於(a)之後,藉由下列步驟於特徵部之側壁上沉積保護膜:(i)將基板曝露至第一反應物並允許第一反應物吸附至基板上,其中第一反應物包括異氰酸官能基,(ii)將基板曝露至第二反應物,其中第一與第二反應物相互反應以形成保護膜,以及(iii)以循環方式重複(i)及(ii)直到保護膜達到目標厚度,其中保護膜係有機聚合膜;以及(c)重複(a)至(b)直到將特徵部蝕刻至最終深度,其中在(b)中沉積的保護膜實質上防止於(a)期間特徵部的橫向蝕刻,且其中特徵部在其最終深度處具有約5或更大的縱橫比。
在某些實施方式中,第一反應物包括二異氰酸酯。在某些案例中,二異氰酸酯包括下列的至少其中之一:甲苯-2,4-二異氰酸酯、1,3-雙(異氰酸基甲基)環己烷、六亞甲基二異氰酸酯、間亞二甲苯基二異氰酸酯、1,3-雙(1-異氰酸基-1-甲基乙基)苯、異佛爾酮二異氰酸酯、二苯基甲烷4,4'-二異氰酸酯、4,4'-亞甲基雙(環己基異氰酸酯)、甲苯-2,6-二異氰酸酯、1,4-亞苯基二異氰酸酯、1,3-亞苯基二異氰酸酯、及3,3'-二甲基-4,4'-亞聯苯基二異氰酸酯。
在某些實施方式中,第二反應物包含下列的至少其中之一:二胺、二醇、硫醇、及三官能化合物。在某些案例中,第二反應物包含二胺。在某些案例中,第二反應物包括下列的至少其中之一:1,2-乙二胺、1,3-丙二胺、1,4-丁二胺、乙二胺、間苯二甲胺、異佛爾酮二胺、1,3-環己烷雙(甲胺)、1,4-雙(胺基甲基)環己烷、4,4'-亞甲基雙(2-甲基環己胺)、4,4'-亞甲基雙(環己胺)、間苯二胺、對苯二胺、4-胺基芐胺、3-胺基芐胺、4-(2-胺基乙基)苯胺、對苯二甲胺、間苯二甲胺、乙二醇、1,3-丙二醇、1,4-丁二醇、1,2-乙二硫醇、1,3-丙二硫醇、1,4-丁二硫醇、(±)-3-胺基-1,2-丙二醇、甘油、雙(六亞甲基)三胺、三聚氰胺、二亞乙基三胺、(±)-1,2,4-丁三醇、氰尿醯氯、及三甲鋁。
在某些實施方式中,在(b)中沉積保護膜係在沒有將基板曝露至電漿能量的情況下完成的。在某些實施方式中,保護塗層包括聚氨酯或聚脲。在某些實施方式中,在(a)中蝕刻堆疊中之特徵部係在反應腔室中執行,其中在(b)中於特徵部之側壁上沉積保護膜係在相同的反應腔室中執行。在某些實施方式中,蝕刻反應物包括一或更多氟碳化物或氫氟碳化物。在某些實施方式中,在(b)中沉積保護膜發生在反應腔室中,其中在(b)中沉積保護膜進一步包括於操作(b)之每一迭代期間淨化反應腔室至少一次。在某些實施方式中,堆疊包括(i)矽氧化物材料、及(ii)矽氮化物材料或多晶矽材料的交替層。在某些實施方式中,實質上沿著特徵部的整體深度沉積保護膜。在某些實施方式中,特徵部在其最終深度處具有約20或更大的縱橫比。
以下將參照隨附圖式描述此些及其他特徵。
在本申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分製造積體電路」係可互換地使用。本技術領域之通常技藝人士將理解術語「部分製造積體電路」可指在關於積體電路製造之許多階段的任何階段期間的矽晶圓。在半導體裝置產業中使用的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。以下詳細說明內容假定本發明係在晶圓上實施。然而,本發明不受如此限制。工件可具有諸多形狀、尺寸、及材料。除了半導體晶圓之外,可利用本發明的其他工件包括諸多物品,例如印刷電路板、磁記錄媒體、磁記錄感測器、面鏡、光學元件、微機械裝置及其相似者。
在以下說明內容中,提出許多具體細節以提供對於所呈現實施例的透徹理解。可在不具有某些或全部的此些具體細節的情況下實現所揭示實施例。在其他方面,為了不對所揭示實施例不必要地造成混淆而沒有詳細描述眾所周知的製程操作。儘管將結合具體的實施例來描述所揭示實施例,將理解其並非旨在限制所揭示的實施例。 I. 用於蝕刻介電材料中高縱橫比特徵部的技術
某些半導體裝置的製造涉及將特徵部蝕刻進入介電材料或材料中。介電材料可為單層的材料或材料之堆疊。在某些案例中,堆疊包括介電材料(例如,矽氮化物及矽氧化物)的交替層。一示例性的蝕刻特徵部為可具有高縱橫比的圓柱。隨著如此特徵部的縱橫比持續增加,將特徵部蝕刻進入介電材料中乃越來越具挑戰性。於高縱橫比特徵部的蝕刻期間發生的一問題為不均勻蝕刻輪廓。換言之,特徵部沒有在筆直向下的方向上蝕刻。取而代之地,特徵部的側壁經常翹曲使得蝕刻特徵部的中間部分較特徵部的頂部及/或底部為寬(即,更加被蝕刻)。此特徵部之中間部分附近的過度蝕刻可能導致剩餘材料之結構及/或墊子完整性的折衷。特徵部之向外翹曲的部分可能佔據總特徵部深度的相對小部分、或相對較大部分。特徵部之向外翹曲的部分係其中特徵部之臨界尺寸(CD)在其最大值。臨界尺寸對應於給定點處特徵部的直徑。吾人通常期望特徵部的最大CD與特徵部中其他處的CD大約相同,例如在特徵部之底部處或底部附近的CD。
在不受任何理論或作用機制的約束下,吾人相信至少部分地發生於圓柱或其他特徵部之中間部分處的過度蝕刻,因為沒有充分地保護圓柱之側壁免於蝕刻。習知的蝕刻化學利用氟碳化物蝕刻劑以在介電材料中形成圓柱。藉由電漿曝露激發氟碳化物蝕刻劑而導致諸多氟碳化物片段的形成,氟碳化物碎片例如包括CF、CF 2、及CF 3。反應性氟碳化物片段在離子的幫助下蝕刻掉特徵部(例如,圓柱)之底部處的介電材料。其他氟碳化物片段係沉積在受蝕刻之圓柱的側壁上,藉此形成保護性聚合側壁塗層。此保護性側壁塗層促進特徵部之底部處相對於特徵部之側壁的優先蝕刻。在沒有此側壁保護的情況下,特徵部開始呈現不均勻輪廓而在側壁保護不足的地方具有較寬的蝕刻/圓柱寬度。
在高縱橫比特徵部中的側壁保護乃特別難以達成。針對此困難的一原因為現行的氟碳化物為基製程無法在受蝕刻圓柱中深入形成保護性聚合側壁塗層。圖1呈現在以圖案化遮罩層106覆蓋之介電材料103中受蝕刻之圓柱102的圖式。儘管以下討論有時指稱圓柱,所述概念乃適用於其他特徵部形狀,例如矩形和其他多邊形。保護性聚合側壁塗層104係集中在圓柱102的頂部附近。C xF y化學組成物提供用於垂直蝕刻圓柱的蝕刻反應物(一或多)、以及形成保護性聚合側壁塗層104的反應物(一或多)兩者。因為保護性聚合側壁塗層104沒有深入延伸進入圓柱中(即,側壁上沒有充分的沉積),圓柱102的中間部分變得較圓柱102的頂部為寬。將圓柱102的較寬中間部分稱為翹曲105。可按照特徵部於翹曲區域(相對較寬區域)處的臨界尺寸與特徵部之翹曲區域下方的臨界尺寸之間的比較而數值地描述翹曲。可按照距離(例如,特徵部之最寬部分處的臨界尺寸減去特徵部之翹曲下方最窄部分處的臨界尺寸)或按照比例/百分比(特徵部之最寬部分處的臨界尺寸除以特徵部之翹曲下方最窄部分處的臨界尺寸)而數值地報告翹曲。此翹曲105、及所涉及的不均勻蝕刻輪廓係不被期望的。由於在此型式之蝕刻製程中常使用的高離子能量,當蝕刻高縱橫比之圓柱時常產生翹曲。在某些應用中,甚至在低至大約5的縱橫比下產生翹曲。如此一來,習知的氟碳化物蝕刻化學通常受限於在介電材料中形成相對低縱橫比的圓柱。某些現代應用需要相較於該些可使用習知蝕刻化學達成之縱橫比有更高縱橫比的圓柱。 II. 脈絡及應用
在本文的諸多實施例中,在表面上具有介電材料的基板(通常為半導體晶圓)中蝕刻特徵部。蝕刻製程通常係電漿為基蝕刻製程。整體特徵部形成過程可階段性地發生:一階段針對蝕刻介電材料而另一階段針對在實質上沒有蝕刻介電材料的情況下形成保護側壁塗層。保護側壁塗層鈍化側壁並防止特徵部被過度蝕刻(即,側壁塗層防止特徵部的橫向蝕刻)。可重複此兩階段直到將特徵部蝕刻至其最終深度。藉由循環此兩階段,可在特徵部的整體深度上控制特徵部的直徑,從而形成具有更均勻直徑/改善輪廓的特徵部。
本文中使用的「特徵部」可指基板的非平面結構,通常係在半導體裝置製造操作中被修改的表面。亦可被稱為「負面特徵部」或「內凹特徵部」的特徵部之範例包括溝槽、孔洞、貫孔、間隙、內凹區域、及其相似者。在本揭示內容中可互換地使用這些術語。特徵部的一範例為基板之表面中的內凹。特徵部通常具有縱橫比(深度對橫向尺寸)。可藉由一或更多的窄及/或再凹入開口、特徵部內收縮、及高縱橫比來表徵特徵部。特徵部可具有許多不同形狀,包括但不限於圓柱形、四邊形、正方形、其他多邊形內凹、溝槽等。
縱橫比係特徵部之深度與特徵部之臨界尺寸(通常為其寬度/直徑)的比較。例如,具有2 μm之深度與50 nm之寬度的圓柱具有40:1的縱橫比,通常較簡化地表示為40。因為特徵部在特徵部之深度上可能具有不均勻的臨界尺寸,縱橫比可取決於其所測量之處而變化。舉例而言,某些時候蝕刻圓柱可具有較頂部及底部為寬的中間部分。如以上提及的,可將此較寬中間段稱為翹曲。基於圓柱之頂部(即,頸部)處臨界尺寸測量的縱橫比將高於基於圓柱之較寬中間/翹曲處臨界尺寸測量的縱橫比。如本文中所使用的,除非另有聲明,否則縱橫比係基於靠近特徵部之開口的臨界尺寸測量的。
經由所揭示方法形成的特徵部可為高縱橫比特徵部。在某些應用中,高縱橫比特徵部係具有至少約5、至少約10、至少約20、至少約30、至少約40、至少約50、至少約60、至少約80、或至少約100之縱橫比的特徵部。經由所揭示方法形成的特徵部之臨界尺寸可為約200 nm或更小,例如約100 nm或更小、約50 nm或更小、或約20 nm或更小。
在諸多案例中特徵部蝕刻進入的材料可為介電材料。示例性的材料包括但不限於矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜版本(例如,摻雜有硼、磷等),以及來自這些材料之任意組合的層壓板。特定的示例性材料包括SiO 2、SiN、SiON、SiOC、SiCN等的化學計量與非化學計量配方。被蝕刻的材料或複數材料亦可包括其他元素,例如在諸多案例中的氫。在某些實施例中,被蝕刻的氮化物及/或氧化物材料具有包括氫的組成物。如本文所使用的,應理解矽氧化物材料、矽氮化物材料等包括如此材料之化學計量與非化學計量兩版本,以及如此材料可如上所述的具有其他元素包括在內。
針對所揭示方法的一應用係在形成DRAM裝置的情境中。在此案例中,可主要地在矽氧化物中蝕刻特徵部。舉例而言,基板亦可包括一、二、或更多層的矽氮化物。在一範例中, 基板包括夾在兩矽氮化物層之間的矽氧化物層,而具有介於約800 nm與約1200 nm厚之間的矽氧化物層以及介於約300 nm與約400 nm厚之間的一或更多的矽氮化物層。蝕刻特徵部可為具有介於約1 μm與約3 μm間之最終深度的圓柱,例如介於約1.5 μm與約2 μm之間。圓柱可具有介於約20 nm與約50 nm 之間的寬度,例如介於約25 nm與約30 nm 之間。於蝕刻圓柱之後,可在其中形成電容記憶體單元。
針對所揭示方法的另一應用係在形成垂直NAND(VNAND,亦稱為3D NAND)裝置的情境中。在此案例中,特徵部蝕刻進入的材料可具有重複的層疊結構。舉例而言,材料可包括氧化物(例如,SiO 2)及氮化物(例如,SiN)的交替層、或氧化物(例如,SiO 2)及多晶矽的交替層。交替層形成成對的材料。在某些案例中,成對的數量可為至少約20、至少約30、至少約40、至少約60、或至少約70。氧化物層可具有介於約20 nm與約50 nm 之間的厚度,例如介於約30 nm與約40 nm 之間。氮化物或多晶矽層可具有介於約20 nm與約50 nm 之間的厚度,例如介於約30 nm與約40 nm 之間。蝕刻進入交替層的特徵部可具有介於約2 μm與約6 μm之間的深度,例如介於約3 μm與約5 μm之間。特徵部可具有介於約50 nm與約150 nm 之間的寬度,例如介於約50 nm與約100 nm 之間。 III. 蝕刻/沉積製程
圖2A呈現在半導體基板中形成蝕刻特徵部之方法的流程圖。圖2A中顯示的操作係關聯於圖3A至3D描述的,圖3A至3D顯示蝕刻特徵部時的部分製造半導體基板。於操作201,在具有介電材料303及圖案化遮罩層306的基板中將特徵部302蝕刻至第一深度。此第一深度僅為特徵部之最終所需深度的一部分。用以蝕刻特徵部的化學組成物可為氟碳化物為基化學組成物(C xF y)或氫氟碳化物為基化學組成物(H xF yC z)。可使用其他蝕刻化學組成物。此蝕刻操作201可導致第一側壁塗層304的形成。如關聯於圖1所描述的,第一側壁塗層304可為聚合側壁塗層。第一側壁塗層304朝向第一深度延伸,但在許多案例中第一側壁塗層304實際上沒有到達特徵部302的底部。
當某些氟碳化物物種/片段沉積在特徵部的側壁上時,第一側壁塗層304從C xF y蝕刻化學組成物中間接地形成(即,某些氟碳化物物種係第一側壁塗層304之前驅物)。第一側壁塗層304沒有到達特徵部302之底部的一原因可能與形成塗層之前驅物的黏附係數相關。特別是,吾人相信對於某些蝕刻劑而言這些第一側壁塗層前驅物的黏附係數太高,而造成實質上大多數的前驅物分子在進入特徵部後不久即附著至側壁。如此一來,少數的側壁塗層前驅物分子能夠深入地貫穿至特徵部中對側壁保護有利的地方。因而第一側壁塗層304僅提供對抗特徵部302之側壁之過度蝕刻的部分保護。在某些實施方式中,蝕刻條件提供微小的(若有的話)側壁保護。
接著,於操作203停止蝕刻製程。於停止蝕刻之後,在操作205中沉積第二側壁塗層310。在某些案例中,第二側壁塗層310可有效地為第一側壁塗層。此沉積可經由諸多反應機制發生,包括但不限於化學氣相沉積(CVD)方法、原子層沉積(ALD)方法(兩方法中的任一者可為或可不為電漿輔助的)、及分子層沉積(MLD)方法。MLD方法可使用涉及兩半反應的類ALD循環而沉積有機聚合物之薄膜。在某些案例中,可相較於習知的ALD方法以較少吸附限制方式駕馭MLD方法。例如,某些MLD方法可利用反應物的飽和度不足或過飽和。在某些實施例中,ALD及MLD方法特別適用於形成鋪襯特徵部之側壁的保形膜。舉例而言,ALD及MLD方法由於如此方法的吸附相關特性而有利於深入地輸送反應物至特徵部中。儘管本文中的實施例並不限於其中經由例如ALD及MLD之循環逐層沉積方法而沉積第二側壁塗層310的方法,但所選用以沉積第二側壁塗層310的方法應允許保護層深入地形成在蝕刻特徵部302中。在諸多實施方式中可能可適用CVD及其他沉積製程。
圖2B繪示經由MLD製程沉積有機聚合第二保護側壁塗層310之方法250的流程圖。如所提及的,亦可使用ALD及CVD 法,如同以下進一步描述的內容。方法250開始於操作251,其中將第一反應物流入反應腔室中並吸附至基板表面上。反應物可深入地貫穿至部分蝕刻特徵部中並吸附至特徵部的側壁上。在某些實施例中,第一反應物係二醛或三醛。在特定實施例中,第一反應物可為戊二醛(C 5H 8O 2)。如同由圖3B中吸附前驅物層312所示的,第一反應物形成吸附層。
接著,於操作253,可將反應腔室可選地淨化以從反應腔室中移除過量的第一反應物。接著,於操作255,將第二反應物輸送至反應腔室。在某些實施例中,第二反應物可為二胺、二醇、硫醇、或三官能基化合物。在特定實施例中,第二反應物可為乙二胺(C 2H 8N 2)。第二反應物與第一反應物反應以在基板上形成保護膜。所形成的保護膜可為如圖3C與3D中所示的第二側壁塗層310。保護膜可在沒有依賴任何電漿的情況下經由熱反應形成。
接著,於操作257,可將反應腔室可選地淨化。操作253及257中的淨化可藉由使用非反應性氣體清掃反應腔室、藉由排空反應腔室、或以上的某些組合而發生。淨化的目的係為了從反應腔室中移除任何未吸附之反應物以及副產物。儘管淨化操作253及257兩者皆係可選的,兩操作可有助於防止不期望的氣相反應,並可產生改善的沉積結果。
接著,於操作259,判定保護膜是否夠厚。可基於每循環沉積之厚度以及所執行循環之次數而進行如此判定。在諸多實施例中,每一循環沉積介於約0.1 nm與約1 nm之間的膜,而具有取決於將反應物流入反應腔室中之時間長度以及所產生之反應物飽和位準的厚度。若膜還不夠厚,則方法250從操作251開始重複以藉由沉積額外的層而建構額外的膜厚。否則,方法250完成。在後接的迭代中,操作251可涉及吸附額外的第一反應物至基板上、及第一反應物與第二反應物之反應兩者,第二反應物由於操作255的先前迭代而可能存在。換言之,於第一循環之後,操作251及255兩者皆可涉及第一與第二反應物之間的反應。在保護膜夠厚之後,可讓基板接受如圖2A之操作211中所示的另一蝕刻製程。
在些許案例中,可將沉積方法250用以形成有機聚合膜之層。圖2C繪示在其中第一反應物為戊二醛且第二反應物為乙二胺之特定情境中的圖2B之步驟251至257。在操作251中,將戊二醛之第一反應物以氣相流動至反應腔室中並吸附至基板260上。在圖2C中顯示的基板260之部分係部分蝕刻圓柱的側壁。在操作253中,例如藉由將非反應性淨化氣體流經反應腔室而可選地淨化反應腔室。 在操作255中,將乙二胺之第二反應物以氣相流動至反應腔室中。第一與第二反應物反應以在基板260的曝露表面上例如沿著部分蝕刻特徵部的側壁而形成有機聚合膜之層。接著,於操作257,可例如藉由將另一淨化氣體流入反應腔室中而可選地淨化反應腔室。可重複這些操作直到將有機聚合膜生長至所需厚度。
圖2D進一步繪示其中第一反應物為戊二醛且第二反應物為乙二胺之操作255中發生的反應。在不受任何理論的限制下,圖2D中顯示的反應可產生兩個可能的反應產物。一反應產物可顯示在第一行中並且為部分反應產物。另一反應產物可顯示在第二行中並且為產生亞胺產物的完全反應產物。
這些反應物可特別有利於其中期望於相對低溫下形成保護膜的應用。這些反應物已顯示即使在相較於通常於相似MLD及ALD反應中所使用的低得多的溫度下亦有效地且高效地相互反應。許多熱ALD反應(其未使用電漿)係在高得多的溫度下執行,例如至少約200°C。低溫沉積在某些情境中係特別有利的。在某些案例中,低溫無電漿沉積的使用可有助於使沉積能夠在與蝕刻反應相同的反應腔室中發生,使得無需在兩不同反應腔室之間的移送。在於2014年7月30日提出申請、且名稱為「METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS」的美國專利申請案第14/446,427號中進一步討論MLD製程,該專利文獻係整體地且基於所有目的而藉由參照併入本文中。
圖2B之所揭示的MLD方法250適用於形成塗佈特徵部之整體側壁的保形膜。MLD方法可特別有利的一原因為MLD方法可達成非常高度的保形性,因為係藉由熱能而非電漿能量來驅動反應。當在電漿輔助ALD方案中使用電將來產生一或更多的反應物時,所產生的反應物可為具有高表面反應性的自由基物種。因而此方案可能產生具有貫穿進入高縱橫比特徵部之有限能力的反應物且因而導致相較於熱方法之較差的保形性及/或較高劑量需求。再者,因為在半導體製造中使用的電漿在反應腔室內係不均勻的,電漿不均勻性可能導致跨基板的不均勻沉積結果。相比之下,輸送均勻熱能至基板係較容易的,例如藉由在基板支架上提供均勻熱源。電漿能量通常係用以在相對低溫(例如,低於約200°C)下驅動反應。通常,半導體裝置於製造期間具有特定熱預算,而可注意在較低溫度下處理基板以節省熱預算並因而避免損壞裝置。然而,如所提及的,電漿之使用也可能對保形性及/或均勻性具有害影響。在本文的諸多實施例中,使用特定反應物於相對低溫下沉積保護層,從而獲取與熱處理相關的均勻性益處以及通常關聯於電漿處理的低溫/熱預算益處兩者。如同關聯於圖2C及2D所討論的,可在相對低溫下使用以沉積保護層的成對反應物之一範例包括戊二醛及乙二胺。
回到圖2A,方法於其中停止沉積製程的操作207處續行。然後方法重複下列操作:部分蝕刻基板中的特徵部(操作211,與操作201相似)、停止蝕刻(操作213,與操作203相似)、在部分蝕刻特徵部的側壁上沉積保護塗層(操作215,與操作205相似)、以及停止沉積(操作217,與操作207相似)。接著,於操作219,判定特徵部是否被完全蝕刻。若特徵部沒有被完全蝕刻,則方法從操作211開始重複而具有額外的蝕刻及保護塗層之沉積。蝕刻操作211可改造第二側壁塗層310以形成相較於在操作205及215中沉積的膜更加耐蝕刻的膜。在一範例中,經由方法250執行沉積操作205,以藉其形成包括碳、氮、氧、及氫的有機聚合膜層。一旦完全蝕刻特徵部,方法即完成。
在諸多實施例中,循環地重複蝕刻操作201及保護側壁塗層沉積操作205數次。舉例而言,這些操作可各發生至少兩次(如圖2A中所示),例如至少約三次、或至少約5次。在某些案例中,循環的次數(每一循環包括蝕刻操作201及保護側壁塗層沉積操作205,而蝕刻操作211及沉積操作215持續為第二循環)介於約2至10,例如介於約2至5。每次發生蝕刻操作時,蝕刻深度增加。在循環之間蝕刻的距離可為均勻的,或者其可為不均勻的。在某些實施例中,當執行額外的蝕刻時於每一循環中蝕刻的距離減少(即,較後面執行的蝕刻操作相較於較早執行的蝕刻操作可能較不廣泛地蝕刻)。於循環之間在每一沉積操作205中沉積的第二側壁塗層310之厚度可為均勻的,或者如此塗層的厚度可有所變化。於每一循環期間第二側壁塗層310的示例性厚度可在約1 nm與約10 nm的範圍之間,例如介於約3 nm與約5 nm之間。再者,所形成之塗層的型式在循環之間可為均勻的,或者其可有所變化。
蝕刻操作201及沉積操作205可在相同反應腔室中或在不同反應腔室中發生。在一範例中,蝕刻操作201發生在第一反應腔室中且沉積操作205發生在第二反應腔室中,而第一及第二反應腔室共同形成例如叢集工具的多腔室處理設備。在某些案例中可提供裝載鎖及其他適當的真空密封以用於在相關腔室之間移送基板。可藉由機器人手臂或其他機械結構移送基板。用於蝕刻(以及在某些案例中沉積)的反應腔室可為Flex™反應腔室,例如來自可得自加州弗里蒙特的科林研發公司(Lam Research Corporation)的2300 ®Flex™ 產品家族。用於沉積的反應腔室可為來自Vector ®產品家族或Altus ®產品家族的腔室,兩者皆可得自科林研發公司。針對蝕刻及沉積兩者之結合反應器的使用在某些實施例中可為有利的,因為不需要移送基板。針對蝕刻及沉積之不同反應器的使用在其中需要針對每一操作特別優化反應器的其他實施例中可為有利的。在特定實施例中,蝕刻及沉積操作兩者在相同的反應腔室(例如,Flex™反應腔室)中發生,並經由例如圖2B之方法250的MLD方法發生沉積反應。低溫熱驅動沉積反應可特別地適合在反而針對執行蝕刻設計的反應腔室中執行。以下進一步討論相關的反應腔室。
如所提及的,沉積操作藉由形成於蝕刻操作期間最小化或防止特徵部之橫向蝕刻的深入貫穿保護層而幫助優化蝕刻操作。此促進具有非常垂直之側壁而具有微小或沒有翹曲的蝕刻特徵部之形成。在某些實施方式中,具有至少約80之縱橫比的最終蝕刻特徵部具有少於約60% (測量為最寬臨界尺寸-於該最寬臨界尺寸之下的最窄臨界尺寸/於該最寬臨界尺寸之上的最窄臨界尺寸 *100)的翹曲。例如,具有50 nm之最寬CD及40 nm之最窄CD(該40 nm CD位於特徵部中該50 nm之下)的特徵部具有25%(100*(50 nm-40 nm)/40 nm=25%)的翹曲。在另一實施方式中,具有至少約40之縱橫比的最終蝕刻特徵部具有少於約20%的翹曲。 IV. 製程操作的材料及參數 A. 基板
本文揭示的方法特別有利於蝕刻具有介電材料於其上的半導體基板。示例性的介電材料包括矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜版本(例如,摻雜有硼、磷等),以及來自這些材料之任意組合的層壓板。特定的示例性材料包括SiO 2、SiN、SiON、SiOC、SiCN等的化學計量與非化學計量配方。如以上所提及的,被蝕刻的介電材料可包括不止一型式/層之材料。在特定案例中,可在SiN及SiO 2之交替層或多晶矽及SiO 2之交替層中提供介電材料。以上有提供進一步的細節。基板可具有定義特徵部待被蝕刻之處的覆蓋遮罩層。在某些案例中,遮罩層為Si,且遮罩層可具有介於約500 nm與約1500 nm之間的厚度。 B. 蝕刻製程
在諸多實施方式中,蝕刻製程係涉及將化學蝕刻劑流入反應腔室(通常經由噴淋頭)中、從特別是蝕刻劑中產生電漿、以及將基板曝露至電漿的反應離子蝕刻製程。電漿將蝕刻劑化合物(一或多)解離成中性物種及離子物種(例如,像是CF、CF 2及CF 3的帶電荷或中性材料)。在許多案例中的電漿係電容耦合電漿,但若合適的話可使用其他型式的電漿。電漿中的離子被導向晶圓並致使介電材料在撞擊時被蝕刻掉。
可用以執行蝕刻製程的示例性設備包括可得自加州弗里蒙特之科林研發公司的反應離子蝕刻反應器之2300 ®FLEX™ 產品家族。在以下美國專利中進一步描述此型式的蝕刻反應器:美國專利第8,552,334號及美國專利第6,841,943號,該些專利係整體地且基於所有目的而藉由參照併入本文中。
諸多反應物可供選擇以將特徵部蝕刻進入介電材料中。在某些案例中,蝕刻化學組成物包括一或更多氟碳化物。在此些或其他案例中,蝕刻化學組成物可包括例如NF 3的其他蝕刻劑。亦可提供一或更多共反應物。在某些案例中提供氧(O 2)作為共反應物。氧可有助於緩和保護性聚合側壁塗層(例如,圖3A至3D的第一側壁塗層304)的形成。
在某些實施方式中,蝕刻化學組成物包括氟碳化物與氧的組合。舉例而言,在一範例中的蝕刻化學組成物包括C 4F 6、C 4F 8、N 2、CO、CF 4, 及 O 2。亦可使用其他習知的蝕刻化學組成物,亦可為非習知的化學組成物。氟碳化物可以介於約0 sccm與約500 sccm之間的速率流動,例如介於約10 sccm與約200 sccm之間。當使用C 4F 6及C 4F 8時,C 4F 6的流動可在介於約10 sccm與約200 sccm的範圍之間且C 4F 8的流動可在介於約10 sccm與約200 sccm的範圍之間。氧的流動可在介於約0 sccm與約500 sccm的範圍之間,例如介於約10 sccm與約200 sccm之間。氮的流動可在介於約0 sccm與約500 sccm的範圍之間,例如介於約10 sccm與約200 sccm之間。四氟甲烷的流動可在介於約0 sccm與約500 sccm的範圍之間,例如介於約10 sccm與約200 sccm之間。一氧化碳的流動可在介於約0 sccm與約500 sccm的範圍之間,例如介於約10 sccm與約200 sccm之間。這些速率在大約50公升的反應器容積中係適當的。
在某些實施例中,於蝕刻期間的基板溫度介於約30°C與約200ºC之間。在某些實施例中,於蝕刻期間的壓力介於約5毫托與約80毫托之間。離子能量可相對較高,例如介於約1 kV與約10 kV之間。藉由所施加的RF功率決定離子能量。在諸多案例中,使用雙頻RF功率產生電漿。因而,RF功率可包括第一頻率分量(例如,約2 MHz)及第二頻率分量(例如,約60 MHz)。可於各頻率分量提供不同功率。舉例而言,可在介於約3 kW與約24 kW之間的功率下提供第一頻率分量(例如,約2 MHz),例如約10 kW,並可在較低功率下提供第二頻率分量(例如,約60 MHz),例如介於約0.5 kW與約10 kW之間,例如約2 kW。在某些實施例中,使用三種不同頻率的RF功率產生電漿。例如,組合可為2 MHz、27 MHz、及60 MHz。針對第三頻率分量(例如約27 MHz)的功率位準可與以上針對第二頻率分量指定的該些功率相似。此些功率位準假定RF功率係輸送至單一300 mm晶圓。針對額外的基板及/或其他尺寸的基板可根據基板面積線性縮放功率位準(從而維持輸送至基板的均勻功率密度)。在某些範例中,可在介於約100 Hz與約 40,000 Hz之間的重複率下在較高功率與較低功率之間調變於蝕刻期間施加的RF功率。
蝕刻製程的每一循環將介電材料蝕刻至某些程度。於蝕刻循環期間蝕刻的距離可介於約10 nm與約500 nm之間,例如介於約50 nm與約200 nm之間。總蝕刻深度將取決於特定應用。針對某些案例(例如,DRAM) 總蝕刻深度可介於約1.5 μm與約2 μm之間。針對其他案例(例如,VNAND) 總蝕刻深度可為至少約3 μm,例如至少約4 μm。在此些或其他案例中,總蝕刻深度可為約5 μm或更少。
如圖3A至3D的討論內容中所說明的,蝕刻製程可產生第一側壁塗層(例如,第一側壁塗層304,其可為聚合的)。然而,此側壁塗層的深度可能受限在特徵部之上部附近的區域,且可能不會一路向下延伸至其中亦需要側壁保護的特徵部中。因而,如本文所述,執行另外的沉積操作以形成覆蓋更多蝕刻特徵部之深度的側壁塗層。 C. 沉積製程
主要執行沉積製程以於蝕刻特徵部內的側壁上沉積保護層。即使在高縱橫比特徵部中,此保護層仍應深入地延伸進入特徵部中。可藉由具相對低黏附係數的反應物實現縱橫比特徵部內深入地保護層之形成。再者,仰賴反應物之吸附的反應機制(例如,ALD及MLD反應)可促進蝕刻特徵部內深入地保護層之形成。於部分蝕刻特徵部後開始保護層的沉積。如在圖2A的討論內容中所提及的,當特徵部被蝕刻得更深入介電材料中時,沉積操作可與蝕刻操作一起循環以形成額外的側壁保護。在某些案例中,保護層的沉積係在特徵部被蝕刻至其最終深度的至少約1/3之時或之後開始。在某些實施例中,一旦特徵部達到至少約2、至少約5、至少約10、至少約15、至少約20、或至少約30的縱橫比時即開始保護層的沉積。在此些或其他案例中,可在特徵部達到約4、約10、約15、約20、約30、約40、或約50的縱橫比之前開始沉積。在某些實施例中,於特徵部至少約1 μm深、或至少約1.5 μm深(例如,在其中最終特徵部深度為3至4 μm的VNAND實施例中)之後開始沉積。在其他實施例中,於特徵部至少約600 nm深、或至少約800 nm深(例如,在其中最終特徵部深度為1.5至2 μm的DRAM實施例中)之後開始沉積。開始保護層之沉積的最佳時間係緊接在側壁將如若變得過度蝕刻而形成翹曲之前。此情況發生的確切時間取決於被蝕刻特徵部的形狀、被蝕刻的材料、用以蝕刻及用以沉積保護層的化學組成物、以及用以蝕刻和沉積相關材料的製程條件。
於沉積製程期間形成的保護層可具有諸多成分。如同所說明的,保護層應深入地貫穿至蝕刻特徵部中,並且對於用以蝕刻特徵部的蝕刻化學組成物應相當耐受。在某些案例中,保護層係陶瓷材料或有機聚合物。示例性的有機材料可包括聚烯烴,在某些案例中例如為聚氟烯烴。一特定範例為聚四氟乙烯。用於形成某些聚氟烯烴的前驅物片段為CF 2(在某些案例中的CF 2可來自六氟環氧丙烷(HFPO)),CF 2具有非常低的黏附係數且因而善於深入地貫穿至蝕刻特徵部中。
在某些實施例中,於沉積製程期間形成的保護層為有機聚合物。在某些案例中,有機聚合物為聚甲亞胺。在特定案例中,聚甲亞胺保護層係從胺(例如二胺)與醛(例如二醛)的組合物中形成。在某些案例中,有機聚合物為聚硫縮醛。舉例而言,聚硫縮醛保護層係從醛(例如二醛)與硫醇的組合物中形成。在某些案例中,有機聚合物為聚脲。例如,聚脲保護層係從異氰酸酯(例如二異氰酸酯)與胺(例如二胺)的組合物中形成。在某些案例中,有機聚合物為聚胺甲酸酯。特別是,聚胺甲酸酯保護層係從異氰酸酯(例如二異氰酸酯)與醇(例如二醇)的組合物中形成。可在MLD製程中使用如此反應物以在例如像是圖2C及2D中所示的諸多實施例中形成保護層。
在保護膜包括例如含氮聚合物之氮的情況下,可使用含氮反應物。含氮反應物含有至少一氮,例如,氮、氨、肼、胺(例如,帶碳的胺),胺例如為甲胺、二甲胺、乙胺、乙二胺、異丙胺、叔丁胺、二叔丁胺、環丙胺、仲丁胺、環丁胺、異戊胺、2-甲基丁胺2-胺、三甲胺、二異丙胺、二乙基異丙胺、二叔丁基肼,以及例如苯胺、吡啶、及芐胺的含胺芳香族。胺可為一級、二級、三級或四級(例如,四烷基銨化合物)。含氮反應物可含有氮以外的雜原子,例如,羥胺、叔丁氧羰基胺及N-叔丁基羥胺為含氮反應物。另一範例為氧化二氮。
在保護膜包括例如含氧聚合物之氧的情況下,可使用含氧反應物。含氧反應物的範例包括氮不限於氧、臭氧、氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、氧化硫、二氧化硫、含氧碳氫化合物(C xH yO z)、水、醯鹵、酸酐、以上之混合物等。所揭示的前驅物並非意圖受到限制。
在其中保護塗層包括有機聚合物的某些實施方式中,第一反應物可為例如醯氯(例如二醯氯)的醯鹵(例如二醯鹵)(但在某些案例中可使用其他醯鹵)。在諸多實施例中,二醯氯之第一反應物可為乙二醯二氯(亦稱為草醯二氯,ClCOCOCl)、丙二醯二氯(亦稱為丙二醯氯,CH 2(COCl) 2)、琥珀醯二氯(亦稱為琥珀醯氯,ClCOCH 2CH 2COCl)、戊二醯二氯(亦稱為戊二醯氯,ClCO(CH 2) 3COCl)、或以上之組合。在某些其他的實施方式中,第一反應物可為例如產生上述二醯氯中之任意者的二羧酸之酐的酸酐。可使用之酸酐的一範例為順丁烯二酸酐。在又其他實施方式中,第一反應物可為有機含金屬前驅物,其中的一範例為三甲鋁(TMA)。
在某些實施方式中,在保護膜包括有機聚合物的情況下,第一反應物可替代地為醛(例如,二醛或三醛)或至少包括醛官能基。在諸多實施例中,第一反應物可為琥珀二醛(C 4H 6O 2)、戊二醛(C 5H 8O 2)、己二醛(C 6H 10O 2)、對苯二甲醛(C 8H 6O 2)、1,4-苯二甲醛(C 6H 4(CHO) 2)、鄰苯二甲醛(C 8H 6O 2)、1,2苯二甲醛(C 6H 4(CHO) 2)、2-甲基戊二醛(C 6H 10O 2)、或以上之組合。
在某些實施方式中,在保護膜包括有機聚合物的情況下,第一反應物可替代地為異氰酸酯(例如二異氰酸酯)或至少包括異氰酸官能基。在某些案例中的二異氰酸酯可為:甲苯-2,4-二異氰酸酯、1,3-雙(異氰酸甲酯)環己烷、六亞甲基二異氰酸酯、間亞二甲苯基二異氰酸酯、1,3-雙(1-異氰酸酯基-1-甲基乙基)苯、異佛爾酮二異氰酸酯、二苯甲烷4,4'-二異氰酸酯、4,4'-亞甲基雙(環己基異氰酸酯)、甲苯-2,6-二異氰酸酯、1,4-亞苯基二異氰酸酯、1,3-亞苯基二異氰酸酯、3,3'-二甲基-4,4'-聯苯二異氰酸酯、或以上之組合。
在某些實施例中,醛或異氰酸脂可用於替代醯鹵。醯鹵可具有自反應傾向,從而即使於低溫下亦導致短儲存壽命。
二醛通常相較於例如二醯氯的醯鹵更為穩定。二醛相較於例如二醯氯的醯鹵也更容易揮發。二醛通常具有較高的蒸氣壓使得二醛較易於汽化及輸送至基板。較高的用劑壓力減少劑量時間並減少沉積製程時間,從而增加產量。在該方式下,可在沉積製程實質上並未減緩凹入特徵部之形成的情況下執行重複的沉積與蝕刻製程。
二醛可具有黏附至蝕刻表面的傾向。在不受任何理論的限制下,二醛可容易黏附至已被氟碳化物化學蝕刻的表面。使用MLD沉積保形襯墊的挑戰之一為在蝕刻表面上引發沉積。二醛可選擇性地附著至被氟碳化物或氫氟碳化物化學蝕刻的表面以改善蝕刻特徵部內側壁上保護膜的引發。
在保護塗層包括有機聚合物的情況下,第二反應物可包括胺或醇官能基。在其中保護塗層包括有機聚合物的此些或其他實施例中,第二反應物可為二胺。在某些案例中的二胺可為1,2-乙二胺(亦稱為乙二胺,(NH 2(CH 2) 2NH 2))、1,3-丙二胺(NH 2(CH 2) 3NH 2)、1,4-丁二胺(NH 2(CH 2) 4NH 2)、或以上之組合。在某些其他的案例中,二胺可為乙二胺、間苯二甲胺、異佛爾酮二胺、1,3-環己烷雙(甲胺)、1,4-雙(胺基甲基)環己烷、4,4'-亞甲基雙(2-甲基環己胺)、4,4'-亞甲基雙(環己胺)、間苯二胺、對苯二胺、4-胺基芐胺、3-胺基芐胺、4-(2-胺基乙基)苯胺、對苯二甲胺、間苯二甲胺、或以上之組合。在某些案例中,第二反應物可為二醇。示例性的二醇包括乙二醇、1,3-丙二醇、1,4-丁二醇、或以上之組合。在某些案例中,第二反應物可為硫醇。示例性的硫醇包括1,2-乙二硫醇、1,3-丙二硫醇、1,4-丁二硫醇、或以上之組合。在某些實施例中,第二反應物可為三官能化合物,例如(±)-3-胺基-1,2-丙二醇、甘油、雙(六亞甲基)三胺、三聚氰胺、二亞乙基三胺、(±)-1,2,4-丁三醇、氰尿醯氯、或以上之組合。
在特定的實施例中,例如戊二醛的二醛可與乙二胺一起使用以形成聚醯胺保護塗層。在第一反應物為二醛且第二反應物為二胺的情況下,所沉積的有機聚合保護塗層相較於若第一反應物為醯鹵時可具有較大的蝕刻耐受性。事實上,所沉積的有機聚合保護塗層對於電漿蝕刻可為高度耐受的,從而提供對抗後續於蝕刻操作期間介電材料之橫向蝕刻的有效側壁保護膜。
在某些實施方式中,可將有機聚合保護塗層沉積為在蝕刻反應器中的原位製程。二醛可在相對低溫(例如,小於100°C)下及相對低劑量(例如,小於10 托-秒)下與二胺反應。據此,可在不引入空氣斷路的情況下於蝕刻操作之間在反應腔室中沉積有機聚合塗層。在某些實施例中,有機聚合塗層的沉積可在與基板中凹入特徵部之形成期間的蝕刻操作相同的反應腔室中發生。
在另一特定的實施例中,二異氰酸酯可與乙二胺一起使用以形成聚脲保護塗層。
示例性的淨化氣體包括但不限於He、Ar、Ne、H 2、N 2、及以上之組合。
亦可使用本領域之通常技藝人士所熟知的其他反應物。例如在保護膜包括金屬的情況下,可使用含金屬反應物,以及在保護膜包括碳的情況下,可使用含碳反應物。
將提供反應物組合的些許特定範例,但此些範例並非意圖受到限制。在一範例中,例如戊二醛的二醛係吸附至基板的表面上以形成前驅物膜。可將前驅物膜曝露至乙二胺以藉其形成如圖2C及2D中所示的保護性有機聚合膜。反應可在沒有曝露至電漿的情況下發生,而係仰賴熱能來驅動反應。如以上所述,已顯示這些反應物於相對低溫下在沒有電漿能量的情況下反應。
如以上所提及的,用以形成保護層的前驅物(一或多)可具有相對低的黏附係數,從而使前驅物能夠深入地貫穿至蝕刻特徵部中。在某些案例中,前驅物的黏附係數(於相關沉積條件下)可為約0.05或更小,例如約0.001或更小。
反應機制可為循環的(例如,ALD或MLD)或連續的(例如,CVD)。可使用在高縱橫比下導致保護側壁膜之形成的任何方法。如所提及的,ALD及MLD反應由於它們的保形性及吸附為基機制而可特別適用於此目的。然而,可使用其他型式的反應,只要膜能夠在高縱橫比下形成以在蝕刻特徵部深處保護側壁。
簡言之,電漿輔助ALD反應涉及循環地執行以下操作:(a)第一反應物的輸送以形成吸附前驅物層,(b)可選的淨化操作以從反應腔室中移除第一反應物,(c)第二反應物的輸送(通常以電漿的形式提供),其中電漿能量驅動第一與第二反應物之間的反應,(d)可選的淨化以移除過量的反應物及副產物,以及(e)重複(a)至(d)直到膜達到所需厚度。
相似地,MLD反應可涉及循環地執行如下操作:(a)第一反應物的輸送以形成吸附前驅物層,(b)可選的淨化操作以從反應腔室中移除未吸附的第一反應物,(c)第二反應物的輸送,其中熱能驅動第一與第二反應物之間的反應以形成保護膜,(d)可選的淨化操作以移除未吸附的反應物及副產物,以及(e)重複(a)至(d)直到保護膜達到所需厚度。可以氣相輸送第一及第二反應物,並可在無電漿之使用的情況下發生反應。
在ALD及MLD方法的案例中,因為係以分別的時間提供反應物且反應係表面反應,膜可在某些程度上受到吸附限制。此吸附為基型式導致非常保形之膜的形成而可實質地鋪襯特徵部的整體深度。在諸多案例中,可沿著部分蝕刻特徵部的長度/深度的實質部分沉積保護塗層。在某些案例中,可沿著特徵部之長度/深度的至少約80%、至少約90%、或至少約95%沉積保護膜。在特定的實施例中,保護膜沿著特徵部的整體長度/深度沉積。相比之下,電漿輔助CVD反應涉及於基板曝露至電漿時連續地輸送反應物(一或多)至基板。CVD反應為氣相反應而於基板表面上沉積反應產物。
可在其中經由MLD方法發生沉積反應的某些實施例中使用以下反應條件。關聯於圖2B中所示的方法250來描述條件。在操作251中,可將第一反應物流入反應腔室中。在某些實施例中,第一反應物可在介於約0.1 s與約30 s之間的持續時間中,例如介於約0.2 s與約5 s之間,以介於約0.1 sccm與約5000 sccm之間的速率流動,例如介於約500 sccm與約2000 sccm之間。於操作253,可在介於約0.05 s與約10 s之間的持續時間中,例如介於約0.2 s與約3 s之間,可選地淨化腔室。可藉由排空反應腔室及/或藉由將惰性氣體流經反應腔室而發生淨化。在使用惰性氣體的情況下,於某些案例中惰性氣體可以介於約20 sccm與約5000 sccm之間的速率流動。接著,於操作255,可將第二反應物流入反應腔室中。在某些實施例中,第二反應物可在介於約0.1 s與約30 s之間的持續時間中,例如介於約0.2 s與約5 s之間,以介於約10 sccm與約5000 sccm之間或者介於約500 sccm與約2000 sccm之間的速率流動。
可提供熱能以驅動第一與第二反應物之間的反應。熱能在主要由基板之溫度控制的一定程度上為可用的。在某些案例中,可藉由經基板支架/台座控制基板溫度而調節熱能。在此些或其他案例中,可藉由在特定溫度下輸送反應物而提供熱能。在某些案例中,可將基板之溫度維持在約-10°C與約350°C之間,例如介於約0°C與約200°C之間,或介於約10°C與約100°C之間,或介於約20°C與約50°C之間。在某些實施例中,基板係維持在約200°C以下、約100°C以下、約50°C以下、或約30°C以下的溫度。在此些或其他實施例中,輸送至反應腔室之反應物氣體的一或兩者(及/或用來淨化的惰性氣體)的溫度可與此段落中所述的基板溫度相對應。於操作257,可使用以上關於操作253所述的條件可選地淨化反應腔室。於操作259判定保護膜是否夠厚。若否,則可從操作251開始重複方法。在某些案例中,反應腔室內的壓力可介於約1托與約4托之間。在諸多案例中,可將在圖2A之操作205或215之每一迭代中的保護膜沉積超過約10分鐘或較少的持續時間。
在某些實施例中,形成有機聚合膜之分子的末端構成羥基、胺、或硫醇。例如,若使用二胺作為第二反應物,則-NH 2可構成形成有機聚合膜之分子的末端。若使用二醇作為第二反應物,則-OH可構成形成有機聚合膜之分子的末端。相似地,若使用硫醇作為第二反應物,則-SH可構成形成有機聚合膜之分子的末端。
在某些實施例中,可將用以形成有機聚合膜的第一反應物與第二反應物流入真空腔室中,直到第一與第二反應物於真空腔室之電漿或製程氣體曝露表面上達到約100%飽和,使得沉積在真空腔室之電漿或製程氣體曝露表面上的有機聚合膜之層具有最大厚度。在某些實施例中亦可實行不飽和或過飽和,例如為了依據需要而調整沉積速率。
本文提供反應條件作為指導方針而非旨在限制反應條件。 V. 設備
可藉由任何合適的設備或設備之組合來執行本文所述的方法。合適的設備包括用於完成製程操作的硬體以及具有用以依據本發明控制製程操作之指令的系統控制器。例如,在某些實施例中,硬體可具有包括在製程工具中的一或更多製程站。一製程站可為蝕刻站且另一製程站可為沉積站。在另一實施例中,蝕刻與沉積發生在單一站/腔室中。
圖4A至4C繪示可用於執行本文所述之蝕刻操作的可調間隙電容耦合局限RF電漿反應器400的實施例。如描繪內容所示,真空腔室402包括腔室外殼404,腔室外殼404圍繞收容下電極406的內部空間。在腔室402的上部中,上電極408與下電極406垂直地間隔開來。上電極與下電極408、406的平面表面實質上平行且正交於兩電極之間的垂直方向。上電極與下電極408、406較佳為圓形且相對於垂直軸共軸。上電極408的下表面面向下電極406的上表面。間隔開的相面對電極表面定義兩電極表面之間的可調間隙410。於操作期間,藉由RF功率供應器(匹配)420對下電極406供應RF功率。經由RF供應導管422、RF帶424及RF功率構件426將RF功率供應至下電極406。接地屏蔽436可圍繞RF功率構件426以提供更均勻的RF場至下電極406。如同在共有的美國專利第7,732,728號中所述,經由晶圓埠482插入晶圓並將晶圓支托在下電極406上的間隙410中以進行處理,將製程氣體供應至間隙410並藉由RF功率激發至電漿態中,該專利的整體內容藉由參照併入本文中。可供電上電極408或將其接地。
在圖4A至4C所示的實施例中,於下電極支撐板416上支托下電極406。插在下電極406與下電極支撐板416之間的絕緣環414將下電極406與支撐板416絕緣。
RF偏壓外殼430於RF偏壓外殼碗432上支托下電極406。碗432係藉由RF偏壓外殼430的臂434而經由腔室壁板418中的開口連接至導管支撐板438。在較佳的實施例中,RF偏壓外殼碗432及RF偏壓外殼臂434係整合地形成為一組件,然而,臂434與碗432亦可為兩分別的組件而被螺栓或接合在一起。
RF偏壓外殼臂434包括一或更多中空通道以用於將RF功率及設施從真空腔室402外部傳遞至真空腔室402內部於下電極406的背面空間處,RF設施例如為氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制的纜線、電監控及致動訊號。RF供應導管422係與RF偏壓外殼臂434絕緣,RF偏壓外殼臂434提供RF功率至RF功率供應器420的返回路徑。設施導管440為設施組件提供通道。為了說明書的簡化,設施組件的進一步細節係在美國專利第5,948,704號及第7,732,728號中描述而於不於此顯示。間隙410較佳為被限制環組件或側板(未顯示)圍繞,其細節可在藉由參照併入本文中的共有公開美國專利第7,740,736號中找到。藉由經真空入口480至真空泵的連接而將真空腔室402的內部維持在低壓。
導管支撐板438係附接至致動機構442。致動機構的細節係在上述併入本文中的共有美國專利第7,732,728號中描述。例如伺服機構馬達、步進馬達或其相似者的致動機構442係例如藉由螺旋齒輪446附接至垂直線性軸承444,螺旋齒輪446例如為滾珠螺桿及用於旋轉滾珠螺桿的馬達。於操作期間為了調整間隙410的尺寸,致動機構442沿著垂直線性軸承444行進。圖4A繪示當致動機構442於線性軸承444上之高位置而產生小間隙410 a時的配置。圖4B繪示當致動機構442於線性軸承444上之中位置時的配置。如圖所示,下電極406、RF偏壓外殼430、導管支撐板438、RF功率供應器420全都已相對於腔室外殼404及上電極408下移,而產生中尺寸間隙410 b
圖4C繪示當致動機構442於線性軸承上之低位置時的大間隙410 c。較佳地,於間隙調整期間上電極與下電極408、406仍然共軸且上電極與下電極跨間隙的相面對表面仍係平行的。
此實施例允許於多步驟製程配方(BARC、HARC、及STRIP等)期間CCP腔室402中的下電極與上電極406、408之間的間隙410被調整,舉例來說,以便維持跨諸如300 mm晶圓或平面顯示器之大直徑基板的均勻蝕刻。特別是,此腔室屬於允許必要的線性移動以提供下電極與上電極406、408間之可調間隙的機構配置。
圖4A繪示密封在至導管支撐板438之近端處以及至腔室壁板418之階梯狀凸緣428之遠端處的橫向偏斜風箱450。階梯狀凸緣的內徑定義腔室壁板418中的開口412,而RF偏壓外殼臂434經過開口412。藉由夾持環452夾持風箱450的遠端。
橫向偏斜風箱450提供真空密封同時允許RF偏壓外殼430、導管支撐板438及致動機構442的垂直移動。可將RF偏壓外殼430、導管支撐板438及致動機構442稱為懸臂裝備。較佳地,RF功率供應器420與懸臂裝備一起移動並可附接至導管支撐板438。圖4B顯示當懸臂裝備於中位置時在中立位置的風箱450。圖4C顯示當懸臂裝備於低位置時橫向偏斜的風箱450。
迷宮式密封448提供風箱450與電漿處理腔室外殼404的內部之間的粒子阻障。固定屏蔽456不可動地附接至於腔室壁板418處之腔室外殼404內部的內牆以便提供迷宮式溝槽460(槽),於迷宮式溝槽460中可動屏蔽板458垂直地移動以適應懸臂裝備的垂直移動。於下電極406的所有垂直位置處,可動屏蔽板458的外部皆保持在槽中。
在所示的實施例中,迷宮式密封448包括附接至於腔室壁板418中開口412周邊的腔室壁板418之內表面的固定屏蔽456而定義迷宮式溝槽460。可動屏蔽板458係附接的並且從RF偏壓外殼臂434中臂434通過腔室壁板418中開口412之處徑向地延伸。當藉由第一間隙與固定屏蔽456間隔開來以及藉由第二間隙與腔室壁板418之內表面間隔開來時,可動屏蔽板458延伸進入迷宮式溝槽460中而允許懸臂裝備垂直地移動。迷宮式密封448阻擋從風箱450剝落的粒子進入真空腔室內部405之遷移並阻擋來自製程氣體電漿之自由基至風箱450的遷移,其中自由基可能形成後續剝落的沉積物。
圖4A顯示當懸臂裝備在高位置(小間隙410 a)時,於 RF偏壓外殼臂434上方之迷宮式溝槽460中較高位置處的可動屏蔽板458。圖4C顯示當懸臂裝備在低位置(大間隙410 c)時,於 RF偏壓外殼臂434上方之迷宮式溝槽460中較低位置處的可動屏蔽板458。圖4B顯示當懸臂裝備在中位置(中間間隙410 b)時,於迷宮式溝槽460內中立或中間位置的可動屏蔽板458。儘管顯示迷宮式密封448相對於RF偏壓外殼臂434係對稱的,在其他實施例中的迷宮式密封448可相對於RF偏壓外殼臂434係不對稱的。
圖5提供描繪配置以用於實施本文所述沉積方法之諸多反應器組件的簡化方塊圖。如圖所示,反應器500包括封入反應器之其他組件並用以容納由電容放電型系統產生之電漿的製程腔室524,電容放電型系統包括與接地加熱器塊520結合在一起工作的噴淋頭514。可將高頻(HF)射頻(RF)產生器504及低頻(LF)RF產生器502連接至匹配網路506及連接至噴淋頭514。由匹配網路506供應的功率及頻率可足以從供應至製程腔室524的製程氣體中產生電漿。例如,匹配網路506可提供50W至500W的HFRF功率。在某些範例中,匹配網路506可提供100W至5000W的HFRF功率以及100W至5000W的LFRF功率總能量。在通常的製程中,HFRF分量大體上可介於5 MHz至60 MHz之間,例如13.56 MHz。在其中有LF分量的操作中,LF分量可從約100 kHz至2 MHz,例如430 kHz。
在反應器中,晶圓台座518可支托基板516。晶圓台座518可包括卡盤、叉、或升降銷(未顯示)以於沉積及/或電漿處理反應期間和之間保持並移送基板。卡盤可為靜電卡盤、機械卡盤、或在產業及/或研究中可供使用的諸多其他型式之卡盤。
可經由入口512導入諸多製程氣體。多個源氣體管線510連接至歧管508。可預先混合氣體或者不預先混合之。可採用適當的閥及質量流量控制機構以確保於製程的沉積及電漿處理階段期間輸送正確的製程氣體。在其中以液體形式輸送化學前驅物(一或多)的案例中,可採用液體流量控制機構。然後可將如此液體在到達沉積腔室之前於運輸期間在歧管中汽化並與製程氣體混合,歧管係被加熱至高於以液體形式供應之化學前驅物的汽化點。
製程氣體可經由出口522離開腔室524。可使用例如一或二級機械乾燥泵及/或渦輪分子泵的真空泵540以將製程氣體從腔室524抽出並藉由使用閉環控制流量限制裝置以維持製程腔室524內的適當低壓,閉環控制流量限制裝置例如為節流閥或擺閥。
如以上所討論的,可在多站或單站工具上實施用於本文所討論之沉積的技術。在特定的實施方式中,可使用具有4站沉積方案的300 mm Lam Vector TM工具或是具有6站沉積方案的200 mm Sequel TM工具。在某些實施方式中,可使用用於處理450 mm晶圓的工具。在諸多實施方式中,可於每次沉積及/或沉積後電漿處理之後索引晶圓,或者若蝕刻腔室或站亦為相同工具之部分時可於蝕刻操作之後索引晶圓,或者於索引晶圓之前可於單一站進行多個沉積及處理。
在某些實施例中,可提供配置以執行本文所述技術的設備。合適的設備可包括用於執行諸多製程操作的硬體以及具有用於依據所揭示實施例控制製程操作之指令的系統控制器530。系統控制器530通常會包括一或更多記憶體裝置以及與諸多製程控制配備通信連接的一或更多處理器,製程控制配備例如為閥、RF產生器、晶圓處置系統等,且一或更多處理器係配置以執行指令使得設備將依據所揭示實施例執行技術。可將含有用於依據本揭示內容控制製程操作之指令的機器可讀媒體耦接至系統控制器530。系統控制器530可與諸多硬體裝置通信連接,例如質量流量控制器、閥、RF產生器、真空泵等,以利於關聯本文所述沉積操作之諸多製程參數的控制。
在某些實施例中,系統控制器530可控制反應器500的所有活動。系統控制器530可執行被儲存在大量儲存裝置中、被載入記憶體裝置中、並在處理器上執行的系統控制軟體。系統控制軟體可包括用於控制氣體流動之時序、晶圓移動、RF產生器啟動等的指令,以及用於控制下列的指令:氣體之混合、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、目標功率位準、RF功率位準、基板台座、卡盤、及/或感受器位置、以及由反應器設備500所執行之特定製程的其他參數。可以任何合適的方式配置系統控制軟體。例如,可寫入諸多製程工具組件次常式或控制目標以控制實行諸多製程工具程序所需的製程工具組件之操作。可以任何合適的電腦可讀程式語言將系統控制軟體編碼。
系統控制器530通常可包括一或更多記憶體裝置以及配置以執行指令使得設備將依據本揭示內容執行技術的一或更多處理器。可將含有用於依據所揭示實施例控制製程操作之指令的機器可讀媒體耦接至系統控制器530。
可將一或更多製程站包括在多站處理工具中。圖6顯示具有入站裝載鎖602及出站裝載鎖604的多站處理工具600之實施例的示意圖,入站及出站裝載鎖中的任一者或兩者皆可包括遠端電漿源。於大氣壓力下的機器人606係配置以從經由大氣埠610而通過吊艙608裝載至入站裝載鎖602中的卡匣移出晶圓。藉由機器人606將晶圓放置在入站裝載鎖602中的台座612上,關閉大氣埠610,並將裝載鎖抽空。在入站裝載鎖602包括遠端電漿源的情況下,可在晶圓被導入處理腔室614之前將晶圓曝露至裝載鎖中的遠端電漿處理。再者,亦還可在入站裝載鎖602中加熱晶圓,以例如移除濕氣及所吸附的氣體。接著,打開通至處理腔室614的腔室傳送埠616,且另一機器人(未顯示)將晶圓放入反應器中所示之第一站之台座上的反應器中以進行處理。當所描繪的實施例包括裝載鎖時,將可理解的是,在某些實施例中可提供晶圓至製程站中的直接進入。
所描繪的處理腔室614包括四個製程站,在圖6所示的實施例中編號為1至4。每一站具有加熱台座(針對站1顯示於618處)、及氣體管線入口。將可理解在某些實施例中,每一製程站可具有不同或多個目的。例如,製程站1至4的每一者可為用於執行ALD、CVD、CFD、或蝕刻(以上之任一者可為電漿輔助的)中之一或更多者的腔室。在一實施例中,製程站的至少其中一者為具有如圖5中所示之反應腔室的沉積站,且其他製程站的至少其中一者為具有如圖4A至4C中所示之反應腔室的蝕刻站。儘管所描繪的處理腔室614包括四站,將可理解的是依據本揭示內容的處理腔室可具有任何合適數量的站。例如,在某些實施例中,處理腔室可具有四或更多的站,而在其他實施例中的處理腔室可具有三或較少的站。
圖6亦描繪用於在處理腔室614內移送晶圓的晶圓處置系統609。在某些實施例中,晶圓處置系統609可在諸多製程站之間及/或製程站與裝載鎖之間移送晶圓。將可理解的是,可採用任何合適的晶圓處置系統。非限制性的範例包括晶圓迴轉料架及晶圓處置機器人。圖6亦描繪用以控制製程條件及製程工具600之硬體狀態的系統控制器650之實施例。系統控制器650可包括一或更多記憶體裝置656、一或更多大量儲存裝置654、及一或更多處理器652。處理器652可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在某些實施方式中,控制器為系統的一部分,系統可為上述範例的一部分。如此系統可包含半導體處理設備,半導體處理設備包括:一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理組件(晶圓台座、氣流系統等)。這些系統可與用以在半導體晶圓或基板的處理之前、期間、與之後控制所述系統之操作的電子設備整合。可將所述電子設備稱為「控制器」,控制器可控制系統或複數系統的諸多組件或子部件。取決於處理條件及/或系統的型式,可將控制器程式化以控制本文所揭示的任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、定位與操作設定、晶圓移進移出工具以及與特定系統連接或介面接合之其他傳送工具及/或裝載鎖。
總的來說,可將控制器定義為具有接收指令、發出指令、控制操作、實行清潔操作、實行端點量測、及其相似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包括儲存程式指令的韌體形式之晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器、或微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式傳送至控制器之指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對於系統實行特定製程的操作性參數。在某些實施例中,所述操作性參數可為由製程工程師定義之配方的一部分,以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間完成一或更多處理操作。
在某些實施方式中,控制器可為電腦之一部分或耦接至電腦,電腦與系統整合、耦接至系統、或網路連結至系統、或以上之組合。例如,控制器可位於「雲端」、或為晶圓廠主電腦系統之全部或部分,其可允許晶圓處理的遠端存取。電腦可實行對系統之遠端存取以監控製造操作之當前進度、檢視過去製造操作之歷史、從複數製造操作中檢視趨勢或效能度量指標,以改變當前處理的參數、以設定接續當前處理的處理操作、或用以開啟新的製程。在某些範例中,遠端電腦(例如伺服器)可利用網路將製程配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面而允許參數及/或設定的輸入或程式化,然後這些設定從遠端電腦傳送至系統。在某些範例中,控制器接收資料形式的指令而針對待於一或更多操作期間執行之處理操作的每一者指定參數。應理解的是,所述參數係可特定於待執行之製程的型式及工具的型式,而控制器係配置以與該工具介面接合或控制該工具。因此,如上所述,控制器可為分散式的,例如藉由包含以網路連結在一起、並針對相同目的而運作的一或更多分散式控制器,該相同目的例如為本文所述的製程與控制。用於如此目的之分散式控制器的範例會是與遠端設置(例如在平台層或為遠端電腦之一部分)的一或更多積體電路通信之腔室上的一或更多積體電路,其結合以控制腔室上的製程。
在不受限制的情況下,示例性的系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜面邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、分子層沉積(MLD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、以及可與半導體晶圓之生產及/或製造相關或用於其中的任何其他半導體處理系統。
如上所載,取決於欲使用工具執行的一或多製程操作,控制器可與下列之一或更多者通信:其他工具電路或模組、其他工具組件、叢集工具、其他工具介面、相鄰的工具、附近的工具、坐落在整個工廠的工具、主電腦、另一控制器、或其在半導體製造廠中將晶圓之容器攜帶往來工具位置及/或裝載埠之用於材料傳送的工具。
在某些實施例中,控制器具有用以執行關於圖2A所示及所述之操作的指令。例如,控制器可具有用以循環下列操作的指令:(a)執行蝕刻操作以於基板上部分地蝕刻特徵部,以及(b)在實質上沒有蝕刻基板的情況下於蝕刻特徵部中沉積保護側壁塗層。可藉由將基板曝露至第一反應物並允許第一反應物吸附至基板上而續行保護側壁塗層的沉積,其中第一反應物可包括二醛或三醛,將基板曝露至第二反應物,其中第一與第二反應物相互反應以形成保護側壁塗層。可以循環方式重複至第一反應物及第二反應物的曝露直到保護膜達到目標厚度。指令可涉及使用所揭示的反應條件來執行這些製程。在某些實施方式中,指令亦可涉及在蝕刻與沉積腔室之間移送基板。
回到圖6的實施例,在某些實施例中,系統控制器650控制製程工具600的所有活動。系統控制器650執行被儲存在大量儲存裝置654中、被載入記憶體裝置656中、且在處理器652上執行的系統控制軟體658。或者,可將控制邏輯硬編碼在系統控制器650中。針對此些目的可使用特殊應用積體電路、可程式邏輯裝置(例如,場式可程式閘陣列、或FPGAs)及其相似者。在以下的討論中,無論在何處使用「軟體」或「編碼」,皆可使用功能相當的硬編碼邏輯來取代之。系統控制軟體658可包括用於控制下列的指令:時序、氣體之混合、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、目標功率位準、RF功率位準、RF曝露時間、基板台座、卡盤及/或感受器位置、以及由製程工具600所執行之特定製程的其他參數。可以任何合適的方式來配置系統控制軟體658。例如,可寫入諸多製程工具組件次常式或控制目標以控制用以實行諸多製程工具程序所需之製程工具組件的操作。可以任何合適的電腦可讀程式語言來編碼系統控制軟體658。
在某些實施例中,系統控制軟體658可包括用以控制上述諸多參數的輸入/輸出控制(IOC)定序指令。例如,CFD製程的每一階段可包括用於使用系統控制器650執行的一或更多指令。用於針對ALD製程階段設定製程條件的指令可包括在相應的ALD配方階段中。在某些實施例中,可序列地配置ALD配方階段,使得針對ALD製程階段的所有指令係與該製程階段同時地執行。
在某些實施例中,可使用儲存在與系統控制器650相關聯的大量儲存裝置654及/或記憶體裝置656中的其他電腦軟體及/或程式。為此目的之程式或程式段的範例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包括用於製程工具組件的程式碼,製程工具組件係用於將基板裝載至台座618上並控制基板與製程工具600的其他部件之間的間隔。
製程氣體控制程式可包括用於控制氣體組成及流速以及可選地用於在沉積之前將氣體流入一或更多製程站中以便穩定製程站中壓力的編碼。在某些實施例中,控制器包括用於在核心層上沉積奈米層壓保護層、以及於保護層上方沉積保形層的指令。
壓力控制程式可包括用於藉由調節例如製程站之排氣系統中的節流閥、流入製程站中的氣流等等來控制製程站中壓力的編碼。在某些實施例中,控制器包括用於在核心層上沉積奈米層壓保護層、以及於保護層上方沉積保形層的指令。
加熱器控制程式可包括用於控制通往用以加熱基板之加熱單元之電流的編碼。可替代地,加熱器控制程式可控制熱傳送氣體(例如氦氣)至基板的輸送。在某些實施方式中,控制器包括用於在第一溫度下沉積奈米層壓保護層、以及在第二溫度下於保護層上方沉積保形層的指令,其中第二溫度高於第一溫度。
電漿控制程式可包括用於依據本文實施例設定RF功率位準以及在一或更多製程站中之曝露時間的編碼。在某些實施例中,控制器包括用於在第一RF功率位準及RF持續時間下沉積奈米層壓保護層、以及在第二RF功率位準及RF持續時間下於保護層上方沉積保形層的指令。第二RF功率位準及/或第二RF持續時間相較於第一RF功率位準/持續時間可較高/較長。
在某些實施例中,可存在關聯於系統控制器650的使用者介面。使用者介面可包括顯示器螢幕、設備及/或製程條件的圖形化軟體顯示器、以及使用者輸入裝置,例如指標裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,由系統控制器650調整的參數可與製程條件相關。非限制性的範例包括製程氣體的組成與流速、溫度、壓力、電漿條件(例如RF偏壓功率位準及曝露時間)等。可以配方的形式提供這些參數給使用者,可利用使用者介面輸入這些參數。
用於監測製程的訊號可藉由系統控制器650的類比及/或數位輸入連接從諸多處理工具感測器中提供。可將用於控制製程的訊號輸出在製程工具600的類比與數位輸出連接上。可被監測的製程工具感測器的非限制性範例包括質量流量控制器、壓力感測器(例如測壓計)、熱電偶等。經適當程式化的反饋與控制演算法可與來自這些感測器的資料一起使用以維持製程條件。
系統控制器650可提供用於實施上述沉積製程的程式指令。程式指令可控制諸多製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數以依據本文所述的諸多實施例操作膜堆疊的原位沉積。
系統控制器通常會包括一或更多記憶體裝置及配置以執行指令的一或更多處理器,使得設備將依據所揭示實施例來執行方法。可將含有用於依據所揭示實施例控制製程操作之指令的機器可讀、非暫態媒體耦接至系統控制器。
以上所述的諸多硬體及方法實施例可連同微影圖案化的工具或製程一起使用,例如,用於半導體裝置、顯示器、LEDs、太陽能平板及其相似者的生產或製造。通常但非必要地,在共同的製造設施中將一起使用或進行如此的工具/製程。
圖7描繪具有與真空移送模組738(VTM)介面接合之諸多模組的半導體製程叢集架構。可將用以在多個儲存設施及處理模組之中「移送」基板的移送模組之配置稱為「叢集工具架構」系統。在具有四處理模組720a至720d的VTM 738中顯示的氣閘730亦稱為裝載鎖或移送模組,可個別優化處理模組720a至720d以執行諸多製造製程。藉由舉例說明,可將處理模組720a至720d實施以執行基板蝕刻、沉積、離子植入、基板清潔、濺鍍、及/或其他半導體製程以及雷射計量與其他缺陷偵測和缺陷識別方法。可如本文所揭示的實施處理模組中的一或更多者(720a至720d中的任意者),即,用於依據所揭示實施例將凹入特徵部蝕刻進入基板中、於凹入特徵部的側壁上沉積保護膜、以及其他合適的功能。可將氣閘730與處理模組720a至720d稱為「站」。每一站具有將站介面接合至VTM 738的端面736。於端面內部,當基板726在各站之間移動時使用感測器1至18偵測基板726之通過。
機器人722在站之間移送基板。在一實施方式中,機器人可具有一手臂,且在另一實施方式中,機器人可具有兩手臂,其中每一手臂具有末端執行器724以將基板拾起用於傳輸。在大氣移送模組(ATM)740中,可使用前端機器人732將基板從卡匣中或裝載埠模組(LPM)742中的前開式統一吊艙(FOUP)734中移送至氣閘730。處理模組720a至720d內的模組中心728可為用於放置基板的一位置。ATM 740中的對準器744可用於對準基板。
在示例性的處理方法中,將基板放置在LPM 742內的其中一FOUPs 734中。前端機器人732將基板從FOUP 734移送至對準器744,而允許基板726在其被蝕刻、或於其上沉積、或以其他方式處理之前被適當地置中。於對準之後,藉由前端機器人732將基板移入氣閘730中。因為氣閘模組具有匹配ATM與VTM之間環境的能力,基板能夠在不受損的情況下於兩壓力環境之間移動。藉由機器人722將基板從氣閘模組730經由VTM 738移動並進入處理模組720a至720d的其中之一,例如處理模組720a。為了達成此基板移動,機器人722使用在其每一手臂上的末端執行器724。在處理模組720a中,基板如本文所述的進行蝕刻以形成部分蝕刻特徵部。接著,機器人722將基板從處理模組720a中移出,進入VTM 738中,而後進入不同的處理模組720b。在處理模組720b中,於部分蝕刻特徵部的側壁上沉積保護膜。然後,機器人722將基板從處理模組720b中移出,進入VTM 738中,並進入處理模組720a中,於處理模組720a中進一步蝕刻部分蝕刻特徵部。可重複蝕刻/沉積直到完全蝕刻特徵部。
應注意的是,控制基板移動的電腦可為本地至叢集架構、或可位於在製造樓層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。
膜的微影圖案化通常包含某些或全部的以下操作,每一操作使用若干可能的工具來實行:(1)於例如具有矽氮化物膜形成於其上之基板的工件上的光阻劑之施加,其使用旋塗或噴塗工具;(2)光阻劑的硬化,其使用熱板或熔爐或其他合適的硬化工具;(3)將光阻劑曝露至可見光或UV光或x射線光,其使用例如晶圓步進器的工具;(4)使光阻顯影以便選擇性地移除光阻並從而使之圖案化,其使用例如濕式清潔台或噴霧顯影劑的工具;(5) 將光阻圖案轉移至下方膜或工件中,其使用乾式或電漿輔助蝕刻工具;以及(6)移除光阻,其使用例如RF或微波電漿光阻剝離器的工具。在某些實施例中,可於施加光阻劑之前沉積可灰化硬遮罩層(例如非晶質碳層)及其他合適的硬遮罩(例如抗反射層)。
應理解的是,本文所述的配置及/或方法本質上為示例性的,且不應以限制的意義看待此些具體實施例或範例,因為許多變化係有可能的。本文所述的具體事務或方法可代表任意數量之處理策略中的一或更多者。因此,可以所繪示序列、以其他序列、並行地、或在某些案例中加以省略的方式來執行所示的諸多動作。同樣地,上述製程的順序可加以改變。
本揭示內容的主題包括諸多製程、系統及配置的所有新穎和非顯而易見之組合與次組合,以及本文中揭示的其他特徵、功能、動作及/或特性,以及以上所述的任何及所有同等項目。
102:圓柱 103,303:介電材料 104:保護性聚合側壁塗層 105:翹曲 106,306:圖案化遮罩層 201,203,205,207,211,213,215,217,219,251,253,255,257,259:操作 250:方法 260:基板 302:特徵部 304:第一側壁塗層 310:第二側壁塗層 312:吸附前驅物層 400:可調間隙電容耦合局限RF電漿反應器 402:真空腔室 404:腔室外殼 405:真空腔室內部 406:下電極 408:上電極 410:間隙 410a:小間隙 410b:中間隙 410c:大間隙 412:開口 414:絕緣環 416:下電極支撐板 418:腔室壁板 420:RF功率供應器 422:RF供應導管 424:RF帶 426:RF功率構件 428:階梯狀凸緣 430:RF偏壓外殼 432:RF偏壓外殼碗 434:RF偏壓外殼臂 436:接地屏蔽 438:導管支撐板 440:設施導管 442:致動機構 444:線性軸承 446:螺旋齒輪 448:迷宮式密封 450:橫向偏斜風箱 452:夾持環 456:固定屏蔽 458:可動屏蔽板 460:迷宮式溝槽 480:真空入口 482:晶圓埠 500:反應器 502:低頻射頻(LFRF)產生器 504:高頻射頻(HFRF)產生器 506:匹配網路 508:歧管 510:源氣體管線 512:入口 514:噴淋頭 516:基板 518:晶圓台座 520:接地加熱器塊 522:出口 524:製程腔室 530:系統控制器 540:真空泵 600:多站處理工具 602:入站裝載鎖 604:出站裝載鎖 606:機器人 608:吊艙 609:晶圓處置系統 610:大氣埠 612:台座 614:處理腔室 616:腔室傳送埠 618:顯示站1之加熱台座處 650:系統控制器 652:處理器 654:大量儲存裝置 656:記憶體裝置 658:系統控制軟體 720a,720b,720c,720d:處理模組 722:機器人 724:末端執行器 726:基板 728:模組中心 730:氣閘 732:前端機器人 734:前開式統一吊艙(FOUP) 736:端面 738:真空移送模組(VTM) 740:大氣移送模組(ATM) 742:裝載埠模組(LPM) 744:對準器
圖1繪示具有起因於側壁之過度蝕刻之不期望翹曲的蝕刻圓柱。
圖2A呈現依據諸多所揭示實施例於半導體基板上形成蝕刻特徵部之方法的流程圖。
圖2B呈現依據某些實施例於部分蝕刻特徵部之側壁上沉積保護膜之方法的流程圖。
圖2C及2D繪示用於形成保護膜的特定沉積反應,其中所使用的反應物包括戊二醛及乙二胺。
圖3A至3D描繪在半導體基板中的蝕刻圓柱而依據諸多實施例循環地蝕刻並使用保護側壁塗層塗佈該些圓柱。
圖4A至4C繪示可用以依據某些實施例執行本文所述蝕刻製程的反應腔室。
圖5描繪可用以依據某些實施例執行本文所述沉積製程的反應腔室。
圖6顯示可用以依據某些實施方式執行沉積製程的多站設備。
圖7呈現可用以依據某些實施例實施沉積及蝕刻兩者的叢集工具。
302:特徵部
303:介電材料
304:第一側壁塗層
306:圖案化遮罩層
310:第二側壁塗層

Claims (22)

  1. 一種在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,該方法包含: (a) 產生包含一蝕刻反應物的一第一電漿,將該基板曝露至該第一電漿,並部分地蝕刻該堆疊中的該特徵部; (b) 於(a)之後,藉由下列步驟於該特徵部之複數側壁上沉積一保護膜: (i) 將該基板曝露至一第一反應物並允許該第一反應物吸附至該基板上,其中該第一反應物包含一醛官能基; (ii) 將該基板曝露至一第二反應物,且其中該第一與該第二反應物相互反應以形成該保護膜,以及 (iii) 以一循環方式重複(i)及(ii)直到該保護膜達到一目標厚度,其中該保護膜係一有機聚合膜;以及 (c) 重複(a)及(b)直到將該特徵部蝕刻至一最終深度,其中在(b)中沉積的該保護膜實質上防止於(a)期間該特徵部的橫向蝕刻,且其中該特徵部在其最終深度處具有約5或更大的一縱橫比。
  2. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第一反應物包含二醛或三醛。
  3. 如請求項2之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第一反應物包含下列的至少其中之一:琥珀二醛(C 4H 6O 2)、戊二醛(C 5H 8O 2)、己二醛(C 6H 10O 2)、對苯二甲醛(C 8H 6O 2)、1,4-苯二甲醛(C 6H 4(CHO) 2)、鄰苯二甲醛(C 8H 6O 2)、1,2 苯二甲醛(C 6H 4(CHO) 2)及2-甲基戊二醛(C 6H 10O 2)。
  4. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第二反應物包含下列的至少其中之一:二胺、二醇、硫醇及三官能化合物。
  5. 如請求項4之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第二反應物包含二胺。
  6. 如請求項4之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第二反應物包含下列的至少其中之一:1,2-乙二胺、1,3-丙二胺、1,4-丁二胺、乙二胺、間苯二甲胺、異佛爾酮二胺、1,3-環己烷雙(甲胺)、1,4-雙(胺基甲基)環己烷、4,4'-亞甲基雙(2-甲基環己胺)、4,4'-亞甲基雙(環己胺)、間苯二胺、對苯二胺、4-胺基芐胺、3-胺基芐胺、4-(2-胺基乙基)苯胺、對苯二甲胺、間苯二甲胺、乙二醇、1,3-丙二醇、1,4-丁二醇、1,2-乙二硫醇、1,3-丙二硫醇、1,4-丁二硫醇、(±)-3-胺基-1,2-丙二醇、甘油、雙(六亞甲基)三胺、三聚氰胺、二亞乙基三胺、(±)-1,2,4-丁三醇、氰尿醯氯及三甲鋁。
  7. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中在(b)中沉積該保護膜係在沒有將該基板曝露至電漿能量的情況下完成的。
  8. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該保護塗層包含聚甲亞胺或聚硫縮醛。
  9. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中在(a)中蝕刻該堆疊中之該特徵部係在一反應腔室中執行,且其中在(b)中於該特徵部之該等側壁上沉積該保護膜係在相同的反應腔室中執行。
  10. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該蝕刻反應物包含一或更多氟碳化物或氫氟碳化物。
  11. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中在(b)中沉積該保護膜發生在一反應腔室中,且其中在(b)中沉積該保護膜進一步包含於操作(b)之每一迭代期間淨化該反應腔室至少一次。
  12. 如請求項1之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該堆疊包含(i)矽氧化物材料、及(ii)矽氮化物材料或多晶矽材料的交替層。
  13. 一種在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,該方法包含: (a) 產生包含一蝕刻反應物的一第一電漿,將該基板曝露至該第一電漿,並部分地蝕刻該堆疊中的該特徵部; (b) 於(a)之後,藉由下列步驟於該特徵部之側壁上沉積一保護膜: (i) 將該基板曝露至一第一反應物並允許該第一反應物吸附至該基板上,其中該第一反應物包含一異氰酸官能基; (ii) 將該基板曝露至一第二反應物,且其中該第一與該第二反應物相互反應以形成該保護膜,以及 (iii) 以一循環方式重複(i)及(ii)直到該保護膜達到一目標厚度,其中該保護膜係一有機聚合膜;以及 (c) 重複(a)及(b)直到將該特徵部蝕刻至一最終深度,其中在(b)中沉積的該保護膜實質上防止於(a)期間該特徵部的橫向蝕刻,且其中該特徵部在其最終深度處具有約5或更大的一縱橫比。
  14. 如請求項13之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第一反應物包含二異氰酸酯。
  15. 如請求項14之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該二異氰酸酯包含下列的至少其中之一:甲苯-2,4-二異氰酸酯、1,3-雙(異氰酸基甲基)環己烷、六亞甲基二異氰酸酯、間亞二甲苯基二異氰酸酯、1,3-雙(1-異氰酸基-1-甲基乙基)苯、異佛爾酮二異氰酸酯、二苯基甲烷4,4'-二異氰酸酯、4,4'-亞甲基雙(環己基異氰酸酯)、甲苯-2,6-二異氰酸酯、1,4-亞苯基二異氰酸酯、1,3-亞苯基二異氰酸酯及3,3'-二甲基-4,4'-亞聯苯基二異氰酸酯。
  16. 如請求項13之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第二反應物包含下列的至少其中之一:二胺、二醇、硫醇及三官能化合物。
  17. 如請求項16之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該第二反應物包含二胺。
  18. 如請求項17之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該二胺包含下列的至少其中之一:1,2-乙二胺、1,3-丙二胺、1,4-丁二胺、乙二胺、間苯二甲胺、異佛爾酮二胺、1,3-環己烷雙(甲胺)、1,4-雙(胺基甲基)環己烷、4,4'-亞甲基雙(2-甲基環己胺)、4,4'-亞甲基雙(環己胺)、間苯二胺、對苯二胺、4-胺基芐胺、3-胺基芐胺、4-(2-胺基乙基)苯胺、對苯二甲胺及間苯二甲胺。
  19. 如請求項13之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中在(b)中沉積該保護膜係在沒有將該基板曝露至電漿能量的情況下完成的。
  20. 如請求項13之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該保護塗層包含聚脲或聚氨酯。
  21. 如請求項13之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中在(b)中沉積該保護膜發生在一反應腔室中,且其中在(b)中沉積該保護膜進一步包含於操作(b)之每一迭代期間淨化該反應腔室至少一次。
  22. 如請求項13之在半導體基板上包含介電材料的堆疊中形成蝕刻特徵部的方法,其中該堆疊包含(i)矽氧化物材料、及(ii)矽氮化物材料或多晶矽材料的交替層。
TW112109083A 2022-03-14 2023-03-13 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化 TW202410175A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263269328P 2022-03-14 2022-03-14
US63/269,328 2022-03-14

Publications (1)

Publication Number Publication Date
TW202410175A true TW202410175A (zh) 2024-03-01

Family

ID=88024171

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112109083A TW202410175A (zh) 2022-03-14 2023-03-13 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化

Country Status (2)

Country Link
TW (1) TW202410175A (zh)
WO (1) WO2023177594A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015088732A (ja) * 2013-09-27 2015-05-07 株式会社東芝 半導体記憶装置およびその製造方法
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11476123B2 (en) * 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
KR20220084153A (ko) * 2019-10-18 2022-06-21 램 리써치 코포레이션 SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착

Also Published As

Publication number Publication date
WO2023177594A1 (en) 2023-09-21

Similar Documents

Publication Publication Date Title
US10304693B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997372B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170323B2 (en) Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
TW201724254A (zh) 用於高深寬比介電蝕刻之遮罩收縮層
US20190221406A1 (en) Method for cleaning components of plasma processing apparatus
TWI780118B (zh) 用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
WO2018026867A1 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TW202410175A (zh) 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化
TW201835377A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201806028A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術