TW201724254A - 用於高深寬比介電蝕刻之遮罩收縮層 - Google Patents

用於高深寬比介電蝕刻之遮罩收縮層 Download PDF

Info

Publication number
TW201724254A
TW201724254A TW105127945A TW105127945A TW201724254A TW 201724254 A TW201724254 A TW 201724254A TW 105127945 A TW105127945 A TW 105127945A TW 105127945 A TW105127945 A TW 105127945A TW 201724254 A TW201724254 A TW 201724254A
Authority
TW
Taiwan
Prior art keywords
layer
mask
dielectric
feature
deposition
Prior art date
Application number
TW105127945A
Other languages
English (en)
Inventor
艾瑞克 A 哈得森
馬克 H 威爾克遜
卡爾曼 佩爾霍斯
鉉宗 沈
天樂 黄
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201724254A publication Critical patent/TW201724254A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

本文中之各種實施例關於在半導體基板上之含介電質堆積中形成凹入特徵部之方法、設備及系統。在許多實施例中,將遮罩收縮層沉積在已圖案化遮罩層上,因而縮小在遮罩層中之該等開口。遮罩收縮層可藉由氣相沉積處理而沉積,氣相沉積處理包含,但不限於,原子層沉積或化學氣相沉積。遮罩收縮層可造成較窄、垂直上更均勻的受蝕刻特徵部。在一些實施例中,蝕刻是在單一蝕刻步驟中完成。在一些其它實施例中,蝕刻可在複數階段中完成,以設計為用以沉積保護性側壁覆蓋物在部分蝕刻特徵部上之沉積步驟而循環。含金屬膜特別適合做為遮罩收縮層及保護性側壁覆蓋物。

Description

用於高深寬比介電蝕刻之遮罩收縮層
本發明係關於用於在半導體基板上之含介電質堆疊中形成受蝕刻特徵部之方法及設備。
在半導體元件之製造中經常使用之處理為在介電質材料中形成受蝕刻圓柱體。可能發生這樣的處理之示例性情況包含,但不限於,記憶體應用,例如,DRAM及3D NAND結構。隨著半導體產業之發展及元件尺寸變得更小,這樣的圓柱體逐漸變得更不容易以均勻的方式加以蝕刻,尤其是對於寬度窄及∕或深度深之高深寬比圓柱體而言。
本文中之某些實施例關於用於在半導體基板上之含介電質堆疊中形成受蝕刻特徵部之方法及設備。
在所揭露實施例之一態樣中,提出一種在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,該方法包含:(a) 接收一基板,該基板包含該含介電質堆疊及位於該含介電質堆疊上之一遮罩層,該遮罩層包含一圖案,該圖案包含在該遮罩層中之複數開口;(b) 沉積一遮罩收縮層在該遮罩層上,其中該遮罩收縮層係藉由一氣相沉積處理而形成並且包含一金屬層,其中該遮罩收縮層覆蓋在該遮罩層中之該等開口之表面;(c) 產生包含一蝕刻反應物之一蝕刻電漿,使該基板暴露至該蝕刻電漿,及將該特徵部蝕刻在該含介電質堆疊中,其中該特徵部在其最終深度處具有約5或更高之深寬比。
在一些實施例中,該遮罩收縮層包含一金屬氮化物、一金屬氧化物、一金屬碳化物、一金屬硼化物、或其組合。在該遮罩收縮層中之該金屬可選自於由鈦、鉭、釕、鋁、鐵、鉿、及其組合所構成之群組。這些金屬其中任一者可以氮化物、氧化物、碳化物、硼化物、或其一些組合而提供。在各種例子中,該遮罩收縮層包含金屬氮化物。
蝕刻處理可在複數階段中發生。在一些實施例中,步驟 (c) 包含至少一第一階段及一第二階段,其中該第一階段係在造成蝕刻穿過在遮罩層中之該等開口之底部之該遮罩收縮層之條件下加以實施,且該第二階段係在造成蝕刻穿過該含介電質堆疊之條件下加以實施。
在一些實施例中,可藉由一原子層沉積反應而沉積該遮罩收縮層。例如,步驟 (b) 可包含:(i) 使該基板暴露至一第一沉積反應物,並且容許該第一沉積反應物吸附至在該遮罩層中之該等開口之複數側壁上;及 (ii) 在步驟 (i) 之後,使該基板暴露至一第二沉積反應物並且使該第一及第二沉積反應物在一表面反應中進行反應,因而在該遮罩層中之該等開口之該等側壁上形成該遮罩收縮層。在一些這樣的例子中,步驟 (b) 不涉及電漿。在一些其它例子中,步驟 (ii) 更包含:使該基板暴露至一遮罩收縮層沉積電漿,該遮罩收縮層沉積電漿包含該第二沉積反應物,其中使該基板暴露至該遮罩收縮層沉積電漿之步驟驅使在該第一沉積反應物與該第二沉積反應物之間之表面反應,因而在該遮罩層中之該等開口之該等側壁上形成該遮罩收縮層。在一些其它實施例中,步驟 (b) 包含藉由一化學氣相沉積反應而沉積該遮罩收縮層,該化學氣相沉積反應包含:使該基板同時暴露至一第一沉積反應物及一第二沉積反應物。在某些實行例中,可沉積該遮罩收縮層以包含至少一第一子層及一第二子層,該第一及第二子層係在不同條件下進行沉積。在某些實施例中,該第一及第二子層可具有不同的組成。
該方法可應用於數個不同的特徵部形狀。在一些例子中,蝕刻至該含介電質堆疊中之該特徵部係圓柱體、溝槽、或線。在數個例子中,該特徵部為高深寬比圓柱體,例如,具有至少約5、10、20、30、40、50、60、80或100之深寬比。在某些實行例中,在將該特徵部蝕刻至其最終深度之後,該特徵部具有約20或更高之深寬比、及約20%或更低之弓形部。
該方法可實行於各種情況中。在一些實施例中,在形成3D NAND元件之情況中形成該特徵部,且該含介電質堆疊包含下列材料之交替層:(i) 氧化物材料、及 (ii) 氮化物材料或多晶矽材料。在一些其它實施例中,在形成DRAM元件之情況中形成該特徵部,且該含介電質堆疊包含下列層:矽氧化物及一或更多層之矽氮化物。
在某些實行例中,該蝕刻可以分段的方式實施。例如,蝕刻反應可與沉積反應循環,其中該沉積反應造成保護性側壁覆蓋物之形成於部分蝕刻特徵部上。在一些實施例中,步驟 (c) 包含:(i) 部分地蝕刻該特徵部,(ii) 在步驟 (i) 之後,沉積一保護膜在該特徵部之複數側壁上,其中該保護膜係實質上沿著該特徵部之整個長度而沉積,及 (iii) 重複步驟 (i) 及步驟 (ii) 直到將該特徵部蝕刻至一最終深度,其中在步驟 (ii) 中所沉積之該保護膜實質上防止在隨後重複之步驟 (i) 中之該特徵部之橫向蝕刻。在一些這樣的例子中,該保護膜可藉由一熱驅動原子層沉積反應而沉積,且該保護膜可包含一含金屬膜。
在所揭露實施例之另一態樣中,提出一種在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,該設備包含:一或更多反應腔室,其中至少一反應腔室係設計或配置以實施蝕刻,及其中至少一反應腔室係設計或配置以實施沉積,每一反應腔室包含:用於將處理氣體引入該反應腔室之一入口,及用於從該反應腔室移除材料之一出口,及
一控制器,具有複數指令以用於:(a) 使一或更多氣相沉積反應物流至設計或配置以實施沉積之該反應腔室中及沉積一遮罩收縮層在一基板上,該基板包含該含介電質堆疊及位於該含介電質堆疊上之一遮罩層,該遮罩層包含一圖案,該圖案包含在該遮罩層中之複數開口,該遮罩層定義該特徵部之待蝕刻處,其中該遮罩收縮層覆蓋在該遮罩層中之該等開口之表面以因此而縮小在該遮罩層中之該等開口,其中該遮罩收縮層包含一金屬層;及 (b) 產生包含一蝕刻反應物之一蝕刻電漿,使該基板暴露至該蝕刻電漿,及將該特徵部蝕刻在該含介電質堆疊中,其中步驟 (b) 係在設計或配置以實施蝕刻之該反應腔室中實施,其中該特徵部在其最終深度處具有約5或更高之深寬比。
在一些實行例中,設計或配置以實施蝕刻之該反應腔室與設計或配置以實施沉積之該反應腔室是相同的,俾使步驟 (a) 及步驟 (b) 兩者在該相同反應腔室中發生。在一些其它例子中,設計或配置以實施蝕刻之該反應腔室與設計或配置以實施沉積之該反應腔室是不同的,及其中該控制器更包含複數指令以用於:在真空條件下轉移該基板於設計或配置以實施蝕刻之該反應腔室與設計或配置以實施沉積之該反應腔室之間。
在一些實施例中,該控制器可具有複數指令以用於:藉由使用一熱驅動原子層沉積反應而沉積該遮罩收縮層以實施步驟 (a)。在一些例子中,該蝕刻處理可以逐個的方式發生,其中沉積反應間歇地使用於蝕刻反應之間。例如,該控制器可具有複數指令以藉由下列步驟而實施步驟 (b):(i) 部分地蝕刻該基板,(ii) 在步驟 (i) 之後,沉積一保護膜在該部分蝕刻特徵部之複數側壁上,其中該保護膜係實質上沿著該部分蝕刻特徵部之整個長度而沉積,(iii) 重複步驟 (i) 及步驟 (ii) 直到將該特徵部蝕刻至一最終深度,其中在步驟 (ii) 中所沉積之該保護膜實質上防止在隨後重複之步驟 (i) 期間之該特徵部之橫向蝕刻。
這些及其它特徵將參考著相關圖式而描述於下。
在本說明書中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造積體電路」可交換使用。熟悉此項技藝者應能了解,用語「部分製造積體電路」可表示在積體電路製造之許多階段其中任一者期間之矽晶圓。使用在半導體元件產業中之晶圓或基板通常具有200 mm、或300 mm、或450 mm之直徑。以下的實施方式假設本發明是在晶圓上實行。然而,本發明並非受限於此。工作件可能具有各種形狀、尺寸及材料。除了半導體晶圓之外,可利用本發明之其它工作件包含各種物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械裝置、及類似物。
數個處理參數已描述於本文中。在數個例子中,這些處理參數係關於處理直徑300 mm之基板。在某些實施例中,對於處理其它尺寸之基板,可縮放所述的處理參數。例如,用於產生或維持電漿之RF功率值可基於基板面積而線性地縮放。類似地,反應物流率可基於基板面積而縮放,在某些例子中,可基於所用的反應器之尺寸而縮放。
在以下敘述中,提出數個具體細節以提供對本發明實施例之徹底了解。所揭露的實施例可在缺少這些具體細節之一部份或所有之情況下實施。在其它情況下,已為人所熟知的處理步驟將不再詳述,以免不必要地使所揭露的實施例失焦。雖然所揭露的實施例將結合具體實施例進行說明,但應當了解,其並非意圖限制所揭露的實施例。 I.蝕刻高深寬比特徵部之技術
某些半導體元件之製造涉及將特徵部蝕刻至介電質材料中。介電質材料可為單一材料層或其可結合在材料之堆疊中。在某些例子中,堆疊包含交替的不同材料(例如,矽氮化物及矽氧化物、或矽氧化物及多晶矽)層。一示例性受蝕刻特徵部為圓柱體,但亦可使用其它形狀。在許多例子中,特徵部可具有高深寬比。當這樣的特徵部之深寬比持續增加時,將特徵部蝕刻至含介電質堆疊中變得更具挑戰性。
待蝕刻之含介電質堆疊通常具有已圖案化遮罩層於其上。通常,遮罩層可由例如矽(例如,多晶矽或非晶矽)或碳(例如,非晶碳)之材料所製成。多晶矽遮罩普遍使用在形成DRAM元件之情況中,而碳遮罩普遍使用在形成3D NAND元件之情況中。亦可使用其它遮罩材料。當將圓柱體或其它結構蝕刻至含介電質堆疊中時,遮罩層通常會消耗至某種程度。因此,遮罩層可能沉積為相當厚的層(例如,在一些例子中,在約500-1500 nm之間)。
為了將相當厚的遮罩層圖案化,使用一連串的微影∕圖案轉移處理。這些處理可涉及將已定義圖案連續地轉移通過一連串的層,最後產生已圖案化遮罩層在含介電質堆疊上。微影∕圖案轉移處理是相當複雜的,且常常造成具有大於特定應用所期望之開口之遮罩層。大於所期望之開口之一結果為,被蝕刻至含介電質堆疊中之圓柱體可能非期望地寬。換言之,在圖案開口中具有非期望大的關鍵尺寸之已圖案化遮罩層造成在整個受蝕刻特徵部中具有非期望大的關鍵尺寸。
在高深寬比特徵部之蝕刻期間可能引發的另一問題為非均勻的蝕刻輪廓。換言之,特徵部並未在筆直向下的方向上蝕刻。反而,特徵部之側壁常常是弓形的,俾使受蝕刻特徵部之中間部分比特徵部之頂部及∕或底部更寬(亦即,蝕刻較多)。朝外彎成弓形之特徵部部分可能佔據總特徵部深度之相當小一部分、或相對較大部分。朝外彎成弓形之特徵部部分是特徵部之關鍵尺寸(CD)之最大值處。關鍵尺寸對應至在一既定點之特徵部之直徑。通常期望的是,特徵部之最大CD與特徵部其它處(例如,在特徵部之底部或接近特徵部之底部)之CD大約相同。
過度的CD蝕刻可能導致餘留材料之結構及∕或電子完整性受損。這些問題可能來自於僅在特徵部之一部分之過度CD蝕刻(弓形)及∕或來自整個特徵部之過度CD蝕刻(例如,遮罩開口為非期望的大)。例如,如果在相鄰的受蝕刻特徵部之間之餘留的介電質材料太薄(例如,由於過度CD蝕刻),則在相鄰單元之間之漏電流及∕或寄生耦合可能變成問題。
不受限於任何理論或動作機制,吾人相信,至少部分由於圓柱體之側壁未受到足夠的保護而使其免受蝕刻,在圓柱體或其它特徵部之中間部分之過度CD蝕刻因而發生。習知的蝕刻化學品使用氟碳化合物蝕刻劑以形成圓柱體在介電質材料中。氟碳化合物蝕刻劑被電漿暴露所激發,其造成各種氟碳化合物碎片之形成,氟碳化合物碎片包含,例如,CF、CF2 、及CF3 。反應性氟碳化合物碎片在離子之輔助下將特徵部(例如,圓柱體)底部之介電質材料蝕刻掉。其它的氟碳化合物碎片沉積在蝕刻中圓柱體之側壁上,藉此而形成保護性聚合側壁覆蓋物。此保護性側壁覆蓋物促進在特徵部底部之優先蝕刻(相對於特徵部之側壁)。在沒有側壁保護之情況下,特徵部開始呈現非均勻的輪廓,在側壁保護不充分處具有較寬的蝕刻∕圓柱體寬度。
在高深寬比特徵部中,側壁保護是特別難以達成的。造成此困難之一原因為,基於氟碳化合物之既有處理無法形成保護性聚合側壁覆蓋物在蝕刻中圓柱體中之深處。圖1A呈現在覆蓋著圖案化遮罩層106之含介電質材料103中之蝕刻中圓柱體102之圖式。雖然以下的討論有時提及圓柱體,但此概念適用於其它特徵部形狀,例如長方形及其它多邊形。保護性聚合側壁覆蓋物104集中在圓柱體102之頂部附近。Cx Fy 化學品提供用於垂直地蝕刻圓柱體之蝕刻反應物及形成保護性聚合側壁覆蓋物104之反應物兩者。因為保護性聚合側壁覆蓋物104不延伸至圓柱體中之深處(亦即,在側壁上沒有足夠的沉積),圓柱體102之中間部分變得比圓柱體102之頂部更寬。圓柱體102之較寬中間部分被稱為弓形部(bow)105。根據在特徵部之弓形部區域之關鍵尺寸與特徵部之低於弓形部區域之關鍵尺寸之間之比較,弓形部可以數字加以描述。弓形部可根據距離(例如,特徵部之最寬部分之關鍵尺寸減去特徵部在弓形部以下之最窄部分之關鍵尺寸)或根據比率∕百分比(例如,特徵部之最寬部分之關鍵尺寸除以特徵部在弓形部以下之最窄部分之關鍵尺寸)而以數字加以記述。這樣的弓形部105及相關的非均勻蝕刻輪廓是非期望的。因為在這類的蝕刻處理中經常使用高離子能量,所以在蝕刻高深寬比之圓柱體時經常產生弓形部。在某些應用中,甚至在低至約5之深寬比亦產生弓形部。因此,習知的氟碳化合物蝕刻化學品通常受限於在介電質材料中形成相當低深寬比的圓柱體。某些最新的應用需要具有比習知蝕刻化學品所能達成之深寬比更高之圓柱體。
在各種實施例中,沉積步驟可發生在遮罩層之圖案化之後及在含介電質結構之蝕刻之前。此沉積步驟可用於沉積遮罩收縮層在已圖案化遮罩層上,以藉此減少在遮罩層中之開口之大小。遮罩收縮層因此達成較窄的受蝕刻特徵部,而不需要改變與將期望的圖案轉移至遮罩層有關之複雜處理其中任一者。
在某些實施例中,可採取特別的步驟以保護側壁使其免受過度CD蝕刻。這樣的步驟可能關於,在特徵部已經部分蝕刻至含介電質堆疊中之後,保護性側壁覆蓋物之沉積。此技術可與上述之遮罩收縮技術結合。在某些例子中,保護性側壁覆蓋物沉積可與蝕刻操作進行循環,俾使當特徵部進一步蝕刻至含介電質堆疊中時,週期性地沉積保護性側壁覆蓋物。在某些其它實施例中,不採取沉積步驟以形成保護性覆蓋物在側壁上。在這些實施例中,在蝕刻處理期間可由於上述之蝕刻化學品而形成聚合側壁覆蓋物104。 II.情況及應用
在本文中之各種實施例中,將特徵部蝕刻在具有介電質材料及圖案化遮罩層於其上之基板(通常是半導體晶圓)中。在某些實施例中,介電質材料係以交替材料之堆疊而提供,交替材料其中一部分或全部可為介電質材料。蝕刻處理通常是基於電漿的蝕刻處理。全部的特徵部形成處理可發生在複數階段中:一階段關於形成遮罩收縮層以縮小在遮罩層中之開口,第二階段關於擊穿在適當位置之遮罩收縮層,及第三階段關於將特徵部蝕刻至介電質材料中。第二及第三階段可分開或結合。在某些實行例中,第二及第三階段可在單一蝕刻處理中一起發生,然而每一階段可使用不同的反應條件。在某些其它實行例中,第二及第三階段係在分開的蝕刻處理中實施。在其它實行例中,第二及第三階段可在單一蝕刻處理中實施,在第二與第三階段之間沒有任何條件之改變。
可選的第四階段可關於形成保護性側壁覆蓋物,而基本上不蝕刻介電質材料。可選的保護性側壁覆蓋物可用於將側壁鈍化及防止特徵部被蝕刻至非期望大的CD(亦即,側壁覆蓋物防止特徵部之橫向蝕刻)。在使用第四階段之實施例中,在第一階段及可選的第二階段實施之後,第四階段可與第三階段一起循環。通常,第一階段及第二階段僅僅實施一次。
如本文中所使用,用語遮罩收縮層表示沉積在圖案化遮罩層上以藉此縮小在遮罩層中所定義之開口之材料層。遮罩收縮層之沉積通常在遮罩層被圖案化之後、並且在含介電質堆疊被實質上蝕刻之前。例如,在數個實施例中,遮罩收縮層之沉積可在含介電質堆疊以任何方式被蝕刻之前。在某些其它實施例中,在沉積遮罩收縮層之前,含介電質堆疊可蝕刻至小程度(例如,不大於約400 nm深),這樣的蝕刻大部分是由於蝕刻∕圖案化遮罩層而存在。在某些實施例中,大多數的遮罩收縮層是直接沉積在已圖案化遮罩層上。然而,遮罩收縮層可保形地沉積,且遮罩收縮層之某些部分可形成在已圖案化在遮罩層中之特徵部之底部,其可在含介電質堆疊之頂部上或附近。相較之下,保護性側壁覆蓋物(當使用時)之沉積一般是在將特徵部部分地蝕刻至含介電質堆疊中之後。因此,保護性側壁覆蓋物係沉積在已圖案化遮罩層以及在含介電質堆疊中之部分蝕刻特徵部兩者之側壁上。
在本文中之數個實施例中,遮罩收縮層及∕或可選的保護性側壁覆蓋物是含金屬膜。相較於其它類型的膜,例如矽氧化物、硼氮化物、及烴聚合物,含金屬膜已展現出較佳的抗蝕刻性∕側壁保護性。說明較佳的蝕刻結果之實驗結果係顯示在以下的實驗章節。
含金屬膜做為遮罩收縮膜及∕或保護性側壁覆蓋物可能是有利的,因為相較於介電質膜,某些這樣的膜具有較高的導電率。不受限於以下解釋,一般認為,較高的導電率降低了在蝕刻處理期間在部分蝕刻特徵部中遭受不想要的充電效應(electrical charging effect)之風險。在蝕刻處理期間,在部分蝕刻特徵部之頂部與底部之間可能形成電位差。此電位差可能排斥離子,俾使一部分離子無法如期望地移動至特徵部底部。例如,會移動至特徵部底部之離子可能被排斥而 (a) 離開特徵部,使得離子不蝕刻特徵部,或 (b) 進入特徵部側壁中,使得側壁被蝕刻至非期望大的CD,導致在特徵部中之非期望弓形部及降低的垂直蝕刻率。相較之下,當較導電的含金屬膜覆蓋著特徵部(或其一部分)之內表面時,較導電的膜可使在特徵部內之電荷得以消散,俾使在蝕刻期間在凹入特徵部之不同部分之間沒有電位差(或僅有極小的電位差)。此降低了離子非期望地被排斥之可能性,導致較佳的輪廓及增加的垂直蝕刻率。
在各種實行例中,含金屬膜可能是有利的之另一原因為,在蝕刻操作期間,相較於其它類型的側壁保護膜,含金屬膜可提供不同的側壁表面。此可導致在蝕刻期間在側壁表面上之不同的表面化學反應性及催化作用。結果可為,抵達特徵部底部之物種係不同於使用其它類型的保護性側壁膜及∕或遮罩收縮膜(或不使用這樣的膜)時抵達特徵部底部之物種。例如,含金屬膜可造成不同物種組成之中性原子及分子抵達特徵部底部,其可對於蝕刻率、蝕刻輪廓、擦痕(striation)及變形有正面的影響。
此外,在許多例子中,含金屬膜之沉積可具有高度保形性(conformality)。各種含金屬膜之沉積可能比矽氧化物及許多其它含矽及含硼膜具有更高之保形性。此較佳的保形性是有利的,至少因為其減少了特徵部頂部在沉積階段期間被阻塞之可能性。如本文中所使用,保形性可計算為T1 /T2 ,其中T1 是膜(例如,保護性側壁覆蓋物)之最薄部分之膜厚度,T2 是膜之最厚部分之膜厚度(兩厚度係沿著側壁而測量)。例如,沿著側壁之整個長度所沉積且厚度在約5-8 nm之間之範圍之膜具有約0.625或62.5%之保形性。在本文之各種實施例中,使用做為遮罩收縮層及∕或做為保護性側壁覆蓋物之含金屬膜可沉積為至少約0.3之保形性。
如上所述,蝕刻處理可發生在好幾個階段中。第一階段可涉及形成遮罩收縮層以縮小在遮罩層中之開口。第二階段可涉及擊穿在已圖案化特徵部之底部之遮罩收縮層。第三階段可涉及蝕刻含介電質堆疊。可選的第四階段可涉及沉積保護性側壁覆蓋物在含介電質堆疊中之部分蝕刻特徵部之側壁上。
在數個實施例中,遮罩收縮層之沉積僅進行單一次。類似地,遮罩收縮層之擊穿僅進行單一次。相較之下,蝕刻含介電質堆疊之蝕刻操作可發生任何次數。在某些例子中,整個圓柱體之蝕刻可在單一處理中。在某些其它實施例中,第三及第四階段被循環,俾使在部分地蝕刻圓柱體之後,沉積保護性側壁覆蓋物。蝕刻及保護性側壁覆蓋物沉積處理可循環,以將圓柱體蝕刻至其最終深度,藉此而形成具有更均勻直徑∕較佳輪廓之特徵部。
特徵部係基板表面中之凹部。特徵部可具有許多不同的形狀,包含,但不限於,圓柱體、矩形、正方形、其它多邊形凹部、溝槽等。
深寬比為特徵部之深度對特徵部之關鍵尺寸(通常是其寬度∕直徑)之比較值。例如,具有2 µm深度及50 nm寬度之圓柱體具有40:1之深寬比,通常更簡單地表示為40。由於特徵部在整個深度上可能具有不均勻的關鍵尺寸,所以深寬比可能根據測量之處而變化。例如,有時候受蝕刻圓柱體之中間部分可能比頂部及底部更寬。如上所述,較寬的中間部分可稱為弓形部。基於圓柱體之頂部(亦即,頸部)之關鍵尺寸所測量之深寬比,將高於基於在圓柱體之較寬的中部∕弓形部之關鍵尺寸所測量之深寬比。當使用在本文中時,除非另有說明,否則深寬比之測量係基於靠近特徵部開口之關鍵尺寸。
藉由所揭露的方法所形成之特徵部可為高深寬比特徵部。在一些應用中,高深寬比特徵部為具有至少約5、至少約10、至少約20、至少約30、至少約40、至少約50、至少約60、至少約80、或至少約100之深寬比之特徵部。藉由所揭露的方法所形成之特徵部之關鍵尺寸可為約200 nm或更小,例如,約100 nm或更小、約50 nm或更小、或約20 nm或更小。
在各種例子中,特徵部蝕刻進入之材料可為介電質材料。示例材料包含,但不限於,矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料之摻雜形式(例如摻雜硼、磷等)、及來自這些材料之任意組合之積層物。特定的示例材料包含SiO2 、SiN、SiON、SiOC、SiCN等之化學計量及非化學計量配方。被蝕刻的材料亦可包含額外的元素,包含但不限於氫。在一些實施例中,蝕刻中之氮化物及∕或氧化物材料之組成將包含氫。當使用在本文中時,應當了解,矽氧化物材料、矽氮化物材料等包含這類材料之化學計量及非化學計量形式兩者,且這類材料可能包含其它元素,如上所述。
所揭露的方法之一個應用是在形成DRAM元件之情況下。在此例子中,可將特徵部主要蝕刻在矽氧化物中。例如,基板亦可包含一層、兩層、或更多層之矽氮化物。在一範例中,基板包含夾設在兩個矽氮化物層之間之矽氧化物層,矽氧化物層之厚度在約800-1200 nm之間,而一或更多矽氮化物層之厚度在約300-400 nm之間。受蝕刻特徵部可為最終深度在約1-3 µm之間之圓柱體,例如,在約1.5-2 µm之間。圓柱體之寬度可在約20-50 nm之間,例如,在約25-30 nm之間。在圓柱體之蝕刻後,可在其中形成電容器記憶胞。在一些實行例中,DRAM特徵部是特別窄的,且難以將上方遮罩層適當地圖案化為具有足夠小的開口。常常,在圖案之定義及轉移通過各種圖案轉移層之後,所產生的已圖案化遮罩層上之開口是非期望的大。因此,本文中所述之遮罩收縮技術在形成DRAM元件之情況下是特別有用的。然而,這些技術亦可使用在其它情況下。
所揭露的方法之另一應用是在形成垂直NAND(VNAND,亦稱之為3D NAND)元件之情況下。在此例子中,特徵部蝕刻進入的材料可具有重複的層狀結構。例如,材料可包含氧化物(例如SiO2 )及氮化物(例如SiN)之交替層,或氧化物(例如SiO2 )及多晶矽之交替層。交替層形成材料配對。在一些例子中,配對之數目可為至少約20、至少約30、至少約40、至少約60、或至少約70。氧化物層之厚度可在約20-50 nm之間,例如在約30-40 nm之間。氮化物或多晶矽層之厚度可在約20-50 nm之間,例如在約30-40 nm之間。蝕刻至交替層中之特徵部之深度可在約2-6 µm之間,例如在約3-5 µm之間。特徵部之寬度可在約50-150 nm之間,例如在約50-100 nm之間。 III.遮罩收縮層之沉積
在含介電質堆疊之上之遮罩層被圖案化之後,可沉積遮罩收縮層以改變由遮罩層所定義之圖案之形狀。通常,此形狀改變涉及圖案中之開口之縮小。在某些應用中,難以用足夠的準確度以圖案化遮罩層。在一些例子中,遮罩層是相當厚的,且進行一連串複雜的步驟以定義及轉移圖案至厚的遮罩層中。當圖案被轉移至遮罩層中時,在圖案中之開口可能太大。為了處理此問題,可提供遮罩收縮層以縮小在遮罩層中之開口。
遮罩收縮層係保形地沉積。在一些例子中,遮罩收縮層之保形度為至少約0.3。在某些例子中,遮罩收縮層可沉積至在約1-10 nm之間之厚度,例如在約2-5 nm之間。在一範例中,遮罩層定義出具有約35 nm CD之開口,且厚度約3 nm之遮罩收縮層係沉積在已圖案化遮罩層上。因為遮罩收縮層保形地沉積在已圖案化遮罩層之所有表面上,所以在已圖案化遮罩層中之開口之關鍵尺寸會縮小膜厚之兩倍(因為膜係沉積在定義CD之相對側壁上)。在遮罩收縮層之沉積之後,在圖案中之開口之CD為約29 nm(35 nm – 2*3 nm = 29 nm)。在各種實行例中,開口之CD為約10-100 nm、或約20-80 nm、或約25至50 nm。
數個不同的沉積技術可用於遮罩收縮層之沉積。在一些實行例中,熱或基於電漿的原子層沉積(ALD)反應可用於遮罩收縮層之沉積。在一些其它實行例中,熱或基於電漿的化學氣相沉積(CVD)反應可用於遮罩收縮層之沉積。雖然基於吸附的方法(例如ALD)已知為形成非常保形的膜,但一些CVD技術亦能夠形成保形的膜。這樣的技術係進一步描述於下。亦可使用其它沉積技術以形成保形膜。
遮罩收縮層所形成之受蝕刻特徵部係窄於沒有沉積遮罩收縮層所能達成。進一步描述於下之實驗結果顯示,遮罩開口(在遮罩收縮層之沉積之後)之較小CD所形成之受蝕刻特徵部在整個特徵部中具有較小的關鍵尺寸。非預期地,實驗結果亦顯示,遮罩收縮層所形成之受蝕刻特徵部具有較低程度之弓形部及較均勻的垂直輪廓。因此,吾人相信,藉由確保 (1) 特徵部並非全面過寬、及 (2) 特徵部並非在特徵部之任何特定部分過寬,遮罩收縮部會促進高品質元件之形成。遮罩收縮層所產生之弓形減少為非預期的。
在數個實施例中,遮罩收縮層可為含金屬膜。在某些實施例中,在含金屬膜中之金屬係選自於由鎢、鈦、鋁、鉭、釕、鐵及鉿所構成之群組。含金屬膜可以氧化物、硼化物、氮化物等之形式而提供。化學計量及非化學計量配方皆被考慮。以下提出關於遮罩收縮層及其形成之額外細節。
圖1B呈現圖式,說明在沉積遮罩收縮層110之後,具有上方已圖案化遮罩層106之含介電質材料103。在此範例中,遮罩收縮層110之沉積是在圖案形成在已圖案化遮罩層106之後,且在特徵部開始蝕刻在含介電質材料103之前。 IV.擊穿遮罩收縮層
如圖1B所示,遮罩收縮層110係保形地沉積,包含在已圖案化特徵部之底部。在圖1B中,特徵部底部係在含介電質材料103與已圖案化遮罩層106之界面處。在某些實行例中,特徵部底部可略高於或低於此界面,取決於當將圖案轉移至已圖案化遮罩層106時所發生之蝕刻程度。為了將特徵部蝕刻至含介電質材料103中,應該移除在已圖案化特徵部之底部處之遮罩收縮層110。
在一些實施例中,在用於將特徵部蝕刻至含介電質材料中之處理期間,可完成遮罩收縮層之移除。在一些這樣的例子中,可能不需要任何額外的步驟或處理改變,且在用於將特徵部蝕刻至含介電質材料中之條件下,可適當地移除遮罩收縮層。就這種意義來說,在一些例子中,在已圖案化特徵部底部之遮罩收縮層之移除可視為是可選的(因為其發生為另一步驟之固有結果)。在一些其它例子中,蝕刻處理可在數個階段中進行,第一階段在第一組處理條件下實施,第一組處理條件係設計以在適當的位置處擊穿遮罩收縮層,第二階段在第二組處理條件下實施,第二組處理條件係設計以蝕刻含介電質材料。第一及第二階段可為單一蝕刻處理之一部分,或者它們可實施於分開的蝕刻處理中。不同的蝕刻處理可發生在相同的反應腔室或在不同的反應腔室。
用於擊穿遮罩收縮層之處理將進一步描述於下。一般而言,但取決於使用在遮罩中之材料,處理涉及用於將特徵部蝕刻至含介電質材料中之相同化學品。可使用相當高的偏壓及低程度的聚合,如以下之進一步討論。
圖1C呈現圖式,顯示在移除已圖案化特徵部底部之遮罩收縮層110之後之圖1B之部分製造元件。用於擊穿∕移除遮罩收縮層110之處理將進一步描述於下。 V.沉積及蝕刻處理之處理流程
圖1D顯示根據一實施例,在完成蝕刻處理以將特徵部蝕刻至含介電質材料103中之後之圖1B及1C之部分製造元件。圖1E呈現在半導體基板中形成受蝕刻特徵部之方法之流程圖。圖1E中之方法係相對於圖1B-1D而加以描述。
方法開始於操作120,接收具有已圖案化遮罩層106之基板。已圖案化遮罩層106通常位於含介電質材料103之上。已圖案化遮罩層106包含開口於其中,開口定義特徵部將被蝕刻至含介電質材料103中之位置。在各種實行例中,在已圖案化遮罩層106中之開口是非期望的大。過大的開口可能來自於在微影∕轉移處理期間之限制或其它考量。例如,用於最初定義圖案之處理可能無法產生具有足夠小尺寸之圖案。在這些或其它例子中,當將圖案轉移通過相關層時,用於轉移∕蝕刻圖案通過各種圖案轉移層之處理可能非期望地擴大開口。在各種實施例中,多重圖案化技術用於將圖案定義在遮罩層中。多重圖案化表示用於提高特徵部密度之一類技術。在約40-50 nm半間距之等級時,光阻圖案之解析度開始變的模糊。多重圖案化技術可用於克服此障礙並且定義甚至更小的特徵部。一般而言,多重圖案化技術包含,但不限於,自對準雙重圖案化(SADP)、側壁影像轉移(SIT)、雙重∕多重曝光、雙重曝光∕雙重蝕刻、直接自組裝(DSA)等。除了用於最初定義圖案之處理外,可使用額外的處理以將圖案轉移通過各種圖案轉移層,以形成圖案在直接設置在含介電質堆疊上之遮罩層中。例如,由於遮罩層之厚度以及當將圖案向下轉移而通過相關層時可能消耗圖案轉移層,所以可能需要數個圖案轉移層。
如本文中其它地方所述,含介電質材料103可為交替材料之堆疊,其中至少一者是介電質材料。或者,含介電質材料為均質或類均質材料,例如矽氧化物或矽氮化物。其次,在步驟122,將遮罩收縮層110沉積在已圖案化遮罩層106上。遮罩收縮層110沉積在已圖案化遮罩層106之所有表面上,包含沿著側壁,如圖1B所示。其次,在操作124中,移除在已圖案化特徵部底部之遮罩收縮層110。在操作126,將特徵部蝕刻至含介電質材料103中。操作122、124及126可發生在個別的反應腔室中、或在共用的反應腔室中。在許多例子中,操作124及126發生在相同的反應腔室,並且可在單一蝕刻處理中完成(單一蝕刻處理可能或可能不具有使用不同條件以達成操作124及126之不同階段)。在操作122中之沉積可發生在與蝕刻操作相同之腔室,然而在一些例子中,為此目的而提供個別的反應腔室。當提供超過一個反應腔室以執行操作122、124及126時,這樣的反應腔室可一起提供在一整合工具上。整合工具可包含真空轉移腔室或容許基板在真空下在後續操作之間轉移之其它構造。關於每一操作之進一步細節將提供於下。
圖1E所示之方法呈現一基本實施例。在一些其它實施例中,可使用一組更複雜的操作。例如,在完全蝕刻特徵部之前,可停止蝕刻處理(圖1E之操作126),並且可實施沉積操作以沉積保護性側壁覆蓋物在部分蝕刻特徵部之側壁上。此蝕刻(在含介電質材料中)及保護性側壁沉積可循環,直到特徵部達到其最終深度。
圖2A呈現用於在半導體基板中形成受蝕刻特徵部之方法之流程圖。在此實施例中,以循環的方式將特徵部蝕刻至介電質材料中,具有週期性的沉積操作以沉積保護性側壁覆蓋物在部分蝕刻特徵部之側壁上。圖2A所示之操作係相對於圖3A-3D而加以描述,圖3A-3D顯示在蝕刻特徵部時之部分製造半導體基板。操作亦相對於圖2B而加以描述,圖2B呈現用於沉積保護性側壁覆蓋物及∕或遮罩收縮層之方法之一選項。方法開始於操作120、122及124,操作120、122及124已經相對於圖1E而加以描述。在圖3A-3D之情況中,含介電質材料係顯示為元件符號303,已圖案化遮罩係顯示為元件符號306,遮罩收縮層係顯示為元件符號320。
其次,在操作201,將特徵部302蝕刻在含介電質材料303中至第一深度。第一深度僅為特徵部之最終期望深度之一部分。用以蝕刻特徵部之化學品可為基於氟碳化合物之化學品(Cx Fy )。可使用其它蝕刻化學品。蝕刻操作201可造成第一側壁覆蓋物304之形成。第一側壁覆蓋物304可為聚合側壁覆蓋物,如關於圖1A所述。第一側壁覆蓋物304朝第一深度延伸,然而在許多例子中,第一側壁覆蓋物304實際上並未到達特徵部302之底部。
當某些氟碳化合物物種∕片段沉積在特徵部之側壁上時,第一側壁覆蓋物304間接地從Cx Fy 蝕刻化學品而形成(亦即,某些氟碳化合物物種為第一側壁覆蓋物304之前驅物)。第一側壁覆蓋物304通常對於特徵部302之側壁之過度CD蝕刻僅提供部分保護。在一些實行例中,該蝕刻條件提供很少的(若有的話)側壁保護。
在停止蝕刻之後,在操作205中沉積第二側壁覆蓋物310。在一些例子中,第二側壁覆蓋物310可實際上為第一側壁覆蓋物。此沉積可透過各種反應機制而發生,反應機制包含,但不限於,化學氣相沉積(CVD)方法及原子層沉積(ALD)方法(其中任何一者可能是或可能不是電漿輔助的)。ALD方法係特別適合用於形成保形膜,該保形膜覆蓋著特徵部之側壁。例如,由於ALD方法之吸附-驅動本質,ALD方法對於將反應物運送深入特徵部是有用的。雖然本文中之實施例並不受限於藉由ALD而沉積第二側壁覆蓋物310之方法,但為了沉積第二側壁覆蓋物310所選擇之方法應使得保護層能夠形成在受蝕刻特徵部302之深處。CVD及其它沉積處理可能適用於各種實行例中,尤其是可以保形方式執行之沉積。
如上所述,在數個實行例中,第二側壁覆蓋物310可為含金屬膜。相較於其它類型的膜,例如矽氧化物,含金屬膜已展現出較佳的抗蝕刻性∕側壁保護性。可能包含在含金屬膜中之示例金屬包含,但不限於,鎢、鈦、釕、鉭、鋁、鐵及鉿。含金屬膜可更包含氮、碳、硼、氧及氫其中一或多者。在一些實施例中,含金屬膜是金屬製的,像是在金屬元素之情況中。
在各種例子中,第二側壁覆蓋物310可藉由產生保形膜之循環處理而形成。在藉由電漿輔助ALD方法而沉積第二側壁覆蓋物310之一實施例中,在操作205中之沉積可包含:(a) 使第一反應物流至反應腔室中,並且容許該反應物吸附至基板之表面上,從而形成吸附前驅物層312;(b) 可選地吹淨反應腔室(例如,藉由使用吹淨氣體(purge gas)吹掃、抽空反應腔室、或兩者);(c) 使基板曝露至由含氧及∕或含氮反應物(通常亦與氫一起提供)所產生之電漿,從而驅動表面反應以形成一層第二側壁覆蓋物310(此第二側壁覆蓋物310通常為抗蝕刻膜);(d) 可選地吹淨反應腔室;及 (e)重複 (a) – (d) 以形成第二側壁覆蓋物310之額外層。吸附前驅物層312係顯示於圖3B中,且第二側壁覆蓋物310係顯示於圖3C中。可使前驅物吸附(圖3B)及膜形成(圖3C)循環數次,以形成具有期望厚度之膜。
圖2B呈現根據某些實施例之使用ALD方法以沉積膜之方法之流程圖。該膜可為遮罩收縮層,例如圖1B-1D所示之遮罩收縮層110、及圖3A-3D中之層320,或者其可為保護性側壁覆蓋物,例如圖3C及3D之第二側壁覆蓋物310。在一些例子中,圖2B所示之方法係用於沉積遮罩收縮層及沉積保護性側壁覆蓋物兩者。方法250開始於操作251,使第一反應物流至反應腔室中並且得以吸附在基板上。在各種例子中,第一反應物可吸附至小於完全飽和之程度。在一些其它例子中,第一反應物可吸附直到其達到實質上完全飽和狀態。其次,在操作253,可選地吹淨反應腔室。吹淨可包含抽空腔室及∕或使用非反應性氣體吹掃腔室。當使用時,吹淨可幫助避免在第一及第二反應物之間發生不想要的氣相反應。在操作255,使第二反應物流至反應腔室中,並且在基板上與第一反應物進行反應以形成保護膜在基板上。
在一些實施例中,操作255發生在電漿存在於反應腔室中時。這樣的方法可稱為電漿輔助原子層沉積方法或電漿增強原子層沉積方法。當電漿存在於操作255中時,電漿能量可驅動在第一及第二反應物之間之反應。在一些其它實施例中,操作255之完成沒有將電漿引入至反應腔室中。在這樣的實行例中,可藉由熱能以驅動在第一及第二反應物之間之反應。當藉由熱能而不是電漿能量以驅動反應時,常常將基板維持在相對較高的溫度。在操作255中之反應通常是表面反應,而不是氣相反應。
在操作255中第一及第二反應物之互相反應之後,在操作257,可選地吹淨反應腔室。如操作253中之吹淨,操作257中之吹淨可藉由抽空及∕或吹掃反應腔室而發生。在操作259,判斷保護膜是否已經達到足夠的厚度。因為操作251-257之每一重複產生非常薄(例如,單層)的材料之沉積,所以通常使用許多重複∕循環以建立膜厚。當膜尚未足夠厚時,方法在操作251繼續,再次將第一反應物引入反應腔室中。當膜已經達到其最終厚度時,方法完成並且可停止沉積。
在本文中之數個實施例中,第一反應物為含金屬反應物,俾使在操作255中所形成之膜為含金屬膜。第二反應物可為含氮反應物,且所形成之膜可為金屬氮化物。第二反應物可為含硼反應物,且所形成之膜可為金屬硼化物。第二反應物可為含碳反應物,且所形成之膜可為金屬碳化物。第二反應物可為含氧反應物,且所形成之膜可為金屬氧化物。在一些例子中,第二反應物可包含氧、氮、碳、及硼其中二或更多者。在一些特定例子中,第一反應物可為含金屬反應物,且第二反應物可為與第一反應物起反應以形成金屬膜之任何反應物。某種程度的氫或其它元素可能包含在金屬膜中。在另一特定實施例中,在圖2B之方法中所形成之層(例如,遮罩收縮層及∕或保護性側壁覆蓋物)可沉積為具有不同組成之二子層,如以下相對於圖2C之進一步討論。
在一些實行例中,遮罩收縮層及∕或保護性側壁層可為含金屬聚合物。含金屬聚合物可藉由分子層沉積(MLD)反應而形成,如美國專利申請案第14/724,574號所述,其藉由參照而納入於此。在一些實施例中,含金屬聚合物可由有機金屬前驅物與二胺之組合而形成。在一些其它實行例中,保護層可為由有機金屬聚合物與二醇之組合所形成之含金屬聚合物。
因為在圖2B中所形成之膜係保形地形成,該膜覆蓋著在已圖案化遮罩中所形成之特徵部之側壁及底部兩者(亦即,若蝕刻已經發生在含介電質材料中,在部分蝕刻特徵部之側壁上)。在各種例子中,所形成之膜應能抵抗促進橫向蝕刻之機制∕條件,較不抵抗促進垂直蝕刻之機制∕條件。此確保了側壁可被保護,且在相對於圖2B所述之膜之沉積(例如,遮罩收縮膜及∕或保護性側壁覆蓋物)之後,蝕刻處理可開始或繼續以將特徵部更深地蝕刻至基板中。
過於抵抗垂直蝕刻之膜可能非期望地成為蝕刻停止物(防止特徵部進一步蝕刻至基板中),其並非是期望的。為此,當暴露於氟碳化合物蝕刻化學品(例如,使用在蝕刻操作201及211中之氟碳化合物蝕刻化學品)時會形成揮發性物種之含金屬膜可能是特別有利的。當在遮罩收縮膜及∕或保護性側壁覆蓋物之沉積之後、特徵部首次遭受蝕刻時,這樣的揮發性副產物可形成於特徵部之底部。例如,當副產物是揮發性的,它們具有較佳的機會從部分蝕刻特徵部中逃脫,而不是黏著在特徵部側壁上。關於蝕刻化學品及揮發性副產物之形成,含鎢膜、含鈦膜、及含釕膜可能是特別有利的。因此,在某些實施例中,遮罩收縮層及∕或保護性側壁覆蓋物(或其中之子層)可包含選自於由鎢、鈦、釕、及其組合所構成之群組之金屬。該膜可為金屬層、氧化物層、氮化物層、碳化物層、硼化物層、或其一些組合。
回到圖2A,在另一實施例中,可藉由CVD方法而沉積第二側壁覆蓋物310。在此例子中,在操作205中之沉積可包含使反應物流至反應腔室中、可選地伴隨著共反應物(例如,含氧反應物、含氮反應物、含碳反應物、含硼反應物、等等,可選地與氫一起提供),且同時將基板暴露於電漿。電漿驅動氣相反應,其導致第二側壁覆蓋物310之沉積。在此範例中,該方法係由圖3A、3C、及3D加以表示(無吸附前驅物層312形成,因此省略圖3B)。
在一些實施例中,用於沉積第二側壁覆蓋物310之一或更多反應物可具有特別低的黏附係數及∕或損耗係數。黏附係數係一術語,用以描述在相同的時間週期期間,吸附∕黏附於表面之被吸附物種(例如,原子或分子)之數目與撞擊表面之物種之總數目相比之比例。符號Sc 有時用於表示黏附係數。Sc 之數值是在0(表示沒有物種黏附)與1(表示所有撞擊物種黏附)之間。黏附係數受到各種因素之影響,包含撞擊物種之種類、表面溫度、表面覆蓋率、表面之結構細節、及撞擊物種之動能。某些物種在本質上比其它物種更「黏」,使得每一次該物種撞擊在表面上時,其更可能吸附至表面上。這些較黏的物種具有較大的黏附係數(所有其它因素係相同),且與具有較低黏附係數之黏性較低的物種相比較,其更可能吸附在凹入特徵部之入口附近。例如使用在習知蝕刻處理中(且可形成第一側壁覆蓋物304)之氟碳化合物物種具有相對高的黏附係數,因此變成集中於其第一次撞擊側壁處之特徵部302之頂部附近。相較之下,具有較低黏附係數之物種,即使撞擊側壁頂部附近之表面,在每次撞擊期間較不可能吸附,因而比較可能到達特徵部302之底部部分。
基於吸附的ALD方法特別適合用於形成到達已圖案化∕受蝕刻特徵部之底部之膜,因為可以運送反應物直到其實質上覆蓋特徵部之整個側壁。反應物不會堆積在特徵部之頂部附近,因為在每個循環期間通常只有單層的反應物會吸附在表面上。此外,熱沉積方法(相對於電漿沉積方法)是特別有利的,因為其一般在整個基板上達成較均勻的沉積結果,且在特徵部中達成較保形的結果。然而,不論是以熱或基於電漿的沉積方法加以實施,ALD及CVD方法兩者是在所揭露實施例之範圍內。
返回至圖2A,該方法接著重複下列操作:部分地蝕刻特徵部在基板中(操作211,類似於操作201)、及沉積保護性覆蓋物在部分蝕刻特徵部之側壁上(操作215,類似於操作205)。接著,在操作219,判斷特徵部是否完全蝕刻。若特徵部尚未完全蝕刻,則方法從操作211重複,進行額外的蝕刻及保護性覆蓋物之沉積。一旦特徵部已完全蝕刻,則方法完成。
在各種實施例中,循環地重複蝕刻操作201∕211及保護性側壁覆蓋物沉積操作205∕215數次。例如,這些操作每一者可發生至少兩次(如圖2A所示),例如至少約3次,或至少約5次。在一些例子中,循環之數目(每一循環包含蝕刻操作201及保護性側壁覆蓋物沉積操作205,而蝕刻操作211及沉積操作215算作第二循環)係在約2-10之間,例如在約2-5之間。每次蝕刻操作發生時,蝕刻深度增加。在循環之間所蝕刻的距離可為均勻的,或可為不均勻的。在某些實施例中,在每個循環中所蝕刻的距離隨著額外蝕刻之進行而減少(亦即,相較於較早實施的蝕刻操作,較晚實施的蝕刻操作之蝕刻可能較少)。在循環之間,在每個沉積操作205中所沉積之第二側壁覆蓋物310之厚度可為均勻的,或這樣的覆蓋物之厚度可能不同。在每一循環期間第二側壁覆蓋物310之示例厚度可能在約1-10 nm之間之範圍,例如在約3-5 nm之間。此外,在循環之間,所形成之覆蓋物之類型可為均勻的,或其可能不同。在一範例中,硼氮化物側壁覆蓋物係形成於操作205之第一循環期間,且硼氧化物側壁覆蓋物係形成於操作205之第二循環期間。在一些實施例中,在操作205及∕或215中所沉積之保護性側壁覆蓋物可沉積為雙層,如相對於圖2C之討論。雙層之子層可具有不同的組成。
如上所述,在一些實行例中,不沉積保護性側壁覆蓋物。在此例子中,方法可發生如圖1E所示,而蝕刻操作發生在單一處理中。
圖2C呈現使用多步驟處理以將特徵部蝕刻至基板中之方法之流程圖,類似於圖2A所述。為了簡潔之目的,僅將描述其差異。圖2C之方法與圖2A之方法之差異在於,沉積於部分蝕刻特徵部之側壁上之保護性覆蓋物(例如,在操作205及215中)係沉積為雙層。雙層包含在沉積步驟期間所沉積之材料,且不包含在蝕刻步驟期間可能堆積在側壁上之材料。雙層包含在某些方面有所差異之二子層。雙層之子層之每一者係保形地沉積。在各種例子中,第一子層可在第二子層之前沉積,且第一子層可在與第二子層不同的條件下沉積。這樣的條件可能涉及基板溫度、電漿之使用、電漿功率及∕或頻率、反應物、流率、壓力、劑量時間、吹淨時間、等。每一子層可根據本文中所描述之方法而沉積。在一些例子中,子層其中一或兩者可使用ALD方法而沉積。在這樣的例子中,藉由ALD所沉積之子層可根據圖2B所示之方法250而沉積。
在數個例子中,第一子層可具有與第二子層不同的組成。例如,第一子層可為金屬氮化物、金屬碳化物、金屬硼化物、金屬氧化物、等,而第二子層可為金屬層。第二子層亦可為金屬氮化物、金屬碳化物、金屬硼化物、金屬氧化物、等,但具有與第一子層不同的組成。雙層方案可能是特別有利的,因為可沉積保護層以達成數個特性。例如,可沉積第一子層以達成平坦覆蓋及∕或高品質附著至下方層(由於第一子層之特性),可沉積第二子層以達成高品質側壁保護(由於第二子層之特性)。例如,在一些例子中,金屬膜展現出高品質側壁保護性質,但難以直接沉積在側壁上。這樣的困難可能與在特徵部之部分蝕刻之後定義側壁之材料之性質有關。在這樣的例子中,沉積保護性側壁膜以包含下列的二子層可能是有利的:含金屬第一子層,可在蝕刻後輕易地沉積在側壁上;及含金屬第二子層,可輕易地沉積在第一子層上,並且在蝕刻期間提供高品質側壁保護。第一及∕或第二子層可為金屬氮化物、金屬氧化物、金屬碳化物、金屬硼化物、或金屬元素。其它元素(包含,但不限於,氫)亦可能存在於子層中。
在一些實施例中,第一子層為金屬氮化物、金屬碳化物、金屬氧化物、或金屬硼化物,第二子層為實質上為元素形式之金屬(當使用在本文中時,實質上為元素形式之用語表示至少約90%(原子)金屬元素)。當金屬存在於第一及第二子層兩者中,在第一子層中之金屬可能與在第二子層中之金屬相同或不同。在一特定實施例中,第一子層是鎢氮化物,第二子層是鎢。
如所述,例如根據圖2B之方法250,可藉由ALD而沉積子層其中一或更多者。在一範例中,第一子層係藉由方法250使用第一組沉積條件而沉積,第二子層係藉由方法250使用第二組沉積條件而沉積在第一子層上,其中在第一及第二組沉積條件之間至少一沉積條件係不同的。在各種例子中,使用不同組成的反應物以沉積第一及第二子層。第一及第二子層可在相同反應腔室中或在不同反應腔室中進行沉積。第一及第二子層其中任一者或兩者可在用於實施本文中所述之蝕刻操作之相同反應腔室中進行沉積。在一特定實施例中,第一反應腔室用於實施蝕刻,第二反應腔室用於沉積第一子層,第三反應腔室用於沉積第二子層。各種反應腔室可提供於不同的工具中、或在單一工具中,例如具有包含合適的真空傳送模組以確保不使基板暴露至大氣便可完成所有處理之叢集架構(cluster architecture)之一者。在一些實行例中,用於沉積含金屬膜(例如,金屬氮化物、金屬碳化物、金屬硼化物、或金屬氧化物)之反應腔室可為來自Lam Research Corporation of Fremont, CA所販售之ALTUS® 產品家族(包含,但不限於,ALTUS® DirectFillTM Max)之反應器。在這些及其它實行例中,用於沉積金屬膜(例如,鎢、鈦、釕、鉭、鋁、鐵、鉿、等)之反應腔室可為亦來自Lam Research Corporation所販售之ALTUS® 產品家族(包含,但不限於,ALTUS® ICE)之反應器。
第一及第二子層可沉積至特定厚度。在一些範例中,第一子層可沉積(在操作205及215之每一重複期間)至在約0.3-5 nm之間之厚度,例如在約1-3 nm之間。在這些及其它例子中,第二子層可沉積至在約0.3-5 nm之間之厚度,例如在約1-3 nm之間。雙層之整體厚度可在約0.6-10 nm之間,例如在約0.6-8 nm之間。
在進一步的實施例中,保護性側壁覆蓋物可沉積為具有三或更多子層之一層。每一子層可保形地沉積,藉由任何方法及使用本文中所述之保護性側壁覆蓋物材料其中任一者。
雖然上述的多重子層方案係關於保護性側壁覆蓋物,但這樣的技術亦可使用於沉積遮罩收縮層。本文中所述之關於保護性側壁覆蓋物之細節(包含,但不限於,材料、厚度、沉積技術、沉積參數、等)亦可適用於遮罩收縮層之子層。
遮罩收縮層沉積操作122、遮罩收縮擊穿操作124、蝕刻操作201∕211、及沉積操作205∕215可發生在相同反應腔室中或在不同反應腔室中。在一範例中,沉積操作122∕205∕215發生在用於實施沉積之一或更多反應腔室中,移除∕蝕刻操作124∕201∕211發生在用於實施蝕刻之一或更多反應腔室中,其中用於實施沉積之反應腔室及用於實施蝕刻之反應腔室一起形成一多腔室處理設備,例如叢集工具。在某些例子中,可提供負載鎖室及其它合適的真空密封件,用於在相關的腔室之間轉移基板。基板可藉由機械臂或其它機械結構加以轉移。用於實施蝕刻之反應腔室可為FlexTM 反應腔室,例如,來自Lam Research Corporation of Fremont, CA所販售之2300® FlexTM 產品家族。用於實施沉積之反應腔室可為來自Vector® 產品家族或Altus® 產品家族之腔室,皆由Lam Research Corporation所販售。在某些實施例中,用於蝕刻及沉積兩者之結合反應器之使用可為有利的,因為避免了轉移基板之需求。在其它實施例中,用於蝕刻及沉積之不同反應器之使用可為有利的,其中希望針對每一操作而特別將反應器最佳化。相關的反應腔室將進一步討論於下。
如上所述,藉由形成穿入深處的保護層,用於沉積保護性側壁覆蓋物之沉積操作協助將蝕刻操作最佳化,該保護層在蝕刻操作期間最小化或防止特徵部之橫向蝕刻。此促進具有非常垂直的側壁、沒有或幾乎沒有弓形部之受蝕刻特徵部之形成。在某些實行例中,深寬比為至少約80之最終受蝕刻特徵部具有小於約60%之弓形部(以(最寬關鍵尺寸 – 在其下方之最窄關鍵尺寸)∕在其下方之最窄關鍵尺寸*100加以測量)。例如,具有50 nm之最寬CD及40 nm之最窄CD之特徵部(在特徵部中,40 nm之CD係位於50 nm之CD之下)具有25%之弓形部(100 *(50 nm - 40 nm)∕40 nm = 25%)。在另一實行例中,深寬比為至少約40之最終受蝕刻特徵部具有小於約20%之弓形部。
在特徵部被蝕刻至其最終深度後,在某些實行例中,在進一步處理步驟之前移除任何遮罩收縮層及∕或殘餘的側壁覆蓋物可能是有利的。這些膜可藉由溼式清潔處理加以移除。溼式清潔處理可涉及,施加液體清潔劑(例如,氧化性酸或有機∕水混合物)至基板以移除任何不想要的殘留物。當待清除之膜是含金屬膜、而不是其它膜類型(例如矽氧化物、硼氮化物、或烴聚合物)時,殘餘物移除可能較為困難。
可移除遮罩收縮殘留物及保護性側壁覆蓋物殘留物,以幫助∕能夠進行進一步的處理步驟。在形成DRAM元件之情況中,金屬套管通常沉積在已完全蝕刻圓柱體之側壁上以形成電容器。來自保護性側壁覆蓋物之任何殘留物可能妨礙此金屬套管,並且可能難以使金屬套管形成為具有目標性質之平滑表面。在形成具有交替的氧化物及氮化物層之3D NAND元件(ONON 3D NAND元件)之情況中,氮化物層通常藉由溼式化學品處理加以移除。側壁殘留物可能使氮化物移除變得更為困難。在形成具有交替的氧化物及多晶矽層之3D NAND元件(OPOP 3D NAND元件)之情況中,側壁殘留物可能造成與填充受蝕刻特徵部有關之處理∕結果之不良影響。在任何例子中,通常希望在特徵部被蝕刻至其最終深度之後移除側壁殘留物。 VI.處理操作之材料及參數 A.   基板
本文中所揭露的方法特別適用於蝕刻具有介電質材料於其上之半導體基板。示例介電質材料包含矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料之摻雜形式(例如摻雜硼、磷等)、及來自這些材料之任意組合的積層物。特定示例材料包含SiO2 、SiN、SiON、SiOC、SiCN等之化學計量及非化學計量配方。如上所述,被蝕刻之介電質材料可包含多於一種類型∕層之材料。在特定例子中,可以交替的SiN及SiO2 層或交替的多晶矽及SiO2 層而提供介電質材料。進一步的細節已提供如上。基板可具有上方遮罩層,上方遮罩層定義出待蝕刻之特徵部之所在。在某些例子中,遮罩層為Si,且其可能具有在約500-1500 nm之間的厚度。在各種例子中,亦可使用基於碳的遮罩層。 B. 遮罩收縮層
遮罩收縮層之材料應具有某些性質。例如,遮罩收縮層之材料應呈現與遮罩層類似的蝕刻率。例如,當遮罩層是多晶矽時,在用於蝕刻含介電質堆疊之條件下,遮罩收縮層之蝕刻速率應該相當類似於多晶矽。若遮罩收縮層過於抵抗蝕刻條件,其可能沿著遮罩之側壁而形成柱狀物。當遮罩層在蝕刻處理期間被消耗時,這樣的遮罩收縮柱狀物可能變得不穩定並且碎裂,可能阻礙圓柱體之進一步蝕刻。若遮罩收縮層不足以抵抗蝕刻條件,則在蝕刻處理期間其可能非期望地被蝕刻掉,導致其不能夠有效地控制在含介電質堆疊中所形成之特徵部之關鍵尺寸。在某些實施例中,在使用於將特徵部蝕刻至含介電質材料中之條件下,使用於遮罩收縮層之材料之蝕刻速率是遮罩材料之蝕刻速率之約0.5-2倍之間。
此外,用於遮罩收縮層之材料應能夠在已圖案化特徵部之底部被擊穿∕移除,因而容許特徵部被蝕刻至含介電質堆疊中。否則,遮罩收縮層可能非期望地做為蝕刻停止物,而妨礙特徵部被蝕刻至含介電質堆疊中。用於實施此擊穿∕移除步驟之示例處理條件將進一步討論於下。
遮罩收縮層應保形地沉積,在晶圓表面上具有高度之再現性及高均勻性。關於在已圖案化特徵部內之保形度(例如,沿著已圖案化特徵部之側壁),在各種實施例中之遮罩收縮層具有至少約0.3或至少約0.7之保形度。類似地,不應使用造成實質上底部大量沉積(例如,在已圖案化特徵部之底部處之厚沉積及在側壁上之薄得多沉積)之膜或處理來沉積遮罩收縮層。這樣的底部大量沉積較難以在已圖案化特徵部之底部進行擊穿∕移除,並且在使遮罩層收縮方面提供相對較低的優勢。因此,遮罩收縮層之沉積應該以不在已圖案化特徵部之底部上造成過量沉積之方式進行。再者,遮罩收縮層之沉積應該具有高度的平滑性,以避免在最終蝕刻結果或元件中之擦痕。
在各種實施例中,遮罩收縮層為含金屬膜。例如,在含金屬膜中之金屬可為鎢、鈦、釕、鉭、鋁、鐵、及∕或鉿。在一些實施例中,含金屬膜可更包含氮、碳、硼、氧及氫其中一或多者。例如,含金屬膜可為金屬氮化物、金屬氧化物、金屬硼化物、金屬碳化物、等。化學計量及非化學計量膜皆被考慮。含金屬膜可為摻雜或無摻雜。在一些實施例中,含金屬膜是金屬製的,像是在金屬元素之情況中。在一範例中,遮罩收縮層是鎢氮化物膜。
數個不同的技術可用於沉積遮罩收縮層。這樣的處理將進一步詳述於下。一般而言,使得材料適合做為保護性側壁覆蓋物之性質係類似於使得材料適合做為遮罩收縮層之性質(然而,遮罩收縮層可能具有額外的限制,例如,相對蝕刻率,如本節所述)。因此,本文中所述之關於用於保護性側壁覆蓋物之材料∕結構∕沉積技術∕沉積條件之細節亦可適用於遮罩收縮層。 C. 蝕刻處理
在各種實施例中,蝕刻處理為反應性離子蝕刻處理,其涉及使化學蝕刻劑流至反應腔室中(通常經由噴淋頭),由蝕刻劑(以及其它)產生電漿,並且使基板暴露至電漿。電漿使蝕刻劑化合物解離成中性物種及離子物種(例如,帶電荷或中性材料,例如CF、CF2 及CF3 )。在許多例子中,電漿為電容耦合式電漿,然而可適當地使用其它類型的電漿。電漿中之離子係朝向晶圓並導致介電質材料在撞擊時被蝕刻掉。
在一些實施例中,在單一處理中將特徵部蝕刻至含介電質堆疊中,如圖1E所示。在一些其它實施例中,以循環方式完成蝕刻處理,當特徵部更深地蝕刻至含介電質堆疊中時,週期性地進行側壁保護性覆蓋物之沉積。
關於如何實施蝕刻處理,某些處理條件可用於擊穿∕移除在已圖案化∕受蝕刻特徵部之底部之遮罩收縮層(及∕或保護性側壁覆蓋物,在一些例子中),如下之進一步討論。在以多個不同蝕刻操作(例如,如圖2A中所示)將特徵部蝕刻至介電質堆疊中之例子中,這些擊穿處理條件可能僅實施單一次,例如緊接在遮罩收縮層之沉積之後。在使用循環沉積∕蝕刻之一些其它例子中,擊穿條件可能實施多次。在一範例中,當含金屬膜(例如遮罩收縮膜或保護性側壁膜)直接沉積在矽氮化物層之頂部上,擊穿條件被使用任何次數。矽氮化物層可為在記憶體元件堆疊中之頂層(例如,在圖1A之含介電質堆疊103中之頂層),或其可為設置在含介電質材料之堆疊中某處之矽氮化物層。在蝕刻在含介電質堆疊中之矽氮化物層時通常使用之條件可能不足以打穿在特徵部底部所沉積之含金屬層,因此在此步驟使用一組特殊的處理條件。相較之下,當含金屬膜(例如,保護性側壁覆蓋物)沉積在矽氧化物層上時,可能不需要在特殊條件下進行蝕刻以打穿含金屬膜。此可能是因為,使用於蝕刻氧化物層之條件足以擊穿∕移除沉積於特徵部底部之任何膜。應當注意,當特徵部進一步被蝕刻至基板中時,因為在較高深寬比時膜通常沉積得稍微較薄,所以與實施打穿步驟相關之優勢會減少。此較薄的沉積意味著膜較容易擊穿,並且可能不需要特殊的處理條件。用於擊穿遮罩收縮層及∕或保護性側壁覆蓋物之特定條件將進一步討論於下。
可用以實施蝕刻處理之示例設備包含由Lam Research Corporation of Fremont, CA所販售之反應性離子蝕刻反應器之2300® FLEXTM 產品家族。此類型的蝕刻反應器係進一步描述於下列美國專利中:美國專利第8,552,334號及美國專利第6,841,943號,其中每一者之全部內容係藉由參照而納入本文中。
各種反應物選項可用於將特徵部蝕刻至介電質材料中。在某些例子中,蝕刻化學品包含一或更多氟碳化合物。在這些或其它例子中,蝕刻化學品可包含其它蝕刻劑,例如NF3 。亦可提供一或更多共反應物。在一些例子中,提供氧(O2 )做為共反應物。氧可幫助保護性聚合物側壁覆蓋物(例如,圖3A-3D之第一側壁覆蓋物304)之適度形成。
在某些實行例中,蝕刻化學品包含氟碳化合物及氧之組合。例如,在一範例中,蝕刻化學品包含C4 F6 、C4 F8 、N2 、CO、CF4 、及O2 。亦可使用其它習知蝕刻化學品,及可能為非習知化學品。氟碳化合物可以約0-500 sccm之間之速率流動,例如,在約10-200 sccm之間。當使用C4 F6 及C4 F8 時,C4 F6 之流量範圍可在約10-200 sccm之間,及C4 F8 之流量範圍可在約10-200 sccm之間。氧之流量範圍可在約0-500 sccm之間,例如,在約10-200 sccm之間。氮之流量範圍可在約0-500 sccm之間,例如,在約10-200 sccm之間。四氟甲烷之流量範圍可在約0-500 sccm之間,例如,在約10-200 sccm之間。一氧化碳之流量範圍可在約0-500 sccm之間,例如,在約10-200 sccm之間。在用於處理單一300 mm晶圓之大約50公升之反應器容積中,這些速率是合適的。本文中之流率可隨著不同大小之反應器而適當地縮放,並且可基於其它大小之基板之基板面積而線性地縮放。
在一些實施例中,在蝕刻期間之基板溫度在約0-160 °C之間。在一些實施例中,在蝕刻期間之壓力在約5-50 mTorr之間。離子能量可能相當高,例如,在約1-10 kV之間。離子能量係由所施加的RF功率而決定。在各種例子中,使用雙頻率RF功率以產生電漿。因此,RF功率可包含第一頻率成分(例如,約2 MHz)及第二頻率成分(例如,約60 MHz)。可在每一頻率成分提供不同的功率。例如,可在約3-20 kW之間之功率(例如,約10 kW)提供第一頻率成分(例如,約2 MHz),可在較低的功率(例如,在約0.5-7 kW之間,例如約1 kW)提供第二頻率成分(例如約60 MHz)。這些功率位準假設,RF功率是傳送至單一300 mm晶圓。可基於額外基板及∕或其它大小之基板之基板面積而線性地縮放功率位準(從而使傳送至基板之功率密度維持均勻)。在一些例子中,可使用三種不同頻率之RF功率之結合,例如,使用在上述之第一及第二頻率成分之間之第三頻率(例如,約27 MHz)。可在低於第一頻率之功率(例如,在約0-4 kW之間,例如約2 kW)提供此第三頻率。在一些實施例中,提供至反應器之RF功率可為脈衝式,俾使在一或更多頻率之RF功率位準以在10-10,000 Hz範圍中之重複率而循環地交替於較高功率與較低功率之間。在一些這樣的例子中,在脈衝期間所使用之較高功率可對應至在此段落中所列出之功率位準,在脈衝期間所使用之較低功率可為在此段落中所列出之功率位準之約0-50%之間。在一些例子中,可在高功率位準與低功率位準之間,脈衝式提供在一或更多頻率之RF功率,其中低功率位準傳送高功率位準之約0-50%之間之功率。在許多實施例中,這些處理條件對於將特徵部蝕刻至介電質材料中是有用的。如上所述,可使用一組修改的處理條件,以擊穿遮罩收縮層及∕或保護性側壁覆蓋物。
為了擊穿沉積在已圖案化∕受蝕刻特徵部之底部之一層,可修改上述之蝕刻條件,以達成高度的基板偏壓及低度的聚合作用。例如,可修改所使用的處理氣體混合物,以移除促進或造成相對較高聚合作用之氣體。這樣的氣體可以促進或造成相對較低聚合作用之氣體加以取代。例如,在一範例中,可使用CHF3 、CF4 、及∕或O2 之混合物。當使用CHF3 及∕或CF4 時,CHF3 之流量可在約10-200 sccm之範圍中,CF4 之流量可在約10-200 sccm之範圍中。當使用O2 時,O2 之流量可在約2-30 sccm之範圍中。在一些實施例中,在蝕刻期間之壓力在約5-100 mTorr之間。使用於打穿處理之RF功率輸送可使用二或三種不同頻率之組合,範圍(例如,頻率範圍及功率範圍)如上所述。此外,在一些例子中,可使用RF脈衝,如上所述。RF功率之範圍類似於上述之範圍,但有一例外:可在稍微低於上述範圍之一功率(例如,在約1-20 kW)提供第一頻率成分(例如,約2 MHz)。
在蝕刻處理循環地發生之例子中,蝕刻處理之每一循環將介電質材料蝕刻至某種程度。在每一循環期間所蝕刻之距離可在約10-500 nm之間,例如在約50-200 nm之間。總蝕刻深度將取決於特定應用。對於一些例子(例如,DRAM)而言,總蝕刻深度可在約1.5-2 µm之間。對於其它例子(例如,VNAND)而言,總蝕刻深度可為至少約3 µm,例如至少約4 µm。在這些或其它例子中,總蝕刻深度可為約5 µm或更少。
如在圖3A-3D之討論中所述,蝕刻處理可產生第一側壁覆蓋物(例如,第一側壁覆蓋物304,其可為聚合性的)。然而,此側壁覆蓋物之深度可能受限於靠近特徵部上部之區域,且可能不一直向下延伸至也需要側壁保護之特徵部中。因此,如本文中所述,可執行單獨的沉積操作,以形成實質上覆蓋受蝕刻特徵部之整個深度之側壁覆蓋物。在其它例子中,省略此沉積操作,並且在單一處理中將特徵部蝕刻至含介電質堆疊中。 D. 用於沉積保護性側壁覆蓋物及∕或遮罩收縮膜之沉積處理
在此節中所述之沉積處理可能關於遮罩收縮膜之沉積及∕或保護性側壁覆蓋物之沉積。在遮罩收縮膜之例子中,主要實施沉積以沉積遮罩收縮膜在已圖案化遮罩層之側壁上。類似地,在保護性側壁膜之例子中,主要實施沉積以沉積保護層在部分蝕刻特徵部之側壁上,這樣的部分蝕刻特徵部係形成在含介電質堆疊中。
即使在高深寬比的特徵部中,所沉積之層應延伸至已圖案化∕受蝕刻特徵部中之深處。在許多例子中,遮罩層可能足夠厚,且特徵部足夠窄,以致於在已圖案化遮罩層中之開口被視為高深寬比開口∕特徵部。在一範例中,在遮罩層中之開口具有約35 nm之關鍵尺寸及約2微米之深度,其表示大於50之深寬比。依賴吸附受限沉積(例如,ALD反應)之反應機制可促進在受蝕刻特徵部中之深處之膜之形成。關於遮罩收縮層,此層之沉積是在遮罩層之圖案化之後,且通常在將圖案蝕刻至含介電質堆疊中之前。關於保護性側壁覆蓋物,沉積通常開始於特徵部被部分蝕刻之後。如在圖2A之討論中所述,沉積操作可與蝕刻操作循環進行,以當特徵部被蝕刻至介電質材料中之較深處時,形成額外的側壁保護。
在一些例子中,當特徵部被蝕刻至其最終深度之至少約1/3之時或之後,開始保護層之沉積。在一些實施例中,一旦特徵部達到至少約2、至少約5、至少約10、至少約15、至少約20、或至少約30之深寬比,開始保護層之沉積。在這些或其它例子中,在特徵部達到約4、約10、約15、約20、約30、約40、或約50之深寬比之前,可開始沉積。在一些實施例中,在特徵部至少約1 µm深、或至少約1.5 µm深之後(例如,在3D NAND之實施例中,最終特徵部深度為3-4 µm),開始沉積。在其它實施例中,在特徵部至少約600 nm深、或至少約800 nm深之後(例如,在DRAM之實施例中,最終特徵部深度為1.5-2 µm深),開始沉積。用於開始保護層沉積之最佳時間為直接在側壁將被蝕刻至非期望大的CD(因而形成弓形部)之前。此狀況發生之確切時間取決於蝕刻中特徵部之形狀、蝕刻中之材料、用以蝕刻及沉積保護層之化學品、及用以蝕刻及沉積相關材料之處理條件。
在沉積處理期間形成之遮罩收縮層及∕或保護層可能具有各種組成。如上所述,這些層應該穿入至受蝕刻特徵部之深處,並且應該相當能抵抗用以蝕刻特徵部之蝕刻化學品。在各種例子中,遮罩收縮層及∕或保護性側壁覆蓋物可為含金屬層。亦可使用其它類型的保護層(包含,但不限於,有機聚合層及矽氧化物層),例如,如下列美國專利申請案所述:美國專利申請案第14/724,574號(申請日為2015年5月28日,發明名稱為TECHNIQUE TO DEPOSIT SIDEWALL PASSIVATION FOR HIGH ASPECT RATIO CYLINDER ETCH)、及美國專利申請案第14/697,521號(申請日為2015年4月27日,發明名稱亦為TECHNIQUE TO DEPOSIT SIDEWALL PASSIVATION FOR HIGH ASPECT RATIO CYLINDER ETCH),其中每一者之全部內容係藉由參照而納入本文中。在某些實施例中,在沉積處理期間形成之遮罩收縮層及∕或保護層可為鎢氮化物層。鎢氮化物之沉積係進一步討論於美國專利第7,691,749號中,其全部內容係藉由參照而納入本文中。
當沉積膜包含硼時,可使用含硼反應物。示例含硼反應物包含,但不限於,硼酸三異丙酯([(CH3 )2 CHO]3 B)、三甲基硼-d9 (B(CD3 )3 )、三苯硼烷((C6 H5 )3 B)、及三(五氟苯基)硼烷((C6 F5 )3 B)。含硼反應物之其它範例包含三氯化硼(BCl3 )、硼烷(BH3 )、二硼烷(B2 H6 )、三氟化硼(BF3 )、及硼酸三甲酯(B(OCH3 )3 )。在一特定範例中,含硼反應物係選自於由B2 H6 、BCl3 、BF3 、及其組合所構成之群組。循環ALD或類ALD沉積反應可沉積含硼保護層。或者,非循環處理(例如,主體CVD沉積)可沉積含硼保護層。
當沉積膜包含氮時,可使用含氮反應物。含氮反應物包含至少一個氮,例如,氮、氨、聯胺、胺類(例如帶有碳之胺類),例如,甲基胺、二甲基胺、乙基胺、異丙基胺、三級丁基胺、二(三級丁基)胺、環丙基胺、二級丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二(三級丁基)聯胺,以及含芳香族之胺類(例如,苯胺、吡啶、及苯甲胺)。胺類可為一級、二級、三級、或四級(例如,四烷基銨化合物)。含氮反應物可包含氮以外之雜原子,例如,含氮反應物為羥胺、三級丁氧羰基胺、及N-三級丁基羥基胺。其它範例包含Nx Oy 化合物,例如,一氧化二氮(N2 O)、一氧化氮(NO)、二氧化氮(NO2 )、三氧化二氮(N2 O3 )、四氧化二氮(N2 O4 )及∕或五氧化二氮(N2 O5 )。
當沉積膜包含氧時,可使用含氧反應物。含氧反應物之範例包含,但不限於,氧、臭氧、一氧化二氮、一氧化氮、三氧化二氮、四氧化二氮、五氧化二氮、二氧化氮、一氧化碳、二氧化碳、氧化硫、二氧化硫、含氧烴(Cx Hy Oz )、水、甲醛、羰基硫、其混合物等。
當沉積膜包含碳時,可使用含碳反應物。含碳反應物之範例包含,但不限於,烴(Cx Hy )、含氧烴(Cx Hy Oz )、羰基硫、二硫化碳、氟碳化合物、等。
當沉積膜包含金屬時,可使用含金屬反應物。示例金屬包含,但不限於,鎢、鈦、鉭、釕、鋁、鐵、及鉿。
示例含鋁反應物包含,但不限於,參(2,2,6,6-四甲基-3,5-庚二酮酸)鋁、三異丁基鋁、三甲基鋁、及參(二甲基醯胺)鋁(III)、等。
示例含鎢反應物包含,但不限於,二碘化雙(丁基環戊二烯基)鎢(IV)、雙(三級丁基亞胺基)雙(三級丁基胺基)鎢、雙(三級丁基亞胺基)雙(二甲基胺基)鎢(VI)、二氯化雙(環戊二烯基)鎢(IV)、二氫化雙(環戊二烯基)鎢(IV)、二氫化雙(異丙基環戊二烯基)鎢(IV)、三羰基氫化環戊二烯基鎢(II)、四羰基(1,5-環辛二烯基)鎢(0)、三羰基三胺鎢(IV)、六羰鎢、六氟化鎢、等。
示例含鈦反應物包含,但不限於,二氯化雙(三級丁基環戊二烯基)鈦(IV)、肆(二乙基醯胺基)鈦(IV)、肆(二甲基醯胺基)鈦(IV)、肆(乙基甲基醯胺基)鈦(IV)、二異丙氧化雙(2,2,6,6-四甲基-3,5-庚二酮酸)鈦(IV)、異丙氧化鈦(IV)、四氯化鈦、等。
示例含鉭反應物包含,但不限於,伍(二甲基胺基)鉭(V)、乙氧化鉭(V)、參(二乙基醯胺基)(三級丁基醯亞胺基)鉭(V)、參(乙基甲基醯胺基)(三級丁基醯亞胺基)鉭(V)、等。
示例含釕反應物包含,但不限於,雙(環戊二烯基)釕(II)、雙(乙基環戊二烯基)釕(II)、雙(五甲基環戊二烯基)釕(II)、十二羰基三釕、等。
示例含鐵反應物包含,但不限於,[1,1’-雙(二苯基膦基)二茂鐵]四羰基鉬(0)、雙(五甲基環戊二烯基)鐵(II)、1,1’-二乙基二茂鐵、五羰基鐵(0)、參(2,2,6,6-四甲基-3,5-庚二酮酸)鐵(III)、等。
示例含鉿反應物包含,但不限於,雙(三級丁基環戊二烯基)二甲基鉿(IV)、雙(甲基-η5-環戊二烯基)二甲基鉿、雙(甲基-η5-環戊二烯基)甲氧基甲基鉿、氯化雙(三甲基矽基)醯胺基鉿(IV)、二甲基雙(環戊二烯基)鉿(IV)、三級丁氧化鉿(IV)、異丙氧化鉿異丙醇、肆(二乙基醯胺基)鉿(IV)、肆(二甲基醯胺基)鉿(IV)、肆(乙基甲基醯胺基)鉿(IV)、等。
在此節中所列出之反應物可用於沉積遮罩收縮層及∕或保護性側壁覆蓋物。類似地,在此節中所列出之技術(例如,ALD、CVD、MLD、等)及處理條件(例如,反應物流量、時序、溫度、壓力、電漿特性、等)可用於沉積遮罩收縮層及∕或保護性側壁覆蓋物。一般而言,在做為保護性側壁覆蓋物時運作良好之含金屬膜在做為遮罩收縮層時亦運作良好(只要遮罩收縮層之材料具有相較於遮罩層之適當蝕刻率)。因此,在本文中所提出之關於保護性側壁覆蓋物之細節亦可應用於遮罩收縮層。
所揭露的前驅物並非意圖為限定性的。亦可使用熟悉此項技藝者所熟知之其它反應物。經常地,可隨著一或更多反應物而提供氧。在一些實施例中,用於在基板上定義特徵部之遮罩層可能容易受到氧化作用之影響。基於碳的遮罩材料可能特別容易受到在沉積步驟期間由氧化作用所引起之損壞之影響。用於減少易受氧化作用影響的遮罩材料之損壞之方法係討論於美國專利申請案第14/697,521號中,其藉由參照而納入本文中。在本文之某些實施例中,可使用含金屬反應物及羰基硫(COS)之組合而形成金屬氧化物遮罩收縮層及∕或保護層。羰基硫已展現出形成氧化物而不損壞易受氧化作用影響的遮罩材料。
在一些實施例中,遮罩收縮層及∕或保護性側壁覆蓋物(或其中之一或更多子層)可由選自於由鎢氮化物、鎢、鈦氮化物、鈦氧化物、鉭、鐵硼化物、及鉿硼化物所構成之群組之材料所製成。在這些實施例其中一些中,遮罩收縮層及∕或保護性側壁覆蓋物(或其中之一或更多子層)可由選自於由鎢氮化物、鎢、鈦氮化物、鈦氧化物、鉭、及鎢所構成之群組之材料所製成。在一特定範例中,遮罩收縮層及∕或保護性側壁覆蓋物是由鎢氮化物所製成。在另一範例中,遮罩收縮層及∕或保護性側壁覆蓋物是由二子層所製成,第一子層為鎢氮化物或另一含金屬膜,第二子層為鎢或另一金屬。
將提供反應物組合之一些特定範例,然而這些範例並非意圖為限定性的。在一範例中,可使用WF6 及NH3 而形成鎢氮化物層(或子層)。在一些實行例中,可使用WF6 及H2 而形成鎢層(或子層)。
用於形成沉積層之前驅物及其片段可能具有相當低的黏附係數,從而使前驅物能夠穿入受蝕刻特徵部中之深處。在一些例子中,前驅物之黏附係數(在相關的沉積條件下)可為約0.05或更小,例如,約0.001或更小。類似地,用於形成沉積層之前驅物及其片段可能具有相當低的再結合率。具有低再結合率之物種能夠較佳地穿入特徵部中之深處,同時保持反應性。此容許膜沿著已圖案化或部分蝕刻特徵部之長度∕深度之實質部分而沉積。在某些例子中,覆蓋物可沿著特徵部之整個長度而沉積。在各種例子中,損耗係數可為小於約0.005。
反應機制可為循環的(例如ALD)或連續的(例如CVD)。可使用導致膜在高深寬比處形成之任何方法。如所述,由於保形性及吸附受限性質,ALD反應可能特別適合此目的。然而,可使用其它類型的反應,只要膜係能夠形成於高深寬比處以保護在已圖案化∕受蝕刻特徵部中之深處之側壁。用於ALD及CVD反應之基本操作係描述於以上關於圖2A之操作205。簡言之,ALD反應涉及循環地執行下列操作:(a) 第一反應物之傳送,以形成吸附前驅物層,(b) 可選的吹淨操作,以從反應腔室移除第一反應物,(c) 第二反應物之傳送,以及在第一及第二反應物之間在基板上之反應,(d) 可選的吹淨以移除過量的反應物,及 (e) 重複 (a) – (d) 直到膜達到期望的厚度。因為反應物之提供是在不同的時間,且反應是表面反應,所以該方法在某種程度上可能是吸附受限的。此造成非常保形的膜之形成,該非常保形的膜可覆蓋整個凹入特徵部之表面。相較之下,CVD方法通常為氣相反應,其中反應物是以連續的(非循環的)方式而傳送至基板。一些CVD方法之實施可具有相當高度的保形性。
在某些實施例中,可使用下列反應條件,其中沉積反應藉由電漿輔助ALD方法發生。基板溫度可維持在約0-500 °C之間,例如在約20-200 °C之間。壓力可維持低至約100或200 mTorr及高至約1、2、或3 Torr。離子能量可為相當低,例如低於約1 kV。用以產生電漿之RF頻率可為約60 MHz,然而亦可使用其它頻率。RF功率可為數百瓦,例如約500 W或更少(例如,約7100 W/m2 或更少)、約400 W或更少(例如,約7100 W/m2 或更少)、或約300 W或更少(例如,約7100 W/m2 或更少)。本文中所記述之功率位準係假設功率係傳送至單一300 mm晶圓,基於額外或不同大小的基板之基板面積而線性地縮放功率。在每一ALD循環期間,吸附反應物之傳送可在約0.5-20秒之間之持續時間,流率在約50-1000 sccm之間。第一吹淨可具有在約0-60秒之間之持續時間。基板可暴露於電漿在約0.5-120秒之間之持續時間,反應物(不包含與反應物一起提供之任何惰性氣體)之流率在約50-1000 sccm之間。在電漿暴露期間,氫之流率可在約0-1000 sccm之間。RF後吹淨可具有在約0-60秒之間之持續時間。
在各種實施例中,可使用下列反應條件,其中沉積反應藉由熱(非電漿)ALD方法發生。基板溫度可維持在約150-500 °C之間,例如在約250-400 °C之間。壓力可維持低至約100或200 mTorr及高至約1、2、或3 Torr。在每一ALD循環期間,吸附反應物之傳送可在約0.15-20秒之間之持續時間,流率在約50-1000 sccm之間。第一吹淨可具有在約0-60秒之間之持續時間。基板可暴露於電漿在約0.15-120秒之間之持續時間,反應物(不包含與反應物一起提供之任何惰性氣體)之流率在約50-1000 sccm之間。第二吹淨可具有在約0-60秒之間之持續時間。
在某些實施例中,可使用下列反應條件,其中沉積反應藉由電漿輔助CVD方法發生。基板溫度可維持在約0-500 °C之間,例如在約20-200 °C之間。壓力可維持在約100-3000 mT之間。用以產生電漿的RF頻率可為2-60 MHz。用以產生電漿的RF功率可在約50-2000 W之間(例如,在約700-28,000 W/m2 之間),例如在約100-800 W之間(例如,在約1,400-11,300 W/m2 之間)。反應物傳送及電漿暴露之持續時間可在約1-180秒之間。流率取決於特定的反應物。
在某些實施例中,可使用下列反應條件,其中沉積反應藉由熱(非電漿)CVD方法發生。基板溫度可維持在約-10-500 °C之間,例如在約20-300 °C之間。壓力可維持在約100-3000 mT之間。反應物傳送之持續時間可在約1-180秒之間。流率取決於特定的反應物。ALD及CVD反應條件係提供作為引導用,且係非意圖為限定性的。
某些含金屬膜可能特別適用於藉由CVD方法之沉積。相較於許多其它CVD沉積膜,這樣的膜可能形成為具有相當高度的保形性。可保形地沉積之含金屬膜之範例包含鐵硼化物(Fex By ,例如,FeB及Fe2 B)、二硼化鉿(HfB2 )、及二硼化鈦(TiB2 )。這樣的膜係進一步描述於下列刊物中,其中每一者之全部內容係藉由參照而納入本文中:Highly conformal film growth by chemical vapor deposition. II. Conformality enhancement through growth inhibition, A. Yanguas-Gil, et al., J. Vac. Sci. Technol. A 27, 1244 (2009);及CVD Growth Kinetics of HfB2 Thin Films from the Single-Source Precursor Hf(BH4 )4 , Yu Yang, et al., Chem. Mater., 2006, 18, 5088-5096。
在某些使用電漿之實施例中,電漿可為電容耦合式電漿。在一些其它實施例中,電漿可為感應耦合式電漿、遠端產生電漿、微波電漿、等。在一些實行例中,電漿為以一或更多頻率所產生之電容耦合式電漿,如上所述。用於產生電漿之頻率可包含低頻(LF)成分及∕或高頻(HF)成分。在一些例子中,在電漿存在之特定處理步驟期間,只使用HF頻率。 VII.設備
本文中所描述之方法可藉由任何合適的設備或設備之組合加以實施。合適的設備包含用於完成處理操作之硬體,及具有用於根據本發明而控制處理操作之指令之系統控制器。例如,在一些實施例中,硬體可包含一或更多處理站,該一或更多處理站包含在處理工具中。一處理站可為蝕刻站,而另一處理站可為沉積站。在另一實施例中,蝕刻及沉積發生在單一站∕腔室中。在又另一實施例中,在單一處理工具中可包含多個蝕刻站及∕或多個沉積站。
圖4A-4C說明可調間隙電容耦合式限制RF電漿反應器400之實施例,RF電漿反應器400可用於本文中所述之蝕刻操作。如圖所示,真空腔室402包含腔室外罩404,腔室外罩404圍繞著容納下電極406之內部空間。在腔室402之上部中,上電極408與下電極406在垂直方向上分隔開。上及下電極408、406之平坦表面係實質上平行且與電極之間之垂直方向正交。較佳地,上及下電極408、406為圓形且相對於一垂直軸為共軸。上電極408之下表面係面對下電極406之上表面。隔開且相向的電極表面定義其間之可調間隙410。在操作期間,由RF功率供應器(匹配器)420供應RF功率至下電極406。RF功率係經由RF供應導管422、RF帶424及RF功率構件426而供應至下電極406。接地屏蔽436可圍繞著RF功率構件426,以提供更均勻的RF場至下電極406。將晶圓經由晶圓埠482插入並且支撐在下電極406上之間隙410中以進行處理,將處理氣體供應至間隙410並且藉由RF功率而激發為電漿態,如共同擁有之美國專利第7,732,728號所述,其全部內容係藉由參照而納入本文中。上電極408可供電或接地。
在顯示於圖4A-4C之實施例中,下電極406係支撐在下電極支撐板416上。插入在下電極406與下電極支撐板416之間之絕緣環414使下電極406與支撐板416絕緣。
RF偏壓外罩430將下電極406支撐在RF偏壓外罩碗體432上。藉由RF偏壓外罩430之一臂434,碗體432經由在腔室壁板418中之開口而連接至導管支撐板438。在一較佳實施例中,RF偏壓外罩碗體432及RF偏壓外罩臂434係整合形成為一個構件,然而,臂434及碗體432亦可為被栓緊或連結在一起之兩個獨立的構件。
RF偏壓外罩臂434包含一或更多中空通路,用於將RF功率及設施(例如,氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制之電纜、電力監控及致動信號)從真空腔室402外部傳送至真空腔室402內部之在下電極406之背側上之空間。RF供應導管422係與RF偏壓外罩臂434絕緣,RF偏壓外罩臂434提供RF功率之返回路徑至RF功率供應器420。設施導管440提供設施構件之通道。設施構件之進一步細節係描述於美國專利第5,948,704號及第7,732,728號中,而為了說明之簡要在此將不顯示。間隙410較佳地被限制環組件或護圈(未顯示)所圍繞,其細節可在共同擁有之美國專利第7,740,736號中找到,其藉由參照而納入本文中。真空腔室402之內部係經由真空入口480連接至真空泵而維持在低壓。
導管支撐板438係附接於致動機構442。致動機構之細節係描述於共同擁有之美國專利第7,732,728號中,其於此納入本文中。致動機構442(例如伺服機械馬達、步進馬達或類似物)係附接於垂直線性軸承444,例如藉由螺旋齒輪446(例如滾珠螺桿及用於轉動滾珠螺桿之馬達)而附接。在調節間隙410之大小之操作期間,致動機構442沿著垂直線性軸承444移動。圖4A說明當致動機構442在線性軸承444上之高位置時之配置,其導致小間隙410a。圖4B說明當致動機構442在線性軸承444上之中間位置時之配置。如圖所示,下電極406、RF偏壓外罩430、導管支撐板438、RF功率供應器420皆相對於腔室外罩404及上電極408向較低處移動,導致中等大小的間隙410b。
圖4C說明當致動機構442在線性軸承上之低位置時之大間隙410c。較佳地,上及下電極408、406在間隙調整期間保持共軸,且上及下電極的相對表面橫跨間隙而保持平行。
例如,為了維持整個大直徑基板(例如300 mm晶圓或平板顯示器)之均勻蝕刻,此實施例容許在CCP腔室402中之下及上電極406、408之間之間隙410在多步驟處理配方(BARC、HARC、及STRIP等)期間可加以調整。具體而言,此腔室關於一機械配置,其允許在下及上電極406、408之間提供可調間隙所需之線性移動。
圖4A說明橫向偏斜波紋管(bellow)450,波紋管450在近端處密封至導管支撐板438且在遠端處密封至腔室壁板418之階梯狀凸緣428。階梯狀凸緣之內徑定義了在腔室壁板418中之開口412,RF偏壓外罩臂434通過該開口412。波紋管450之遠端係由夾圈452加以夾緊。
橫向偏斜波紋管450提供真空密封,同時容許RF偏壓外罩430、導管支撐板438及致動機構442之垂直移動。RF偏壓外罩430、導管支撐板438及致動機構442可視為懸臂組件。較佳地,RF功率供應器420與懸臂組件一起移動,並可附接於導管支撐板438。圖4B顯示當懸臂組件係在中間位置時,波紋管450在中立位置。圖4C顯示當懸臂組件在低位置時,波紋管450橫向偏斜。
曲徑填封448在波紋管450與電漿處理腔室外罩404之內部之間提供微粒阻隔。固定屏蔽456在腔室壁板418處不可移動地附接於腔室外罩404之內部內壁,以便提供曲徑溝槽460(狹縫),可移動屏蔽板458在曲徑溝槽460中垂直地移動以適應懸臂組件之垂直移動。在下電極406之所有垂直位置,可移動屏蔽板458之外部保持在狹縫中。
在所示的實施例中,曲徑填封448包含固定屏蔽456,固定屏蔽456在腔室壁板418中之開口412之周緣處附接於腔室壁板418之內表面,定義了曲徑溝槽460。可移動屏蔽板458係附接並且自RF偏壓外罩臂434徑向延伸,其中臂434通過在腔室壁板418中之開口412。可移動屏蔽板458延伸至曲徑溝槽460中,同時與固定屏蔽456以第一間隙隔開,並且與腔室壁板418之內部表面以第二間隙隔開,因而容許懸臂組件垂直地移動。曲徑填封448阻擋自波紋管450剝落之微粒遷移進入真空腔室內部405,並阻擋來自處理氣體電漿之自由基遷移至波紋管450,於該處自由基可能形成隨後剝落之沉積物。
圖4A顯示當懸臂組件在高位置(小間隙410a)時,可移動屏蔽板458是在RF偏壓外罩臂434之上在曲徑溝槽460中之較高位置。圖4C顯示當懸臂組件在低位置(大間隙410c)時,可移動屏蔽板458是在RF偏壓外罩臂434之上在曲徑溝槽460中之較低位置。圖4B顯示當懸臂組件在中間位置(中等間隙410b)時,可移動屏蔽板458是在曲徑溝槽460內之中立或中間位置。雖然曲徑填封448係顯示為相對於RF偏壓外罩臂434對稱,但在其它實施例中,曲徑填封448可相對於RF偏壓臂434不對稱。
圖5提供描繪各種反應器構件之簡易方塊圖,該等反應器構件用於實施本文中所述之各種沉積方法。如圖所示,反應器500包含處理腔室524,處理腔室524包圍著反應器之其它構件並且用於容納由電容放電式系統所產生之電漿,電容放電式系統包含與接地的加熱器塊520結合運作之噴淋頭514。高頻(HF)射頻(RF)產生器504及低頻(LF)RF產生器502可連接至匹配網路506及噴淋頭514。由匹配網路506所供應之功率及頻率可足以由供應至處理腔室524之處理氣體而產生電漿。例如,匹配網路506可提供50 W至500 W(例如,700至7,100 W/m2 )之HFRF功率。在一些範例中,匹配網路506可提供100 W至5000 W(例如,1,400至71,000 W/m2 )之HFRF功率及100 W至5000 W(例如,1,400至71,000 W/m2 )之LFRF功率總能量。在典型的處理中,HFRF構件通常可在5 MHz至60 MHz之間,在一些例子中,例如13.56 MHz、約27 MHz、或約60 MHz。在具有LF構件之操作中,LF構件可從約100 kHz至2 MHz,在一些例子中,例如約430 kHz、或約2 MHz。
在反應器內,晶圓底座518可支撐基板516。晶圓底座518可包含夾盤、叉、或升降銷(未顯示),以在沉積及∕或電漿處理反應期間及之間固定及傳送基板。夾盤可為靜電夾盤、機械夾盤、或各種可用於工業上的使用及∕或用於研究之其它類型的夾盤。
可經由入口512而引入各種處理氣體。多個來源氣體管線510係連接至歧管508。該等氣體可預先混合或可不預先混合。可使用適當的閥調節及質量流量控制機構,以確保在處理之沉積及電漿處理階段期間輸送正確的處理氣體。在以液體形式輸送化學前驅物之例子中,可使用液體流量控制機構。接著,在到達沉積腔室之前,可使這樣的液體汽化並且在歧管中之輸送期間與處理氣體混合,歧管被加熱至高於以液體形式供應之化學前驅物之汽化點。
處理氣體可經由出口522而離開腔室524。真空泵540(例如,一或二階段之機械乾式泵及∕或渦輪分子泵)可用於將處理氣體抽出處理腔室524,並且使用閉迴路控制的流量限制裝置(例如節流閥或擺閥)以維持處理腔室524內之適當低壓。
如上所述,本文中所討論之沉積技術可實施於多站或單站工具上。在特定實行例中,可使用具有4站沉積架構之300 mm Lam VectorTM 工具或具有6站沉積架構之200 mm SequelTM 工具。在一些實行例中,可使用用於處理450 mm晶圓之工具。在各種實行例中,晶圓可在每一沉積及∕或沉積後電漿處理之後進行索引,或若蝕刻腔室或站亦為相同工具之部分時可在蝕刻操作之後進行索引,或在索引晶圓之前可在單一站實施多個沉積及處理。
在一些實施例中,可提供一種設備,用以實施本文中所述之技術。合適的設備可包含用於實施各種處理操作之硬體,以及具有用於根據所揭露實施例而控制處理操作之指令之系統控制器530。系統控制器530一般包含一或更多記憶體裝置及一或更多處理器,其與各種處理控制設備(例如閥、RF產生器、晶圓搬運系統、等)通訊連接並用以執行指令,俾使該設備將實施根據所揭露實施例之技術。包含用於根據本揭露內容而控制處理操作之指令之機器可讀媒體可耦接至系統控制器530。系統控制器530可與各種硬體裝置(例如質量流量控制器、閥、RF產生器、真空泵、等)通訊連接,以協助與本文中所述之沉積操作有關之各種製程參數之控制。
在一些實施例中,系統控制器530可控制反應器500之所有活動。系統控制器530可執行系統控制軟體,系統控制軟體係儲存在大容量儲存裝置中、載入至記憶體裝置中、以及在處理器上執行。系統控制軟體可包含用以控制以下者之指令:氣體流動之時序、晶圓移動、RF產生器啓動、等,以及氣體之混合、腔室及∕或站壓力、腔室及∕或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、夾盤及∕或托座位置、及藉由反應器設備500而實施之特定處理之其它參數。系統控制軟體可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理所需之處理工具構件之操作。系統控制軟體可以任何適當的電腦可讀程式語言加以編碼。
系統控制器530一般可包含一或更多記憶體裝置、以及用以執行指令之一或更多處理器,俾使設備將實施根據本揭露內容之方法。包含用以根據所揭露實施例而控制處理操作之指令之機器可讀媒體可耦接至系統控制器530。
一或更多處理站可包含於多站處理工具中。 圖6顯示多站處理工具600之實施例之概要圖,具有入站負載鎖室602及出站負載鎖室604,入站負載鎖室602及出站負載鎖室604其中一或兩者可包含遠端電漿源。在大氣壓力下之機械臂606係用以將晶圓自卡匣(透過盒608而裝載)經由大氣埠610移動至入站負載鎖室602中。藉由機械臂606將晶圓放置在入站負載鎖室602中之底座612上,關閉大氣埠610,且抽空負載鎖室。在入站負載鎖室602包含遠端電漿源之情況中,可使晶圓在被導入處理腔室614之前在負載鎖室中暴露至遠端電漿處理。此外,晶圓亦可在入站負載鎖室602中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開往處理腔室614之腔室傳送埠616,另一機械臂(未顯示)將晶圓放置在反應器中且在第一站(顯示在反應器中)之底座上,以進行處理。雖然所繪示之實施例包含負載鎖室,但應當了解,在一些實施例中,晶圓可直接進入處理站中。
在圖6所示之實施例中,所繪示之處理腔室614包含四處理站,編號為1到4。每一站具有受到加熱的底座(顯示於站1之618)、及氣體管線入口。應當了解,在一些實施例中,每一處理站可具有不同或多個目的。例如,處理站1-4每一者可為用於實施ALD、CVD、CFD、或蝕刻(其中任一者可為電漿輔助的)其中一或更多者之腔室。在一實施例中,處理站其中至少一者為具有如圖5中所示之反應腔室之沉積站,且其它處理站其中至少一者為具有如圖4A-4C中所示之反應腔室之蝕刻站。儘管所描繪的處理腔室614包含四站,但應當理解,根據本揭露內容之處理腔室可具有任何適當數目之站。例如,在一些實施例中,處理腔室可具有五或更多站,然而在其它實施例中,處理腔室可具有三或更少站。
圖6亦描繪晶圓搬運系統609之實施例,用以在處理腔室614內轉移晶圓。在一些實施例中,晶圓搬運系統609可在各種處理站之間及∕或在處理站與負載鎖室之間轉移晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包含晶圓旋轉架及晶圓搬運機械臂。圖6亦描繪系統控制器650之實施例,用以控制處理工具600之處理條件及硬體狀態。系統控制器650可包含一或更多記憶體裝置656、一或更多大容量儲存裝置654、及一或更多處理器652。處理器652可包含CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。
在一些實行例中,系統控制器為系統之一部分,其可為上述範例之一部分。這樣的系統可包含半導體處理設備,其中包含一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理構件(晶圓底座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用於在半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可稱為「控制器」,該控制器可控制一系統或複數系統之各種構件或子部分。根據系統之類型及∕或處理需求,可將控制器程式化以控制本文中所揭露之任何處理,包含處理氣體之傳送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或負載鎖室。
廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包含儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理操作之配方之一部分。
在一些實行例中,控制器可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,控制器可在「雲端」中、或使得晶圓處理之遠端控制得以進行之工廠主機電腦系統之全部或一部分。該電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設置在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者界面,使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內實施之處理步驟其中每一者指定了參數。應當了解,參數可針對待實施之處理類型、及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包含以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路通訊相結合,以控制腔室中之處理。
非限制性地,示例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於欲由工具所實施之處理操作,控制器可與下列之一或更多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具界面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
在某些實施例中,控制器具有指令,以實施關於圖1E所示及所述之操作。例如,控制器可具有指令以用於:(a) 沉積遮罩收縮層在已圖案化遮罩層上,(b) 移除在已圖案化特徵部之底部之遮罩收縮層,及 (c) 將特徵部蝕刻至在已圖案化遮罩層之下之含介電質材料中。在一些其它實施例中,控制器具有指令,以實施關於圖2A所示及所述之操作。例如,控制器可具有另外的指令,以循環地實施蝕刻操作與保護性側壁覆蓋物沉積操作。指令可關於使用所揭露的反應條件而實施這些處理。在一些實行例中,指令亦可關於在不同處理腔室之間(例如,在蝕刻與沉積腔室之間、在蝕刻腔室之間、及∕或在沉積腔室之間)傳送基板。
返回圖6之實施例,在一些實施例中,系統控制器650控制處理工具600之所有活動。系統控制器650執行系統控制軟體658,系統控制軟體658係儲存於大容量儲存裝置654中、載入至記憶體裝置656中、以及在處理器652上執行。或者,可將控制邏輯硬編碼於系統控制器650中。對於這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體658可包含用以控制以下者之指令:時序、氣體之混合、腔室及∕或站壓力、腔室及∕或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板底座、夾盤及∕或托座位置、及藉由處理工具600而實施之特定處理之其它參數。系統控制軟體658可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理所需之處理工具構件之操作。系統控制軟體658可以任何適當的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體658可包含輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。例如,ALD處理之每一階段可包含由系統控制器650所執行之一或更多指令。在對應的ALD配方階段中可包含用於設定ALD處理階段之處理條件之指令。在一些實施例中,ALD配方階段可依序排列,俾使用於ALD處理階段之所有指令與該處理階段同時執行。
在一些實施例中,可採用儲存於與系統控制器650相聯繫之大容量儲存裝置654及∕或記憶體裝置656上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具構件之程式碼,處理工具構件係用以將基板裝載至底座618上,並且用以控制在基板與處理工具600之其它零件之間之間距。
處理氣體控制程式可包含用以控制氣體組成及流率之編碼,以及可選地,用以在沉積或蝕刻之前使氣體流動至一或更多處理站中以穩定處理站壓力之編碼。在一些實行例中,控制器包含指令以用於:(a) 沉積遮罩收縮層,(b) 擊穿∕移除在凹入特徵部之底部之遮罩收縮層,及 (c) 將特徵部蝕刻至含介電質材料中,包含關於各種處理氣體流動之適當指令。在一些這樣的實施例中,控制器包含指令以用於循環地實施:(a) 將特徵部蝕刻至含介電質材料中,及 (b) 沉積含金屬保護層在部分蝕刻特徵部之側壁上,包含關於各種處理氣體流動之適當指令。
壓力控制程式可包含用以控制處理站內壓力之編碼,其係藉由調節,例如,在處理站之排氣系統中之節流閥、進入處理站之氣體流動、等。在一些實施例中,壓力控制程式可包含,在本文中所述之蝕刻∕沉積方法之各種階段期間內,用以將反應腔室維持在適當壓力位準之指令。
加熱器控制程式可包含用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。在某些實行例中,控制器包含用以使用本文中所述之溫度而蝕刻基板及沉積膜在基板上之指令。
根據本文中之實施例,電漿控制程式可包含用以設定在一或更多處理站中之RF功率位準及暴露時間之編碼。在一些實施例中,控制器包含用以在含金屬保護性側壁覆蓋物之蝕刻及∕或沉積期間內控制電漿特性之指令。指令可關於適當的功率位準、頻率、工作週期、等。
在一些實施例中,可能具有與系統控制器650相聯繫之使用者介面。使用者介面可包含顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風、等。
在某一實施例中,由系統控制器650所調整之參數可能與處理條件有關。非限制性範例包含處理氣體組成及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準及暴露時間)、等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面加以輸入。
藉由系統控制器650之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具600之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包含質量流量控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程之反饋及控制演算法可與來自這些感測器之資料一起用來維持處理條件。
系統控制器650可提供用以實施上述沉積處理之程式指令。程式指令可控制各種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作膜堆疊之原位沉積。
典型地,系統控制器將包含一或更多記憶體裝置、以及一或更多用以執行指令之處理器,俾使設備將實施根據所揭露的實施例之方法。機器可讀的、非暫態的媒體可耦接至系統控制器,該機器可讀的、非暫態的媒體包含用以根據所揭露的實施例而控制處理操作之指令。
上述之各種硬體及方法實施例可結合微影圖案化工具或處理而加以使用,例如,用於半導體裝置、顯示器、LED、太陽光電板等之製造或生產。通常,但不一定,這樣的工具∕處理將在共同製造設施內一起使用或執行。
圖7描繪具有各種模組之半導體處理叢集架構,該等模組與真空傳送模組738(VTM)接合。在多個儲存設備及處理模組之中「傳送」基板之傳送模組之配置可被稱為「叢集工具架構」系統。氣室730(亦稱為負載鎖室或傳送模組)係顯示在具有四個處理模組720a-720d之VTM 738中,處理模組720a-720d可個別地最佳化以實施各種製造處理。舉例而言,可實行處理模組720a-720d以實施基板蝕刻、沉積、離子植入、基板清潔、濺鍍、及∕或其它半導體處理、以及雷射計量和其它缺陷偵測及缺陷識別方法。處理模組之一或更多者(720a-720d之任何一者)可如本文中所揭露而加以實行,亦即,用於將凹入特徵部蝕刻至基板中、沉積保護膜(或其中之子層)在凹入特徵部之側壁上、以及根據所揭露實施例之其它適當功能。氣室730及處理模組720a-720d可被稱為「站」。每個站具有將站與VTM 738接合之面部736。在該面部中,感測器1-18用以當基板726在個別的站之間移動時偵測基板726之通過。
在一範例中,處理模組720a可用於蝕刻,處理模組720b可用於沉積。在另一範例中,處理模組720a可用於蝕刻,處理模組720b可用於沉積第一子層(例如,保護性側壁覆蓋物或遮罩收縮層)、處理模組720c可用於沉積第二子層(例如,保護性側壁覆蓋物或遮罩收縮層)。
機械臂722在站之間傳送基板。在一實行例中,機械臂可具有一手臂,而在另一實行例中,機械臂可具有二手臂,其中每一手臂具有末端執行器724以拾取基板而進行傳輸。在大氣傳送模組(ATM)740中,前端機械臂732可用以將基板由裝載埠模組(LPM)742中之卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)734傳送至氣室730。在處理模組720a-720d內部之模組中心728可為用於放置基板之一位置。在ATM 740中之對準器744可用以對準基板。
在一示例性處理方法中,將基板放置於LPM 742中之FOUP 734其中一者內。前端機械臂732將基板由FOUP 734傳送至對準器744,對準器744容許基板726在被蝕刻、或被沉積於其上、或以其它方式處理之前正確地置中。在經過對準之後,前端機械臂732將基板移動至氣室730中。因為氣室模組具有使ATM與VTM之間之環境相匹配之能力,所以基板能夠在二種壓力環境之間移動而不受損害。機械臂722將基板從氣室730經由VTM 738移動至處理模組720a-720d其中一者中,例如處理模組720a中。為達成此基板移動,機械臂722使用在其手臂每一者上之末端執行器724。在處理模組720a中,基板遭受如本文中所述之蝕刻,以形成部分蝕刻特徵部。接著,機械臂722將基板移出處理模組720a,進入VTM 738,且接著進入不同的處理模組720b。在處理模組720b中,將保護膜沉積在部分蝕刻特徵部之側壁上。接著,機械臂722將基板移出處理模組720b,進入VTM 738,且進入處理模組720a,在處理模組720a中進一步蝕刻該部分蝕刻特徵部。可重複蝕刻∕沉積直到完全蝕刻該特徵部。
應當注意,控制基板移動之電腦可能在叢集架構之內部,或可能位於製造現場中之叢集架構之外部,或位於遠端位置中並經由網路而連接至叢集架構。
膜之微影圖案化通常包含下述操作之一些或全部,每個操作以幾個可能的工具而提供:(1) 在工作件(例如,具有矽氮化物膜形成於其上之基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或其它合適的固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露於可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如濕式清洗台或噴塗式顯影器)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如RF或微波電漿光阻剝除器)移除光阻。在一些實施例中,在塗佈光阻之前,可沉積可灰化硬遮罩層(例如非晶碳層)及另一合適的硬遮罩(例如抗反射層)。
應當了解,本文中所述之配置及∕或方法在本質上是示例性的,且這些具體的實施例或範例不應被視為限制性的,因為可能有許多的變化。本文中所述之特定程序或方法可代表任何數目之處理策略其中一或更多者。因此,所述的各種動作之實施可以所述的順序、以其它順序、以平行方式、或在一些例子中予以省略。同樣地,可改變上述處理之順序。
本揭露內容之標的包含各種處理、系統、及配置之所有新穎及非顯而易見之組合和次組合,及本文中所揭露之其它特徵、功能、行動、及∕或性質,以及其任何及所有均等物。 VIII.實驗
各種實驗結果已顯示,所揭露的方法可用於將高品質特徵部蝕刻至基板中,甚至是非常高深寬比。結果顯示,含金屬膜有效地做為遮罩收縮層並且在蝕刻階段期間內提供特別好的側壁保護,產生之受蝕刻特徵部具有較小且較均勻的關鍵尺寸在整個特徵部中。此外,相較於曾經使用之其它類型的側壁保護膜,使用含金屬保護性側壁覆蓋物所蝕刻之特徵部顯示較佳的垂直蝕刻率。
圖8A呈現關於循環蝕刻方法之實施結果,循環蝕刻方法涉及:(a) 部分蝕刻特徵部,及 (b) 鎢氮化物(WN)保護性側壁覆蓋物之沉積,例如,如關於圖2A所顯示。在此實驗中,使用熱ALD技術以沉積WN側壁覆蓋物。藉由循環地使基板暴露至不同反應物同時維持基板在約300 °C之溫度及約3 Torr之壓力而沉積WN。在來自FLEXTM 產品家族之反應腔室中實施蝕刻操作,並且在來自ALTUS® 產品家族之DirectFillTM Max反應腔室中實施沉積操作,兩者皆由Lam Research Corporation of Fremont, CA所販售。
在圖8A中之結果顯示,WN保護性側壁覆蓋物之沉積可具有合理程度之保形性,即使在非常高的深寬比下。雖然在圖8A中之結果是在沒有沉積遮罩收縮層下所產生,但這些結果建議WN之沉積可具有足夠的保形性以做為遮罩收縮層(當在處理中之適當時間點沉積時,如圖1E及2A所示)。例如,在深寬比約7時,側壁厚度在約7與8 nm之間。直到深寬比達到約30,側壁厚度才降到約7 nm以下。甚至在深寬比高約44時,側壁厚度仍然大於5 nm。換言之,雖然側壁覆蓋物並非完全保形的,但它在高深寬比時是令人意外的保形。曾經使用做為側壁覆蓋物之各種其它材料(例如,矽氧化物、矽硼化物、有機聚合物、等)一般顯示較差的保形性,尤其是在高深寬比時。不希望受限於理論或反應機制,吾人相信,相較於先前使用的材料,含金屬保護性側壁覆蓋物造成較佳的蝕刻結果。此較佳的結果可能來自於各種因素其中一或更多者,包含,但不限於,較大程度的保形性、增加的導電度及在特徵部內之減少的充電效應、保護性側壁覆蓋物對於蝕刻處理之較高抵抗性、及∕或在部分蝕刻特徵部之側壁上之表面化學反應性及催化作用之改變。
圖8B之曲線圖描繪數個不同測試基板之關鍵尺寸對深度之關係。圖8B中之數據係在多晶矽遮罩層之沉積及圖案化之後、且在將任何特徵部蝕刻至位於遮罩層之下之含介電質材料中之前加以收集。在x軸中所提及之深度係以離開遮罩層頂部之距離加以測量。對於在記憶體應用中之遮罩層而言,在約600-700 nm之等級是常見的,如圖8B中所示。一測試基板不具有任何遮罩收縮層於其上。此基板係顯示為圓形數據點。其它三個測試基板具有鎢氮化物遮罩收縮層沉積於其上。遮罩收縮層之沉積係利用熱驅動原子層沉積。遮罩收縮層保形地沉積在已圖案化遮罩層上,因而縮小在已圖案化特徵部內之所有位置之關鍵尺寸。包含鎢氮化物遮罩收縮層之所有三個基板顯示類似的結果。遮罩收縮層係形成為約2-3 nm之厚度。因為膜沉積在已圖案化特徵部內之相對側壁上,這樣的特徵部之關鍵尺寸會減少膜厚之兩倍。整體來說,使用遮罩收縮層會使特徵部之關鍵尺寸減少約4-6 nm。
顯示在圖8B中之相關好處為,遮罩收縮層之沉積會改善在已圖案化特徵部內之垂直輪廓。在沒有沉積任何遮罩收縮層時,在特徵部底部附近之關鍵尺寸比在特徵部頂部附近之關鍵尺寸寬約11 nm,差異約40%(基於特徵部頂部之CD)。相較之下,當沉積遮罩收縮層時,在特徵部底部附近之關鍵尺寸僅比在特徵部頂部附近之關鍵尺寸寬約6 nm,差異約25%。雖然兩個例子皆造成,在某種程度上,非均勻的垂直輪廓,但在沉積遮罩收縮層之例子中,非均勻性並非同樣地重大。這些結果建議,遮罩收縮層之沉積可以補償在已圖案化遮罩層內之非均勻性∕非垂直輪廓。
在相關實施例中,遮罩層所包含之已圖案化特徵部可能在已圖案化特徵部之頂部附近具有相對較大的關鍵尺寸,且在已圖案化特徵部之底部附近具有相對較小的關鍵尺寸。在關鍵尺寸中之這樣的差異可能來自於用於將遮罩層圖案化之微影∕圖案轉移操作。在這樣的實施例中,遮罩收縮層可沉積為,俾使其朝向已圖案化特徵部之底部形成為相對較薄,且在已圖案化特徵部之頂部附近形成為相對較厚。在遮罩收縮層之沉積中之非均勻性可補償存在於已圖案化遮罩層中之非均勻性。
圖8C呈現數據,顯示被蝕刻在含介電質材料中之特徵部之關鍵尺寸對深度之關係,含介電質材料具有已圖案化多晶矽遮罩層於其上。在圖8C中,在單一蝕刻操作中將特徵部蝕刻至含介電質材料中。在蝕刻開始之後,沒有保護性側壁覆蓋物被沉積。在圖8C中顯示兩個測試基板之結果。一測試基板之蝕刻是在沉積鎢氮化物遮罩收縮層在已圖案化遮罩層上之後,而另一基板之蝕刻則沒有沉積遮罩收縮層。在使用遮罩收縮層之例子中,實施擊穿步驟,如上所述,以打穿在已圖案化特徵部底部之遮罩收縮層。相較於不包含遮罩收縮層之基板上之特徵部,包含遮罩收縮層之基板之特徵部具有較窄的關鍵尺寸。在特徵部內,平均上來說,遮罩收縮層之沉積造成關鍵尺寸減少約7 nm。
令人意外地,關鍵尺寸之減少在整個受蝕刻特徵部中並非均勻的。在(沒有任何遮罩收縮層)弓形部最嚴重之區域,關鍵尺寸之減少最大。換言之,遮罩收縮層不僅造成受蝕刻特徵部具有縮小的關鍵尺寸,而且其亦造成受蝕刻特徵部具有更均勻的關鍵尺寸,使得實質上具有較少的弓形。在圖8C中之結果清楚地顯示,相較於沒有遮罩收縮層之沉積,遮罩收縮層可用於蝕刻高深寬比特徵部為較窄關鍵尺寸。較窄的特徵部是有利的,至少因為殘餘的(未被蝕刻的)材料在結構上是較堅固的,並且因為較窄的(較分開的)特徵部呈現較低風險之漏電流及∕或寄生電容耦合在相鄰單元之間。
圖9A及9B說明比較兩個蝕刻方法之實驗結果。在第一蝕刻方法中,在循環處理中蝕刻特徵部,循環處理涉及 (a) 部分蝕刻特徵部,及 (b) 沉積鎢氮化物保護性側壁覆蓋物在特徵部內。在第二蝕刻方法中,在不沉積任何保護性側壁覆蓋物之情況下蝕刻特徵部。在第一方法中,蝕刻及沉積操作是在不同的反應腔室中實施,並且視需要而在反應腔室之間來回移動測試基板。在第二方法中,以與第一方法類似的方式移動∕轉移測試基板,但不在測試基板上進行沉積。雖然並非實施第二方法所必需,但此移動有助於確保該等測試基板係暴露於類似條件,俾使兩個方法之結果之比較僅僅意味著沉積之效果。在兩個蝕刻方法中,在不沉積遮罩收縮層之情況下蝕刻特徵部。
圖9A顯示兩個方法之蝕刻深度對時間之關係。雖然蝕刻率是類似的,但相較於不涉及任何側壁覆蓋物之沉積之方法,涉及WN保護性側壁覆蓋物之沉積之方法顯示稍微較高的蝕刻率。類似的結果已證實,含金屬保護性側壁覆蓋物可用於達成較高的蝕刻率。
圖9B顯示在不同蝕刻時間之一系列測試之最大CD對蝕刻深度之關係。最大CD係以特徵部之最寬部分(弓形部)之關鍵尺寸加以測量。例如,深1000 nm且在其最寬部分寬50 nm之部分蝕刻特徵部具有約50 nm之最大CD。在進一步蝕刻之後,此相同的特徵部可為深1500 nm且在其最寬部分寬約70 nm。在此蝕刻深度(1500 nm),特徵部具有70 nm之最大CD。這些值係提供以便了解圖9B中所顯示的是什麼。最大CD係顯示於不同蝕刻深度。不同的蝕刻深度係隨著特徵部被進一步蝕刻至基板中之時間(及在不同的測試基板上)而達成。
圖9B之結果顯示,當不沉積側壁覆蓋物時,最大CD為約74 nm,而當使用WN側壁覆蓋物時,最大CD僅為約67 nm(在最終蝕刻深度處)。這些結果建議,在蝕刻操作期間,WN側壁覆蓋物提供對於橫向蝕刻之較佳抵抗力。此較佳的蝕刻抵抗力減少了特徵部之一部分被過度地橫向蝕刻而形成弓形部之可能性。此外,結果顯示,在週期性地沉積側壁保護之例子中,任何弓形部之發展將會是較不嚴重的。
圖10說明,對於單一處理測試,根據關於圖9A及9B所述之兩個方法所沉積之特徵部之關鍵尺寸對深度之關係。在第一方法中,在部分蝕刻之後,施加鎢氮化物保護性側壁覆蓋物,並且在鎢氮化物保護性側壁覆蓋物之沉積之後繼續蝕刻。在第二方法中,在不沉積任何保護性側壁覆蓋物之情況下,蝕刻特徵部。在任一方法中,皆不沉積遮罩收縮層。圖10之y軸上之關鍵尺寸對應至在x軸上所示之對應深度之關鍵尺寸。此與圖9B中之各種蝕刻深度所示之最大關鍵尺寸形成對照,其對應至當特徵部被蝕刻至圖9B之x軸所示之深度時,在特徵部各處中所達成之最大關鍵尺寸。圖10之結果顯示,相較於不沉積這樣的側壁保護物之例子,當週期性地沉積鎢氮化物保護性側壁覆蓋物時,特徵部之整個深度具有較均勻的關鍵尺寸。
在不提供側壁保護之情況下,特徵部之關鍵尺寸之範圍從特徵部之最窄部分(特徵部底部)處之約25.2 nm至特徵部之最寬部分(離開特徵部頂部約400 nm)處之約36.2 nm,表示約11 nm之弓形部。當週期性地沉積WN側壁覆蓋物時,特徵部之關鍵尺寸之範圍從特徵部之最窄部分(特徵部底部)處之約29.0 nm至特徵部之最寬部分(離開特徵部頂部約500 nm)處之約36.0 nm,表示約7 nm之弓形部。鎢氮化物保護性側壁覆蓋物之週期性沉積因而使特徵部之弓形程度從約11 nm減少至約7 nm,減少約36%。此外,在蝕刻完成後,鎢氮化物保護性側壁覆蓋物之沉積造成特徵部底部之較大關鍵尺寸。當蝕刻高深寬比特徵部時,相較於特徵部內之平均關鍵尺寸,在特徵部底部之關鍵尺寸經常是非期望的小。鎢氮化物保護性側壁覆蓋物之沉積減少了此效應,造成特徵部底部之相對較大的關鍵尺寸(相較於不使用側壁保護物之例子),整體地造成特徵部具有較均勻的關鍵尺寸。
實驗結果建議,遮罩收縮層之沉積造成受蝕刻特徵部整體具有較窄的關鍵尺寸、以及較低的弓形程度。此外,藉由週期性地沉積保護性側壁覆蓋物在部分蝕刻特徵部之側壁上,可減少弓形程度。若需要的話,這些技術可結合用於特定應用中。
1‧‧‧感測器
2‧‧‧感測器
3‧‧‧感測器
4‧‧‧感測器
5‧‧‧感測器
6‧‧‧感測器
7‧‧‧感測器
8‧‧‧感測器
9‧‧‧感測器
10‧‧‧感測器
11‧‧‧感測器
12‧‧‧感測器
13‧‧‧感測器
14‧‧‧感測器
15‧‧‧感測器
16‧‧‧感測器
17‧‧‧感測器
18‧‧‧感測器
102‧‧‧圓柱體
103‧‧‧含介電質材料
104‧‧‧保護性側壁覆蓋物
105‧‧‧弓形部
106‧‧‧已圖案化遮罩層
110‧‧‧遮罩收縮層
120‧‧‧操作
122‧‧‧操作
124‧‧‧操作
126‧‧‧操作
201‧‧‧操作
205‧‧‧操作
211‧‧‧操作
215‧‧‧操作
219‧‧‧操作
250‧‧‧方法
251‧‧‧操作
253‧‧‧操作
255‧‧‧操作
257‧‧‧操作
259‧‧‧操作
302‧‧‧特徵部
303‧‧‧含介電質材料
304‧‧‧第一側壁覆蓋物
306‧‧‧已圖案化遮罩
310‧‧‧第二側壁覆蓋物
312‧‧‧前驅物層
320‧‧‧遮罩收縮層
400‧‧‧RF電漿反應器
402‧‧‧腔室
404‧‧‧腔室外罩
405‧‧‧真空腔室內部
406‧‧‧下電極
408‧‧‧上電極
410‧‧‧間隙
410a‧‧‧間隙
410b‧‧‧間隙
410c‧‧‧間隙
412‧‧‧開口
414‧‧‧絕緣環
416‧‧‧支撐板
418‧‧‧腔室壁板
420‧‧‧RF功率供應器
422‧‧‧RF供應導管
424‧‧‧RF帶
426‧‧‧RF功率構件
428‧‧‧階梯狀凸緣
430‧‧‧RF偏壓外罩
432‧‧‧RF偏壓外罩碗體
434‧‧‧RF偏壓外罩臂
436‧‧‧接地屏蔽
438‧‧‧導管支撐板
440‧‧‧設施導管
442‧‧‧致動機構
444‧‧‧線性軸承
446‧‧‧螺旋齒輪
448‧‧‧曲徑填封
450‧‧‧波紋管
452‧‧‧夾圈
456‧‧‧固定屏蔽
458‧‧‧可移動屏蔽板
460‧‧‧曲徑溝槽
480‧‧‧真空入口
482‧‧‧晶圓埠
500‧‧‧反應器
502‧‧‧低頻射頻產生器
504‧‧‧高頻射頻產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧來源氣體管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧晶圓底座
520‧‧‧加熱器塊
522‧‧‧出口
524‧‧‧處理腔室
530‧‧‧系統控制器
540‧‧‧真空泵
600‧‧‧多站處理工具
602‧‧‧入站負載鎖室
604‧‧‧出站負載鎖室
606‧‧‧機械臂
608‧‧‧晶圓傳送盒
609‧‧‧晶圓搬運系統
610‧‧‧大氣埠
612‧‧‧底座
614‧‧‧處理腔室
616‧‧‧腔室傳送埠
618‧‧‧底座
650‧‧‧系統控制器
652‧‧‧處理器
654‧‧‧大容量儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
720a‧‧‧處理模組
720b‧‧‧處理模組
720c‧‧‧處理模組
720d ‧‧‧處理模組
722‧‧‧機械臂
724‧‧‧末端執行器
726‧‧‧基板
728‧‧‧模組中心
730‧‧‧氣室
732‧‧‧前端機械臂
734‧‧‧前開式晶圓傳送盒
736‧‧‧面部
738‧‧‧真空傳送模組
740‧‧‧大氣傳送模組
742‧‧‧裝載埠模組
744‧‧‧對準器
圖1A說明由於側壁之過度CD蝕刻所造成之具有非期望弓形部之受蝕刻圓柱體。
圖1B-1D說明在製造期間在不同時間點之部分製造半導體元件,包含在遮罩收縮層之沉積之後(圖1B)、在擊穿遮罩收縮層之後(圖1C)、及在含介電質材料中蝕刻特徵部之後(圖1D)。
圖1E呈現根據某些實施例而將高深寬比特徵部蝕刻在含介電質材料中之方法之流程圖。
圖2A呈現根據各種所揭露實施例而在半導體基板上形成受蝕刻特徵部之方法之流程圖。
圖2B呈現根據某些實施例而沉積膜(例如,遮罩收縮層及∕或保護性側壁覆蓋物)之方法之流程圖。
圖2C呈現根據實施例而在半導體基板上形成受蝕刻特徵部之方法之流程圖,其中保護性側壁覆蓋物係沉積為雙層。
圖3A-3D描繪根據各種實施例之在半導體基板中之受蝕刻圓柱體,圓柱體受到圓形地蝕刻並且以保護性側壁覆蓋物加以塗佈。
圖4A-4C說明根據某些實施例之反應腔室,該反應腔室可用於實施本文中所述之沉積處理。
圖5描繪根據某些實施例之反應腔室,該反應腔室可用於實施本文中所述之沉積處理。
圖6顯示在某些實施例中之多站設備,該多站設備可用於實施沉積處理。
圖7呈現根據某些實施例之叢集工具,該叢集工具可用於實行沉積及蝕刻兩者。
圖8A顯示實驗結果,說明在特徵部之不同深寬比時鎢氮化物保護性側壁覆蓋物之厚度。
圖8B顯示實驗結果,顯示已圖案化在遮罩層中之特徵部之關鍵尺寸對深度之關係,具有或沒有遮罩收縮層之沉積。
圖8C說明實驗結果,顯示已蝕刻在含介電質堆疊中之特徵部之關鍵尺寸對深度之關係,具有或沒有遮罩收縮層之沉積。
圖9A呈現實驗結果,顯示使用不同方法以蝕刻特徵部時,蝕刻深度對時間之關係。
圖9B呈現實驗結果,顯示使用不同方法以蝕刻特徵部時,對於各種蝕刻深度所能達成之最大關鍵尺寸。
圖10說明實驗結果,顯示使用不同方法以蝕刻特徵部時,在特徵部中之關鍵尺寸對深度之關係。
103‧‧‧含介電質材料
106‧‧‧已圖案化遮罩層
110‧‧‧遮罩收縮層

Claims (25)

  1. 一種在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,該方法包含: (a)  接收一基板,該基板包含該含介電質堆疊及位於該含介電質堆疊上之一遮罩層,該遮罩層包含一圖案,該圖案包含在該遮罩層中之複數開口; (b) 沉積一遮罩收縮層在該遮罩層上,其中該遮罩收縮層係藉由一氣相沉積處理而形成並且包含一金屬層,及其中該遮罩收縮層覆蓋在該遮罩層中之該等開口之表面; (c)  產生包含一蝕刻反應物之一蝕刻電漿,使該基板暴露至該蝕刻電漿,及將該特徵部蝕刻在該含介電質堆疊中,其中該特徵部在其最終深度處具有約5或更高之深寬比。
  2. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中該遮罩收縮層更包含一金屬氮化物層、一金屬氧化物層、一金屬碳化物層、一金屬硼化物層、或其組合,其中該金屬層與該金屬氮化物層、金屬氧化物層、金屬碳化物層、或金屬硼化物層一起形成一雙層。
  3. 如申請專利範圍第2項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中該遮罩收縮層包含該金屬氮化物層。
  4. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中在該金屬層中之該金屬係選自於由鎢、鈦、鉭、釕、鋁、鐵、鉿、及其組合所構成之群組。
  5. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中步驟 (c) 包含至少一第一階段及一第二階段,其中該第一階段係在造成蝕刻穿過在該遮罩層中之該等開口之底部之該遮罩收縮層之條件下加以實施,及其中該第二階段係在造成蝕刻穿過該含介電質堆疊之條件下加以實施。
  6. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中步驟 (b) 包含藉由一原子層沉積反應而沉積該遮罩收縮層,該原子層沉積反應包含: (i)             使該基板暴露至一第一沉積反應物,並且容許該第一沉積反應物吸附至在該遮罩層中之該等開口之複數側壁上;及 (ii)           在步驟 (i) 之後,使該基板暴露至一第二沉積反應物,並且使該第一及第二沉積反應物在一表面反應中進行反應,因而在該遮罩層中之該等開口之該等側壁上形成該遮罩收縮層。
  7. 如申請專利範圍第6項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中步驟 (b) 不涉及電漿。
  8. 如申請專利範圍第6項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中步驟 (ii) 更包含:使該基板暴露至一遮罩收縮層沉積電漿,該遮罩收縮層沉積電漿包含該第二沉積反應物,其中使該基板暴露至該遮罩收縮層沉積電漿之步驟驅使在該第一沉積反應物與該第二沉積反應物之間之表面反應,因而在該遮罩層中之該等開口之該等側壁上形成該遮罩收縮層。
  9. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中該遮罩收縮層包含至少一第一子層及一第二子層,該第一及第二子層係在不同條件下進行沉積。
  10. 如申請專利範圍第9項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中該第一及第二子層具有不同的組成。
  11. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中蝕刻至該含介電質堆疊中之該特徵部係圓柱體、溝槽、或線。
  12. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中在將該特徵部蝕刻至其最終深度之後,該特徵部具有約20或更高之深寬比、及約20%或更低之弓形部。
  13. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中在形成一3D NAND元件時形成該特徵部,及其中該含介電質堆疊包含下列材料之交替層:(i) 氧化物材料、及 (ii) 氮化物材料或多晶矽材料。
  14. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中在形成一DRAM元件時形成該特徵部,及其中該含介電質堆疊包含下列層:矽氧化物及一或更多層之矽氮化物。
  15. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中步驟 (b) 包含藉由一化學氣相沉積反應而沉積該遮罩收縮層,該化學氣相沉積反應包含:使該基板同時暴露至一第一沉積反應物及一第二沉積反應物。
  16. 如申請專利範圍第1項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中步驟 (c) 包含: (i)             部分地蝕刻該特徵部, (ii)           在步驟 (i) 之後,沉積一保護膜在該特徵部之複數側壁上,其中該保護膜係實質上沿著該特徵部之整個長度而沉積, (iii)         重複步驟 (i) 及步驟 (ii) 直到將該特徵部蝕刻至一最終深度,其中在步驟 (ii) 中所沉積之該保護膜實質上防止在隨後重複之步驟 (i) 中之該特徵部之橫向蝕刻。
  17. 如申請專利範圍第16項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中該保護膜係藉由一熱驅動原子層沉積反應而沉積,及其中該保護膜包含一含金屬膜。
  18. 如申請專利範圍第3項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,其中該金屬氮化物層包含鎢氮化物。
  19. 一種在半導體基板上之含介電質堆積中形成受蝕刻特徵部之方法,該方法包含: (a)  接收一基板,該基板包含該含介電質堆疊及位於該含介電質堆疊上之一遮罩層,該遮罩層包含一圖案,該圖案包含在該遮罩層中之複數開口; (b) 沉積一遮罩收縮層在該遮罩層上,其中該遮罩收縮層係藉由一氣相沉積處理而形成並且包含一雙層,該雙層包含 (i) 一第一子層、及 (ii) 一第二子層,其中該第一子層係在與該第二子層不同的條件下進行沉積,其中該遮罩收縮層覆蓋在該遮罩層中之該等開口之表面; (c)  產生包含一蝕刻反應物之一蝕刻電漿,使該基板暴露至該蝕刻電漿,及將該特徵部蝕刻在該含介電質堆疊中,其中該特徵部在其最終深度處具有約5或更高之深寬比。
  20. 一種在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,該設備包含: 一或更多反應腔室,其中至少一反應腔室係設計或配置以實施蝕刻,及其中至少一反應腔室係設計或配置以實施沉積,每一反應腔室包含: 一入口,用於將處理氣體引入該反應腔室,及 一出口,用於從該反應腔室移除材料,及 一控制器,具有複數指令以用於: (a)  使一或更多氣相沉積反應物流至設計或配置以實施沉積之該反應腔室中及沉積一遮罩收縮層在一基板上,該基板包含該含介電質堆疊及位於該含介電質堆疊上之一遮罩層,該遮罩層包含一圖案,該圖案包含在該遮罩層中之複數開口,該遮罩層定義該特徵部之待蝕刻處,其中該遮罩收縮層覆蓋在該遮罩層中之該等開口之表面以因而縮小在該遮罩層中之該等開口,及其中該遮罩收縮層包含一金屬層;及 (b) 產生包含一蝕刻反應物之一蝕刻電漿,使該基板暴露至該蝕刻電漿,及將該特徵部蝕刻在該含介電質堆疊中,其中步驟 (b) 係在設計或配置以實施蝕刻之該反應腔室中實施,其中該特徵部在其最終深度處具有約5或更高之深寬比。
  21. 如申請專利範圍第20項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,其中設計或配置以實施蝕刻之該反應腔室與設計或配置以實施沉積之該反應腔室是相同的,俾使步驟 (a) 及步驟 (b) 兩者在該相同反應腔室中發生。
  22. 如申請專利範圍第20項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,其中設計或配置以實施蝕刻之該反應腔室與設計或配置以實施沉積之該反應腔室是不同的,及其中該控制器更包含複數指令以用於:在真空條件下轉移該基板於設計或配置以實施蝕刻之該反應腔室與設計或配置以實施沉積之該反應腔室之間。
  23. 如申請專利範圍第22項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,其中該控制器具有複數指令以用於:藉由使用一熱驅動原子層沉積反應而沉積該遮罩收縮層以實施步驟 (a)。
  24. 如申請專利範圍第20項之在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,其中該控制器具有複數指令以藉由下列步驟而實施步驟 (b): (i)             部分地蝕刻該基板, (ii)           在步驟 (i) 之後,沉積一保護膜在該部分蝕刻特徵部之複數側壁上,其中該保護膜係實質上沿著該部分蝕刻特徵部之整個長度而沉積, (iii)         重複步驟 (i) 及步驟 (ii) 直到將該特徵部蝕刻至一最終深度,其中在步驟 (ii) 中所沉積之該保護膜實質上防止在隨後重複之步驟 (i) 期間之該特徵部之橫向蝕刻。
  25. 一種在半導體基板上之含介電質堆積中形成受蝕刻特徵部之設備,該設備包含: 一或更多反應腔室,其中至少一反應腔室係設計或配置以實施蝕刻,及其中至少一反應腔室係設計或配置以實施沉積,每一反應腔室包含: 一入口,用於將處理氣體引入該反應腔室,及 一出口,用於從該反應腔室移除材料,及 一控制器,具有複數指令以用於: (a)  使一或更多氣相沉積反應物流至設計或配置以實施沉積之該反應腔室中及沉積一遮罩收縮層在一基板上,該基板包含該含介電質堆疊及位於該含介電質堆疊上之一遮罩層,該遮罩層包含一圖案,該圖案包含在該遮罩層中之複數開口,該遮罩層定義該特徵部之待蝕刻處,其中該遮罩收縮層覆蓋在該遮罩層中之該等開口之表面以因而縮小在該遮罩層中之該等開口,及其中該遮罩收縮層包含一第一子層及一第二子層,該第一子層係在與該第二子層不同的條件下進行沉積,及 (b) 產生包含一蝕刻反應物之一蝕刻電漿,使該基板暴露至該蝕刻電漿,及將該特徵部蝕刻在該含介電質堆疊中,其中步驟 (b) 係在設計或配置以實施蝕刻之該反應腔室中實施,其中該特徵部在其最終深度處具有約5或更高之深寬比。
TW105127945A 2015-09-01 2016-08-31 用於高深寬比介電蝕刻之遮罩收縮層 TW201724254A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/842,733 US9543148B1 (en) 2015-09-01 2015-09-01 Mask shrink layer for high aspect ratio dielectric etch

Publications (1)

Publication Number Publication Date
TW201724254A true TW201724254A (zh) 2017-07-01

Family

ID=57706055

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105127945A TW201724254A (zh) 2015-09-01 2016-08-31 用於高深寬比介電蝕刻之遮罩收縮層

Country Status (3)

Country Link
US (2) US9543148B1 (zh)
KR (1) KR20170028259A (zh)
TW (1) TW201724254A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112928070A (zh) * 2021-03-19 2021-06-08 长鑫存储技术有限公司 存储器的制作方法及存储器
TWI759516B (zh) * 2017-08-04 2022-04-01 美商蘭姆研究公司 變壓器耦合的電漿蝕刻室中的整合式原子層鈍化及原位原子層鈍化蝕刻方法
TWI760540B (zh) * 2017-08-13 2022-04-11 美商應用材料股份有限公司 自對準高深寬比結構及製作方法
TWI769351B (zh) * 2017-12-28 2022-07-01 美商美光科技公司 用於清潔形成半導體裝置之工具之組件及系統以及相關方法
US11417565B2 (en) 2017-12-29 2022-08-16 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
WO2018089351A1 (en) 2016-11-08 2018-05-17 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications
US10854430B2 (en) * 2016-11-30 2020-12-01 Tokyo Electron Limited Plasma etching method
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
JP6878174B2 (ja) * 2017-06-29 2021-05-26 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US11315943B2 (en) * 2017-09-05 2022-04-26 Applied Materials, Inc. Bottom-up approach to high aspect ratio hole formation in 3D memory structures
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
CN109904157B (zh) * 2017-12-08 2021-04-16 长鑫存储技术有限公司 特征尺寸微缩方法及应用于半导体存储器的结构
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US10886140B2 (en) * 2018-07-27 2021-01-05 Applied Materials, Inc. 3D NAND etch
US11171011B2 (en) * 2018-08-21 2021-11-09 Lam Research Corporation Method for etching an etch layer
KR20210036992A (ko) * 2018-08-24 2021-04-05 램 리써치 코포레이션 고 종횡비 에칭을 위한 금속 함유 패시베이션
KR102656701B1 (ko) 2018-10-04 2024-04-11 삼성전자주식회사 반도체 소자의 제조 방법
JP2022506456A (ja) * 2018-11-05 2022-01-17 ラム リサーチ コーポレーション エッチング層をエッチングするための方法
JP7346218B2 (ja) * 2018-12-06 2023-09-19 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
CN111293041A (zh) * 2018-12-06 2020-06-16 东京毅力科创株式会社 蚀刻处理方法和基板处理装置
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7202230B2 (ja) 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
KR20220002748A (ko) * 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
CN110171802B (zh) * 2019-07-11 2022-02-22 江苏鲁汶仪器有限公司 一种mems的深硅刻蚀方法
US11688604B2 (en) * 2019-07-26 2023-06-27 Tokyo Electron Limited Method for using ultra thin ruthenium metal hard mask for etching profile control
US11410852B2 (en) * 2019-11-22 2022-08-09 Tokyo Electron Limited Protective layers and methods of formation during plasma etching processes
JP7412257B2 (ja) * 2019-12-20 2024-01-12 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
JP7390199B2 (ja) * 2020-01-29 2023-12-01 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US11495436B2 (en) 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
CN113808929A (zh) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 一种半导体结构的形成方法
CN111900075A (zh) * 2020-06-22 2020-11-06 中国科学院微电子研究所 一种氮化硅膜及其沉积方法、半导体器件
CN116235283A (zh) * 2020-08-18 2023-06-06 应用材料公司 沉积预蚀刻保护层的方法
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
KR20230165819A (ko) * 2021-04-14 2023-12-05 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치
US20230058831A1 (en) * 2021-08-20 2023-02-23 Applied Materials, Inc. Molecular layer deposition liner for 3d nand
CN114204378B (zh) * 2021-12-16 2023-12-12 中国人民解放军陆军工程大学 一种阶梯递减接地模块的制备及其验证方法
US20240079246A1 (en) * 2022-09-01 2024-03-07 Tokyo Electron Limited Methods for forming semiconductor devices using metal hardmasks

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136069A (ja) 1985-12-10 1987-06-19 Hitachi Ltd 半導体装置およびその製造方法
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040077178A1 (en) 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
DE10308888B4 (de) 2003-02-28 2006-12-28 Infineon Technologies Ag Anordnung von Kondensatoren zur Erhöhung der Speicherkapazität in einem Halbleitersubstrat und Verfahren zur Herstellung einer Anordnung
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
KR20060030717A (ko) 2004-10-06 2006-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR100745986B1 (ko) * 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR101167195B1 (ko) 2005-11-01 2012-07-31 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
US7459363B2 (en) 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
WO2008062600A1 (en) 2006-11-22 2008-05-29 Sumitomo Precision Products Co., Ltd. Silicon structure with opening having high aspect ratio, method for manufacturing the silicon structure, apparatus for manufacturing the silicon structure, program for manufacturing the silicon structure, and method for manufacturing etching mask for the silicon structure
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
KR20100106501A (ko) * 2007-12-21 2010-10-01 램 리써치 코포레이션 고 식각율 레지스트 마스크를 이용한 식각
CN102318037B (zh) 2007-12-21 2014-03-05 朗姆研究公司 利用arc层打开的cd偏置负载控制
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
KR100875180B1 (ko) 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP5981106B2 (ja) 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
JP2013229351A (ja) 2012-04-24 2013-11-07 Hitachi High-Technologies Corp ドライエッチング方法
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
KR20170014036A (ko) 2015-07-28 2017-02-08 삼성전자주식회사 반도체 장치
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI759516B (zh) * 2017-08-04 2022-04-01 美商蘭姆研究公司 變壓器耦合的電漿蝕刻室中的整合式原子層鈍化及原位原子層鈍化蝕刻方法
TWI760540B (zh) * 2017-08-13 2022-04-11 美商應用材料股份有限公司 自對準高深寬比結構及製作方法
TWI769351B (zh) * 2017-12-28 2022-07-01 美商美光科技公司 用於清潔形成半導體裝置之工具之組件及系統以及相關方法
US11417565B2 (en) 2017-12-29 2022-08-16 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
TWI775197B (zh) * 2017-12-29 2022-08-21 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置
US11854869B2 (en) 2017-12-29 2023-12-26 Micron Technology, Inc. Methods of forming high aspect ratio features
CN112928070A (zh) * 2021-03-19 2021-06-08 长鑫存储技术有限公司 存储器的制作方法及存储器
CN112928070B (zh) * 2021-03-19 2023-06-06 长鑫存储技术有限公司 存储器的制作方法及存储器

Also Published As

Publication number Publication date
KR20170028259A (ko) 2017-03-13
US9543148B1 (en) 2017-01-10
US20170076945A1 (en) 2017-03-16
US10431458B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
KR102644442B1 (ko) 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
TW201724254A (zh) 用於高深寬比介電蝕刻之遮罩收縮層
US10784086B2 (en) Cobalt etch back
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11062897B2 (en) Metal doped carbon based hard mask removal in semiconductor fabrication
TW201635334A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201630067A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
TW201842225A (zh) 用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術
WO2019143608A1 (en) Selective processing with etch residue-based inhibitors
TW201835377A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201806028A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법