KR100745986B1 - 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법 - Google Patents

다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법 Download PDF

Info

Publication number
KR100745986B1
KR100745986B1 KR1020040103088A KR20040103088A KR100745986B1 KR 100745986 B1 KR100745986 B1 KR 100745986B1 KR 1020040103088 A KR1020040103088 A KR 1020040103088A KR 20040103088 A KR20040103088 A KR 20040103088A KR 100745986 B1 KR100745986 B1 KR 100745986B1
Authority
KR
South Korea
Prior art keywords
forming
filler
mask
trench
interlayer insulating
Prior art date
Application number
KR1020040103088A
Other languages
English (en)
Other versions
KR20060064289A (ko
Inventor
이경우
신홍재
김재학
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040103088A priority Critical patent/KR100745986B1/ko
Priority to US11/223,310 priority patent/US20060121721A1/en
Priority to TW94143335A priority patent/TWI278064B/zh
Priority to CNB2005101294234A priority patent/CN100501969C/zh
Publication of KR20060064289A publication Critical patent/KR20060064289A/ko
Application granted granted Critical
Publication of KR100745986B1 publication Critical patent/KR100745986B1/ko
Priority to US12/275,561 priority patent/US20090075474A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

다공 생성 물질을 포함하는 충전재를 사용하여 층간 절연막의 손상을 최소화할 수 있는 미세 전자 소자의 듀얼 다마신 배선제조 방법이 제공된다. 듀얼 다마신 제조 방법은 비아를 다공 생성 물질(porogen)을 포함하는 충전재로 채운후, 비아를 매립한 충전재와 층간 절연막을 일부 식각하여 비아와 연결되고 배선이 형성될 트렌치를 형성한다. 이어서, 비아에 잔류하는 충전재의 다공 생성 물질을 제거하여 충전재내에 다공을 생성한 후, 다공이 생성된 충전재를 제거하고, 트렌치 및 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성한다.
듀얼 다마신, 층간절연막 손상, 다공 생성 물질

Description

다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의 제조 방법{Fabrication method of dual damascene interconnections of microelectronics device using filler having porogen}
도 1 내지 도 10은 본 발명의 제1 실시예에 따른 듀얼 다마신 배선 제조 방법 공정 단계별 단면도들이다.
도 11 내지 도 20은 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법 공정 단계별 단면도들이다.
(도면의 주요 부분에 대한 부호의 설명)
100: 기판 110: 하부 배선
120: 식각정지막 130: 층간절연막
140: 캡핑막 144, 184, 284: 반사방지막
145a, 185a, 285a: 포토레지스트 패턴
150: 비아 162, 262: 충전재
190, 290: 트렌치 195, 295: 듀얼 다마신 영역
282a: 하드마스크 230, 330: 듀얼 다마신 배선
본 발명은 미세 전자 소자의 제조 방법에 관한 것으로, 보다 구체적으로는 미세 전자 소자의 듀얼 다마신 배선의 제조 방법에 관한 것이다.
미세 전자 소자의 고성능화 및 고집적화를 위해서 다층 배선 구조가 널리 적용되고 있다. 다층 배선 구조를 채택한 소자를 신뢰도 있게 구현하기 위해서는 각 배선층을 전체적으로 평탄하게 형성해야 한다. 이를 위해 듀얼 다마신 배선이 적용되기 시작했다.
최근 주목받고 있는 듀얼 다마신 배선 방법에 따르면, 비아를 충전재(filler)로 채운 후 트렌치를 정의하는 포토레지스트 패턴을 형성하는 방식을 채택하고 있다. 이는 비아로 인해 트렌치 형성을 위해 도포한 포토레지스트막에 단차가 발생하여 초점 심도(DOF) 마진이 감소하는 것을 방지하고, 비아를 정의하는 포토레지스트 패턴을 제거하기 위한 애슁(ashing) 공정시 층간절연막에 잔류하게 된 질소 또는 아민 등의 염기성 물질이 아웃개싱되어 트렌치 형성을 위한 포토레지스트 패턴이 제대로 형성되지 않는 포토레지스트 손상(photoresist poisoning)을 방지하고, 트렌치 식각 및 세정 공정시 비아 식각 정지막이 식각되어 하부 배선이 노출되어 전기적 특성이 불량해지는 것등을 방지하기 위한 것이다.
그런데, 충전재를 사용하는 듀얼 다마신 제조 방법의 경우, 충전재 제거를 위한 습식 식각 공정 또는 애슁 공정에 층간절연막이 장시간 노출됨으로써 층간절연막의 손상이 수반된다. 특히, 미세 전자 소자의 고성능화를 위해서 디자인 룰이 0.18㎛ 이하 나아가 90nm까지 감소함에 따라 나타나는 RC 신호지연(RC delay)과 상 호 간섭(cross talk) 및 전력 소비(power consumption)의 증가를 해결하기 위해서 사용되는 저유전율 층간절연막(low-k intermetal dielectric layer)은 화학적, 기계적으로 매우 취약하기 때문에 손상으로 인한 문제가 심각하다. 예컨대, 습식 식각액이나 애슁 공정시 사용한 플라즈마가 층간절연막 내에 잔류하여 층간절연막의 측벽으로 이루어진 비아와 트렌치에 형성되는 장벽금속막의 산화 등을 유발시키고 이로 인해 장벽금속막 상에 형성되는 구리 배선의 접착력이 약해진다. 그 결과 듀얼 다마신 배선의 신뢰성이 열화된다. 따라서, 듀얼 다마신 배선을 신뢰성 있게 제조할 수 있는 방법의 개발이 요구된다.
본 발명이 이루고자 하는 기술적 과제는 층간절연막의 손상을 최소화하면서 듀얼 다마신 배선을 신뢰성 있게 제조할 수 있는 듀얼 다마신 배선 제조 방법을 제공하고자 하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 실시예는 기판 상에 층간 절연막을 형성하는 단계와 상기 층간 절연막내에 비아를 형성하는 단계와 상기 비아를 다공 생성 물질을 포함하는 충전재로 채우는 단계와 상기 비아를 매립한 상기 충전재와 상기 층간 절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌 치를 형성하는 단계와 상기 비아에 잔류하는 상기 충전재의 상기 다공 생성 물질을 제거하여 상기 충전재내에 다공을 생성하는 단계와 상기 다공이 생성된 충전재를 제거하는 단계 및 상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예는 기판 상에 층간절연막을 형성하는 단계와 상기 층간절연막내에 비아를 형성하는 단계와 다공 생성 물질로 이루어지고 상기 비아를 채우며 상기 층간절연막을 덮는 마스크용 충전재를 형성하는 단계와 상기 마스크용 충전재 상부에 하드마스크막을 형성하는 단계와 상기 하드마스크막상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계와 상기 포토레지스트 패턴을 식각마스크로 하여 상기 하드마스크막을 식각하여 하드마스크로 패터닝하는 단계와 상기 포토레지스트 패턴과 상기 하드마스크를 식각마스크로 사용하여 상기 층간 절연막상의 상기 충전재를 트렌치 형성용 충전재 마스크로 패터닝하여 상기 하드마스크와 상기 트렌치 형성용 충전재 마스크로 이루어진 멀티 스택 마스크를 형성하는 단계와 상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계와 상기 트렌치 형성용 충전재 마스크 및 상기 비아 내에 잔류하는 상기 충전재의 상기 다공 생성 물질을 제거하는 단계와 상기 다공이 생성된 트렌치 형성용 충전재 마스크 및 상기 충전재를 제거하는 단계 및 상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다. 따라서, 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 발명의 실시예들에 따른 듀얼 다마신 배선 제조 방법이 적용되는 소자는 고집적회로 반도체 소자, 프로세서, MEM's(Micro Electro Mechanical) 소자, 광전자 (optoelectronic) 소자, 디스플레이 소자(display device) 등의 미세 전자 소자이다. 특히, 본 발명의 듀얼 다마신 배선 제조 방법은 고속 특성이 요구되는 CPU(CentralProcessor Unit), DSP(Digital Signal Processor), CPU 와 DSP의 조합, ASIC(Application Specific Integrated Circuit), 로직 소자, SRAM 등에 더욱 유용할 것이다.
이하 본 발명의 실시예들에서는 하부 배선을 노출시키는 개구부를 비아라 칭하고 배선이 형성될 영역을 트렌치라 칭한다. 또, 미스얼라인이 발생하더라도 비아의 크기가 그대로 유지될 수 있는 방법인 비아 선행(via first) 듀얼 다마신 배선 제조 방법을 예로 들어 듀얼 다마신 배선 제조 방법을 설명할 것이다.
이하 도 1 내지 도 10을 참고하여 본 발명의 제1 실시예에 따른 듀얼 다마신 배선 제조 방법에 대해서 설명한다.
먼저, 도 1에 도시되어 있는 바와 같이 듀얼 다마신 배선 제조 방법이 적용될 기판(100)을 준비한다. 듀얼 다마신 배선 제조 방법이 적용될 기판(100) 위에는 하부 배선(110)을 구비하는 하부 층간절연막(105)이 형성되어 있다. 기판(100)으로는 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등을 예로 들 수 있다. 기판(100) 상에는 다양한 종류의 능동 소자 및 수동 소자 등을 포함할 수 있다. 하부 배선(110)은 다양한 종류의 배선 물질, 예컨대, 구리, 구리 합금, 알루미늄, 알루미늄 합금 등으로 이루어질 수 있다. 저저항 관점에서 하부 배선(110)은 구리로 형성되는 것이 바람직하다. 하부 배선(110)의 표면 또한 평탄화되어 있는 것이 바람직하다.
도 2를 참조하면, 하부 배선(110)이 형성되어 있는 기판(100) 전면에 확산 방지 및 식각 정지막(120), 층간 절연막(130), 캡핑막(140), 반사방지막(144)을 차례대로 형성한 후, 반사방지막(144) 위에 포토레지스트 패턴(145)을 형성한다.
확산 방지 및 식각 정지막(120)은 하부 배선(110)을 구성하는 물질, 예컨대, 구리의 확산을 방지하고, 후속의 비아 형성을 위한 건식 식각 공정시 그리고 트렌치 형성 후 잔류하는 충전재 제거 공정시 하부 배선(110)이 식각 공정에 노출되어 전기적 특성이 손상되는 것을 방지하기 위하여 형성한다. 따라서, 확산 방지 및 식각 정지막(120)은 그 위에 형성되는 층간절연막(130)에 대한 식각 선택비가 큰 물질로 형성할 수 있다. 확산 방지 및 식각 정지막(120)은 유전율이 4-5 인 SiC, SiN, SiCN 등으로 형성한다. 식각 정지막(120)의 두께는 전체 층간 절연막의 유전율에 미치는 영향을 고려하여 가능한 최소화하되 식각 정지막(120)으로서의 기능을 수행하기에 충분한 두께로 형성한다.
층간 절연막(130)은 열적 안정성도 우수하고 유전율도 낮은 저유전율 물질로 형성한다. 하부 배선(110)과 형성하고자 하는 듀얼 다마신 배선 간의 RC 신호 지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하기 위해서 층간 절연막(130)은 저유전율 물질로 형성하는 것이 바람직하다. 층간 절연막(130)은 내부에 비아 및 트렌치를 형성하기에 충분한 두께를 가지며, 저유전률(Low-k)을 갖는 유기폴리머, 또는 무기물로 형성할 수 있다.
저유전률을 갖는 유기폴리머로는 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌(polypentafluorostylene), 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌(polynaphthalene fluride), 폴리사이드(polycide) 수지 등이 사용될 수 있다.
무기물로는 USG(Undoped Silicate Glass), TEOS(TetraEthylOrthoSilicate), FSG(Fluorine-doped Silicate Glass), OSG(OrganoSilicateGlass) (SiOC(SiOC:H)), HSQ(HydrogenSilsesQuioxane), MSQ(Methyl SilsesQuioxane) 등이 사용될 수 있다.
층간 절연막(130)의 종류는 층간 절연막(130) 내에 형성될 듀얼 다마신 배선의 피치와 이에 따른 RC 특성에 따라 결정될 수 있다.
피치가 큰 경우에는 USG, TEOS 등이 사용되고, 피치가 작은 경우에는 보다 저유전율인 FSG, OSG 등이 사용될 수 있다.
이러한 층간 절연막(130)은 PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD), APCVD(Atmospheric Pressure CVD), 스핀코팅(spin coating) 방식 등을 사용하여 형성할 수 있다.
고속 동작을 요하며 배선 피치가 작은 듀얼 다마신 배선의 경우에는 유전율이 3.3 이하이고 유기물의 저유전율 특성과 기존의 장비 및 공정을 그대로 사용할 수 있으며 열적 안정성이 뛰어난 무기물의 특성을 모두 가진 하이브리드형 저유전율 물질인 OSG가 층간절연막 물질로 효과적이다. OSG막의 경우에는 탄소의 함량이 많으면 많을수록 유전율은 감소하나 열적 기계적 특성이 저하된다. 그런데, CVD로 OSG막을 형성하면 OSG 막내의 탄소의 함량을 조절함으로써 OSG 막의 유전율과 열적 기계적 특성이 모두 만족스럽도록 조절할 수 있다. 따라서, CVD법에 의해 형성된 OSG 막이 층간 절연막(130)으로 적합하다. CVD 법에 의해 OSG 막을 형성할 때 사용하는 소오스 가스(탄소, 실리콘, 산소 소오스 가스 등), CVD 챔버, 공정 조건(온도, 시간) 등은 당업자에게 공지된 기술을 사용하여 다양하게 변형하여 실시할 수 있음은 물론이다.
층간 절연막(130)은 3000 내지 20000Å 두께로, 바람직하기로는 6000 내지 7000Å 두께로 형성한다. 그러나, 층간 절연막(130)의 두께는 당업자에 의해 다양하게 변형가능함은 물론이다.
캡핑막(140)은 듀얼 다마신 배선의 평탄화를 위한 CMP시, CMP가 안정적으로 층간 절연막(130) 손상없이 진행될 수 있도록 하기 위하여 형성한다. 따라서 SiO2, SiOF, SiON, SiC, SiN, SiCN 등으로 형성한다. 더하여 캡핑막(140)은 후속 트렌치 형성을 위한 사진식각 공정에서 반사방지기능도 할 수 있는 물질로 형성하는 것이 후속의 반사방지막(144) 형성을 생략할 수 있으므로 공정 단순화측면에서 효과적이다. 따라서, 캡핑막(140)은 상기 열거한 물질들 중에서도 SiO2, SiON, SiC, SiCN 등이 효과적으로 사용될 수 있다. 그러나, CMP 공정의 조절만으로도 층간 절연막(130)의 손상을 방지할 수 있고, 후속 공정에서 반사방지기능을 가진 물질층이 형성된다면 캡핑막(140)의 형성은 선택적으로 생략할 수도 있다.
반사방지막(144)은 무기 반사방지막 또는 유기 반사방지막 모두 사용가능하나 유기 반사방지막인 것이 제거의 용이성 관점에서 유리하다. 반사방지막(144)은 당업자에게 공지된 248nm, 193nm 또는 그 이하 파장의 노광원을 흡수할 수 있는 반사방지물질 또는 본 출원과 공동 양도된 미국 출원 제10/400,029호에 개시되어 있는 물질이 사용될 수 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다. 반사방지막(144)은 500 내지 700 Å 두께로 형성한다. 캡핑막(140)이 반사 방지 기능을 가지는 물질로 형성될 경우에는 반사방지막(144)의 형성을 생략할 수 있다.
포토레지스트 패턴(145a)은 248nm 이하의 광원에 적합한 포토레지스트를 도포한 후, 비아를 정의하는 포토마스크를 사용한 노광 및 현상을 거쳐 형성한다.
도 3를 참조하면, 포토레지스트 패턴(145a)을 식각마스크로 사용하여 반사방 지막(144), 캡핑막(140)과 층간 절연막(130)을 건식 식각(147)하여 비아(150)를 형성한다. 층간 절연막(130)의 식각은 CxFy 또는 CxHyFz 등의 주 식각 가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 반응성 이온 식각(RIE)으로 진행한다. 이 때, 층간 절연막(130)만 선택적으로 식각하고 식각 정지막(120)은 식각하지 않도록 RIE 조건들을 조절하여 진행한다.
도 4를 참조하면, 포토레지스트 패턴(145a)과 반사방지막(144)을 제거한 후, 비아(150)를 충전재(162)로 충전한다. 포토레지스트 패턴(145a)과 반사방지막(144)은 O2 애슁 공정 또는 수소계(H2 based) 플라즈마 처리 후 스트리퍼를 사용하는 공정으로 제거한다. 수소계 플라즈마는 H2, N2/H2, NH3/H2 , He/H2 또는 이들의 혼합 가스로부터 얻어진 플라즈마를 칭한다. 층간절연막(130)이 탄소를 포함하는 OSG인 경우에는 O2 애슁(ashing)에 의해 포토레지스트 패턴(145a)을 제거하면, 탄소를 포함하여 유기물의 성질을 가지고 있는 층간 절연막(130)이 O2 플라즈마에 의해 손상될수 있으므로 수소계 플라즈마를 사용한다.
비아 충전재(162)는 비아를 용이하게 채울수 있으면서 트렌치 패터닝 후 용이하게 제거 가능한 물질로 형성한다. 따라서, 비아 충전재(162)는 갭 충전 능력이 우수한 매트릭스(matrix)에 다공 생성 물질(porogen)이 혼합된 조성물로 형성될 수 있다.
매트릭스(matrix)로는 갭 충전(gap fill) 능력이 우수한 유기물 또는 무기물이 사용될 수 있다. 또, 매트릭스는 트렌치 패터닝을 위한 건식 식각 공정시 층간 절연막(130)과 건식 식각율이 실질적으로 동일하거나 비아 충전재(162): 층간 절연막(130)의 건식 식각비가 4:1 이하가 되도록 할 수 있는 물질이 사용될 수 있다. 또, 매트릭스는 트렌치 패터닝 후에 잔류하는 충전재(162) 제거시 층간 절연막(130)에 비해 매우 빠른 습식 식각 속도를 가지거나 플라즈마로 선택적으로 제거될 수 있는 물질이 사용될 수 있다. 예컨대 비아 충전재(162): 층간 절연막(130)의 습식 식각비가 20:1 이상이 되도록 할 수 있는 물질이 사용될 수 있다.
따라서, 매트릭스로 사용될 수 있는 유기물은 SOP(Spin On Polymer)일 수 있다. 구체적으로, 폴리 알릴렌 에테르계 물질(poly arylene ether based material), 폴리메타메틸아크릴레이트계(polymetamethylacrylate based material), 비닐에테르메타아크릴레이트(vinylether metacrylate based material) 등을 예로 들 수 있다.
매트릭스로 사용될 수 있는 무기물은 HSQ계 물질(HSQ based material), MSQ계 물질(MSQ based material)일 수 있다.
본 명세서에서 "계" 라고하는 것은 순수 그 물질과 순수 그 물질에 반사 방지 물질, 용해 억제제, 염기성 물질 확산 방지 물질이 첨가되거나 이들이 치환된 경우를 통칭하는 것이다.
반사 방지 물질은 사진 공정시 사용되는 노광원을 흡수할 수 있는 성질을 가진 물질로 당업자에게 공지된 다양한 물질이 사용될 수 있다.
용해 억제제는 포토레지스트막의 현상액에 대한 용해를 억제할 수 있는 물질 로 당업자에게 공지된 물질이 사용될 수 있다.
염기성 물질 확산 방지 물질은 비아를 형성하기 위한 사진 식각 공정시 층간 절연막(130) 내에 잔류하게 된 질소 또는 아민 등의 염기성 물질이 비아 충전재를 통해 확산되어 트렌치를 패터닝하기 위하여 형성한 포토레지스트막으로 전달되어 노광된 포토레지스트막내에 발생한 산(H+)과 반응하여 포토레지스트 패턴이 제대로 형성되지 못하도록 하는 포토레지스트 손상을 방지할 수 있는 물질이 사용될 수 있다. 염기성 물질 확산 방지 물질의 일 예가 본 출원과 공동 양도된 대한민국특허출원 제 2004-0061163호에 개시되어 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다.
다공 생성 물질(porogen)은 매트릭스와 혼재되어 존재하다 열 또는 플라즈마에 의해 선택적으로 분해되어 비아 충전재(162) 내에 다공(pore)을 생성할 수 있는 물질을 지칭한다. 따라서, 다공 생성 물질로는 150 내지 350℃ 사이의 끓는 점(boiling point)을 가지며 분자량이 수백 AMU(Atomic Mass Unit)를 가지는 물질이 사용될 수 있다. 테트라데칸(C14H30) 또는 아크릴계 폴리머성 나노 입자등을 예로 들 수 있다.
다공 생성 물질은 비아 충전재의 총 중량에 대하여 1 내지 70 wt%로 포함될 수 있다. 1 wt% 이하로 포함될 경우 다공 생성량이 충분하지 않을 수 있으며 다공 생성 물질이 70 wt% 이상으로 포함될 경우 다공 생성 공정이 용이하지 않을 수 있다. 그러나 다공 생성 물질의 함량은 매트릭스의 성질에 따라 후속 공정에서 상기 범위 이외의 함량을 포함할 수도 있다.
상기 매트릭스와 다공 생성 물질의 조성물은 이소부틸 이소부티레이트, 메시틸렌, 사이클로헥사논, 자일렌, 메틸 이소부틸케톤, PGMEA, 이들의 혼합물등 적절한 용매에 용해된 후 스핀 코팅법에 의해 비아(150)를 모두 채우도록 형성될 수 있다. 비아 충전재(162)는 비아(150)만을 채우도록 형성할 수도 있고 캡핑막(140) 위에 소정 두께로 형성되도록 형성할 수도 있으나 후자의 경우가 공정 조절 마진 측면에서 보다 바람직하다. 바람직하기로는 비아(150) 밀도가 낮은 영역에 형성되는 비아 충전재(162)의 높이와 비아(150) 밀도가 높은 영역에 형성되는 비아 충전재(162)의 높이의 차이가 2000Å 이하가 되도록 하는 것이 촛점 심도 마진 확보 측면에서 바람직하다. 상술한 비아 충전재를 구성하는 물질은 코팅 조건(recipe), 비아(150)들 사이의 간격, 비아(150) 임계 치수 및 높이 등의 변수를 고려하여 그 두께를 쉽게 조절할 수 있으며 상기 제한 조건을 용이하게 맞출 수 있다.
도 5를 참조하면, 비아 충전재(162) 상에 반사방지막(184)과 포토레지스트막(185)을 차례대로 형성한다. 반사방지막(184)은 앞에서 설명한 바와 마찬가지의 물질을 사용하여 형성한다. 선택적으로 반사 방지막(184) 형성 전에 비아 충전재(162)의 표면을 플라즈마 처리할 수 있다. 플라즈마 처리는 O2, H2, He, NH3 , N2, Ar, 또는 이들의 혼합 가스의 플라즈마를 사용하여 상온 내지 500℃의 온도에서 1초 내지 120초간 진행한다. 비아 충전재(162) 내에 질소가 잔류하지 않도록 하기 위해서 O2, H2, He, Ar 또는 이들의 혼합 가스의 플라즈마를 사용하는 것이 더욱 바 람직할 수 있다. 플라즈마 처리에 의해 비아 충전재(162)의 표면이 치밀화(densify)된다. 플라즈마 처리 목적 중의 하나는 비아 충전재(162)가 포토레지스트 현상액에 용해되는 것을 방지하기 위한 것이다. 따라서, 비아 충전재(162)가 용해 억제제를 포함할 경우에는 플라즈마 처리를 생략할 수도 있다.
트렌치를 정의하는 마스크(200)를 사용하여 포토레지스트막(185)을 노광한다. 마스크(200)의 투광영역(201)을 통과한 248nm, 193nm 또는 그 이하 파장의 노광원이 포토레지스트막(185)에 조사되면 포토레지스트막(185)의 노광부(185b)에 포함되어 있는 광산발생제로부터 산(H+)이 발생한다. 이 때, 노광부(185b) 하부의 반사방지막(184)이 포토레지스트막(185b)을 투과한 빛이 다시 포토레지스트막(185)으로 반사되는 것을 방지한다. 따라서, 비아 충전재(162)가 반사 방지 물질을 포함하여 반사 방지 기능을 수행할 수 있는 경우에는 반사방지막(184)의 형성을 생략할 수 있다.
노광부(185b) 내에 발생한 산(H+)에 의해 노광부(185b)를 구성하는 포토레지스트막이 현상액에 용해가능한 물질로 가수분해된다. 노광후 베이크에 의해 산가수분해가 더 활발해진다. 비아 충전재(162)에 질소, 아민등의 염기성 물질 확산 방지 물질이 첨가 또는 치환되어 있는 경우, 비아(150) 식각시 사용한 질소계 식각 가스 또는 비아(150)를 정의한 포토레지스트 패턴(도 3의 145a)을 제거하기 위한 질소를 포함하는 플라즈마 처리에 의해 층간 절연막에 잔류하게 된 질소 또는 아민등의 염기성 물질(N:)이 비아 충전재(162)를 따라 확산되어 노광부(185b)에 발생한 산을 중화시켜 포토레지스트 결함을 발생시키는 기작이 방지될 수 있다.
도 6을 참조하면, 포토레지스트 패턴(185a)을 형성한다. 노광후 베이크된 포토레지스트막(185)을 테트라메틸 암모늄 하이드록사이드 현상액에 담그면 노광부(185b)만 현상액에 용해되어 제거되므로 도 6에 도시되어 있는 바와 같은 포토레지스트 패턴(185a)이 형성된다. 이 때, 노광부(185b) 하부에 반사방지막(184)이 있으므로 비아 충전재(162)가 현상액에 노출되지 않는다. 비아 충전재(162)가 플라즈마 처리된 경우에는 반사방지막(184)이 형성되어 있지 않더라도 현상액에 의해 비아 충전재(162)가 손상되지 않는다. 또, 비아 충전재(162)가 용해 억제제를 포함하는 경우에는 반사방지막(184)을 형성하지 않더라도 현상액에 의해 비아 충전재(160)가 손상되지 않는다.
도 7은 트렌치(190)를 형성하는 단계를 나타낸다. 포토레지스트 패턴(185a)을 식각마스크로 사용하여 반사방지막(184), 비아 충전재(162), 캡핑막(140)을 차례대로 식각한 후, 층간절연막(130) 및 비아 충전재(162)를 소정 깊이로 식각하여 트렌치(190)를 형성한다. 트렌치(190) 식각은 건식 식각(227)으로 진행하되 층간절연막(130) 및 비아 충전재(162)의 식각율이 실질적으로 동일하거나 비아 충전재(162): 층간 절연막(130)의 식각비가 4:1 이하가 될 수 있는 조건으로 진행한다. 따라서, 비아 충전재(162)와 층간 절연막(130)의 식각율 차이로 인하여 비아 충전재(162) 측벽에 층간절연막(130)이 식각되지 않고 잔류하는 펜스와 같은 결함이 발생하지 않고, 비아 충전재(162)가 여전히 비아(150) 내에 일부 잔류하므로 식각 정지막(120)이 식각에 노출되어 하부 배선(110)이 손상되는 것을 방지할 수 있다. 층간절연막(130)이 OSG로 비아 충전재(162)의 매트릭스를 HSQ계 물질 또는 MSQ계 물 질로 형성한 경우 두 물질 모두 무기물의 성격을 지니고 있으므로, CxFy 또는 CxHyFz 등의 주 식각가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 RIE(227)로 진행하면 상기 조건을 충족시킬 수 있다.
도 8은 다공(porosity)이 생성된 비아 충전재(162a)를 나타내는 단면도이다. 트렌치(190) 식각이 완료된 후, 포토레지스트 패턴(185a)을 H2, N2/H2, NH3/H2, He/H2 또는 이들의 혼합 가스로부터 얻어진 수소계 플라즈마를 사용하는 애슁(ashing) 공정으로 제거한다.
이어서, 비아 충전재(162)를 구성하는 다공 생성 물질(porogen)을 제거하여 다공이 다량 형성된 비아 충전재(162a)로 전환하여 제거가 용이해지도록 한다.
다공 생성 물질의 제거는 다공 생성 물질의 끓는점보다 높은 온도에서 어닐링하거나 플라즈마 처리에 의한 분해 공정으로 진행할 수 있다. N2 또는 N2/ H2 분위기하에서 200 내지 500 ℃의 온도로 5 내지 120분간 어닐링을 실시하여 다공 생성 물질을 열분해함으로써 다량의 다공이 생성된 비아 충전재(162a)를 얻을 수 있다. 또는 O2 계 플라즈마 또는 H2 계 플라즈마를 처리하여 다공 생성 물질을 분해함으로써 비아 충전재(162) 내에 다량의 다공을 형성할 수 있다. 플래너형(planar type)의 플라즈마 장치를 사용하여 플라즈마 처리를 할 수 있다.
도 9는 다공이 생성된 비아 충전재(162a)를 제거하고 비아(150)에 노출되어 있는 식각정지막(120)을 식각하여 하부배선(110)을 노출시킨 결과물을 나타내는 단면도이다.
비아 충전재(162a)에 다공이 생성되어 있기 때문에 제거가 훨씬 용이해진다. 예를 들면, 비아 충전재(162a)의 매트릭스가 유기 SOP인 경우 다공이 30% 포함되면 애싱율이 30% 정도 증가한다. 따라서, 비아 충전재(162a) 제거시 층간절연막(130)에 가해지는 손상을 종래에 비해 상대적으로 최소화할 수 있다.
다공이 형성된 비아 충전재(162a) 제거는 비아 충전재(162a)의 매트릭스가 유기물이나 무기물이냐에 따라서 달라질 수 있다.
층간 절연막(130)이 무기물이고 다공이 생성된 비아 충전재(162a)의 매트릭스가 유기물인 경우에는 유기물을 선택적으로 제거할 수 있는 O2 플라즈마 애슁 공정 또는 수소계(H2 based) 플라즈마 애슁 공정으로 다공이 생성된 비아 충전재(162a)를 선택적으로 제거할 수 있다.
층간 절연막(130)이 무기물이고 다공이 생성된 비아 충전재(162a)의 매트릭스가 유기물인 경우에는 습식 식각 공정을 적용할 수도 있다. 예를 들면, 무기물인 층간 절연막(130)은 거의 식각되지 않고 매트릭스가 유기물인 비아 충전재(162a)만 선택적으로 식각할 수 있는 조건으로, 적어도 비아 충전재(162a): 층간절연막(130)의 습식 식각비가 20:1 이상이 될 수 있는 조건으로 습식 식각 공정을 진행하여 제거할 수도 있다.
층간 절연막(130)이 유기물 또는 유기물 특성을 가지고 비아 충전재(162a)의 매트릭스가 무기물인 경우에는 무기물 제거에 선택비가 큰 식각액을 사용하는 습식 식각 공정을 진행하여 제거할 수 있다. 예를 들면, 다공이 생성된 비아 충전재(162a)의 매트릭스가 HSQ계 물질로, 층간 절연막(130)이 OSG로, 식각 정지막(120)이 SiC(N)으로 형성된 경우, 100:1 이상으로 탈이온수에 희석된 HF 용액, NH4F, HF와 탈이온수의 혼합액인 BOE(Buffered Oxide Etchant) 또는 디메틸아세틸아미드를 포함하는 유기 용액에 담그는 방식으로 습식 식각을 진행하면 HSQ계 물질:OSG:SiC(N) 식각비를 100:1:1 보다 높게 할 수 있다.
비아 충전재(162a) 제거 후, 비아(150)에 노출되어 있는 식각정지막(120)을 식각하여 하부 배선(110)을 노출시켜 비아(150)와 트렌치(190)로 구성된 듀얼 다마신 영역(195)을 완성한다. 확산 방지 및 식각정지막(120) 식각은 하부 배선(110)에 영향을 미치지 않고 식각정지막(120)만을 선택적으로 제거할 수 있는 식각 조건으로 실시한다.
도 10을 참고하면, 듀얼 다마신 영역(195)에 도전막을 형성한 후, 평탄화하여 듀얼 다마신 배선(230)을 완성한다. 도전막은 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군에서 선택된 적어도 어느 하나로 구성될 수 있다. 그리고, 도전막을 형성하는 방법은 스퍼터링(Sputtering)법으로 형성한 후 리플로우(reflow)하는 방법, CVD(Chemical Vapor Deposition)법으로 형성하는 방법, 전기도금법(Electroplating) 중에서 선택된 어느 하나의 방법으로 형성할 수 있다. 전기도금 법을 이용하는 경우에는 전해 시에 전류를 흘리기 위하여 시드층(seed layer)을 형성할 필요가 있다.
도전막의 형성 전에 장벽금속막(미도시)을 형성할 수 있다. 특히 도전막으로 구리(Cu)를 사용하고자 할 경우에는 장벽금속막(미도시)은 구리의 확산에 의하여 층간 절연막(130)의 절연특성 열화 등을 방지하기 위하여 필수적으로 사용될 수 있다. 장벽금속막(미도시)의 재료로는 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나를 사용할 수 있으며, 형성 방법으로는 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition) 중에서 선택된 어느 하나의 방법을 사용할 수 있다.
본 발명의 일 실시예에 따른 듀얼 다마신 제조 방법에 따르면, 비아 충전재 내의 다공 생성 물질을 분해하여 다공을 형성한 후 비아 충전재를 제거하기 때문에 층간 절연막의 손상이 최소화된다. 따라서, 층간 절연막(130)의 측벽으로 이루어진 듀얼 다마신 영역(195)에 형성되는 배선의 신뢰성이 증대한다.
상기 도 1 내지 도 10을 참조하여 설명한 비아 선행 듀얼 다마신 배선 제조 방법을 트렌치 선행 듀얼 다마신 배선 제조 방법에도 적용할 수 있음은 물론이다.
도 11 내지 도 20을 참조하여, 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법에 대해서 설명한다. 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법은 멀티 스택 마스크를 사용하여 트렌치를 형성하는 방법에 관한 것이다.
먼저, 도 11를 참조하면, 비아(150)를 형성하는 단계까지는 제1 실시예에서 설명한 바와 동일한 방법으로 진행한다. 이어서, 비아(150)를 마스크용 비아 충전 재(262)로 채운다. 마스크용 비아 충전재(262)는 제1 실시예에서 설명한 바와 같이 트렌치 식각 및 세정 공정시 확산 방지 및 식각 정지막(120)이 식각되어 하부 배선(110)이 노출되어 전기적 특성이 불량해지는 것을 방지하기 위한 기능과 함께 트렌치 식각시의 식각 마스크로서의 기능을 동시에 수행하기 위해 형성한다. 0.18㎛ 나아가 90㎚ 이하의 디자인 룰로 미세 선폭의 듀얼 다마신 배선을 형성하기 위해서는 심자외선(Deep UV), 즉 248nm 이하의 노광광에 대해 투명한 포토레지스트막을 형성하여야 한다. 그러나, 심자외선용 포토레지스트막은 건식 식각 내성이 약하여 트렌치 형성을 위한 건식 식각시 포토레지스트 패턴이 제거되어 식각 공정 불량을 유발하는 경향이 있다. 따라서, 단일 포토레지스트 패턴 대신 멀티 스택형 마스크를 형성하기 위하여 마스크용 비아 충전재(262)를 형성한다.
그러므로, 마스크용 비아 충전재(262)는 비아(150)를 용이하게 채울 수 있으면서, 트렌치 정의를 위한 포토레지스트막의 노광시 노광되지 않고, 트렌치 식각을 위한 건식 식각에 대한 내성을 가지고, 트렌치 패터닝 후 용이하게 제거 가능한 물질로 형성한다. 따라서, 비아 충전재(262)는 갭 충전 능력이 우수하면서 사진 식각 공정시 사용되는 248nm, 193nm 또는 그 이하 파장을 가지는 노광광에 대해 불투명하고 건식 식각 내성이 큰 매트릭스에 다공 생성 물질(porogen)이 혼합된 조성물로 형성될 수 있다.
매트릭스로는 제1 실시예에서 설명한 바와 같은 유기물과 무기물이 모두 사용가능하다. 그런데, 멀티 스택 마스크 공정의 최적화 관점에서는 스핀-온 폴리머(SOP)를 매트릭스로 사용하는 것이 보다 적합하다. 스핀-온 폴리머의 예로는 i-라 인용 포토레지스트인 노볼락계 물질(novolak based material), 폴리 알릴렌 에테르계 물질(poly arylene ether based material), 폴리메타메틸아크릴레이트계(polymetamethylacrylate based material), 비닐에테르메타아크릴레이트(vinylether metacrylate based material) 등을 예로 들 수 있다.
제1 실시예에서 설명했던 바와 마찬가지로 "계" 라고하는 것은 순수 그 물질과 순수 그 물질에 반사 방지 물질, 용해 억제제, 염기성 물질 확산 방지 물질이 첨가되거나 이들이 치환된 경우를 통칭하는 것이다. 반사 방지 물질, 용해 억제제, 염기성 물질 확산 방지 물질 또한 제1 실시예에서 설명했던 물질들이 사용될 수 있다.
제1 실시예와 마찬가지로 다공 생성 물질은 매트릭스와 혼재되어 존재하다 열 또는 플라즈마에 의해 분해되어 비아 충전재(262) 내에 다공(pore)을 생성할 수 있는 물질을 지칭한다. 따라서, 다공 생성 물질로는 150 내지 350℃ 사이의 끓는 점(boiling point)을 가지며 분자량이 수백 AMU(Atomic Mass Unit)를 가지는 물질이 사용될 수 있다. 테트라데칸(C14H30) 또는 아크릴계 폴리머성 나노 입자등을 예로 들 수 있다.
다공 생성 물질은 비아 충전재(262)의 총 중량에 대하여 1 내지 70 wt%로 포함될 수 있다. 1 wt% 이하로 포함될 경우 다공 생성량이 충분하지 않을 수 있으며 다공 생성 물질이 70 wt% 이상으로 포함될 경우 다공 생성 공정이 용이하지 않을 수 있다. 그러나 다공 생성 물질의 함량은 매트릭스의 성질에 따라 후속 공정에서 상기 범위 이외의 함량을 포함할 수도 있다.
상기 매트릭스와 다공 생성 물질의 조성물은 이소부틸 이소부티레이트, 메시틸렌, 사이클로헥사논, 자일렌, 메틸 이소부틸케톤, PGMEA, 이들의 혼합물등 적절한 용매에 용해된 후 스핀 코팅법에 의해 비아(150)를 모두 채우도록 형성될 수 있다. 마스크용 비아 충전재(262)는 층간절연막(130)과 캡핑막(140) 상에 소정 높이로 형성될 수 있다. 예컨대, 1000~10000 Å 두께로 형성할 수 있다.
도 12를 참조하면, 마스크용 비아 충전재(262) 상에 하드 마스크막(282)을 형성한다. 하드 마스크막(282)은 후속의 트렌치 식각공정에서 포토레지스트 패턴의 건식 식각 내성이 작아서 트렌치 형성을 위한 건식 식각 공정 동안 마스크로서의 기능을 충분히 수행하지 못하는 문제점을 해결하기 위하여 형성한다. 일반적으로 248nm 이하의 노광원에 적합한 포토레지스트 패턴은 식각 내성이 작다는 문제점이 있다. 따라서, 트렌치(290)가 형성될 층간절연막(130)에 대하여 식각 선택비가 큰 물질로 하드마스크막(282)을 형성하고 이를 하드 마스크로 패터닝하여 후속의 트렌치 식각 공정에서 마스크로서 기능하도록 한다. 하드 마스크막(282)은 SiN, SiC, BCB(BenzoCycloButene), Ta, TaN, Ti, TiN, Al2O3, BN, HSQ 또는 이들의 조합으로 이루어진 물질 등으로 형성하는 것이 바람직하다. 또, 마스크용 비아 충전재(262)와 마찬가지로, 하드 마스크막(282)은 반사방지물질, 용해 억제 물질, 염기성 물질 확산 방지 물질이 첨가 또는 치환된 물질로 형성될 수 있다. 예컨대 염기성 물질 확산 방지 물질이 첨가 또는 치환된 HSQ계 물질로 형성될 수도 있다. 염기성 물질 확산 장벽 특성을 가진 물질로 하드 마스크막(282)을 형성하는 자세한 방법에 대해 서는 본 출원과 공동 양도된 대한민국특허출원 제 2004-0061163 호에 개시되어 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다.
이후, 도면에는 도시하지 않았으나, 선택적으로 하드 마스크막(282)의 표면을 플라즈마 처리하는 단계를 더 실시할 수 있다. 플라즈마 처리는 O2, H2, He, NH3, N2, Ar, 또는 이들의 혼합 가스의 플라즈마를 사용하여 상온 내지 500℃의 온도에서 1초 내지 120초간 진행한다. 하드 마스크막(282) 내에 질소가 잔류하지 않도록 하기 위해서 O2, H2, He, Ar 또는 이들의 혼합 가스의 플라즈마를 사용하는 것이 더욱 바람직할 수 있다. 플라즈마 처리에 의해 하드 마스크막(282)의 표면이 치밀화된다. 플라즈마 처리 목적 중의 하나는 하드 마스크막(282)이 포토레지스트 현상액에 용해되는 것을 방지하기 위한 것이다. 따라서, 하드 마스크막(282)이 용해 억제제를 포함할 경우에는 플라즈마 처리를 생략할 수도 있다.
도 13을 참조하면, 트렌치 형성을 위한 사진 공정을 실시한다. 먼저, 하드마스크막(282) 상에 반사방지막(284)을 형성한다. 반사방지막(284)은 제1 실시예에서 설명한 바와 같은 물질을 사용하여 형성한다. 하드 마스크막(282)이 반사 방지 기능을 가지는 물질로 형성될 경우에는 반사방지막(284)의 형성을 생략할 수 있다.
계속해서, 반사방지막(284) 상부에 248nm 이하 파장을 가지는 노광광(302)에 대해 투명한 포토레지스트막(285)을 형성한 후, 트렌치를 정의하는 마스크(300)를 사용하여 포토레지스트막(285)을 노광한다. 마스크(300)의 투광영역(301)을 통과한 노광광(302)이 포토레지스트막(285)에 조사되면 포토레지스트막(285)의 노광부 (285b)에 포함되어 있는 광산발생제로부터 산(H+)이 발생한다. 이 때, 노광부(285b) 하부의 반사 방지막(284)이 포토레지스트막(185b)을 투과한 빛이 다시 포토레지스트막(285)으로 반사되는 것을 방지한다. 따라서, 하드 마스크막(282)이 반사 방지 기능을 가지는 경우에는 반사 방지막(284)의 생성을 생략할 수도 있다. 노광부(285b) 내에 발생한 산(H+)에 의해 노광부(285b)를 구성하는 포토레지스트막이 현상액에 용해가능한 물질로 가수분해된다. 노광후 베이크에 의해 산가수분해가 더 활발해진다.
하드 마스크막(282) 및/또는 마스크용 비아 충전재(262)가 염기성 물질 확산 방지 기능을 가지는 경우에는 비아(150) 식각시 사용한 질소계 식각 가스 또는 비아(150)를 정의한 포토레지스트 패턴을 제거하기 위한 질소를 포함하는 플라즈마 처리에 의해 층간 절연막에 잔류하게 된 질소, 아민등의 염기성 물질(N:)이 비아 충전재(262)를 따라 확산(점선)되어 노광부(285b)에 도달하는 것을 효과적으로 차단한다. 그러므로, 아웃개싱된 염기성 물질에 의해 노광부(285b)에 발생한 산이 중화되어 포토레지스트 결함이 발생되는 기작이 방지된다.
도 14를 참조하면, 포토레지스트 패턴(285a)을 형성한다. 노광후 베이크된 포토레지스트막(285)을 테트라메틸 암모늄 하이드록사이드 현상액에 담그면 노광부(285b)만 현상액에 용해되어 제거되므로 도 14에 도시되어 있는 바와 같은 포토레지스트 패턴(285a)이 형성된다. 이 때, 반사방지막(284)이 있으므로 하드 마스크막(282)이 현상액에 노출되지 않는다. 하드 마스크막(282)이 플라즈마 처리된 경우에는 반사방지막(284)이 형성되어 있지 않더라도 현상액에 의해 손상되지 않는다. 또 , 하드 마스크막(282)이 용해 억제제를 포함하는 경우에도 반사방지막(284)을 형성하지 않더라도 현상액에 의해 하드 마스크막(282)이 손상되지 않는다.
도 15를 참조하면, 포토레지스트 패턴(285a)을 식각마스크로 사용하여 건식 식각(307)을 진행하여 포토레지스트 패턴(285a) 이미지가 전사된 반사방지막 패턴(284a)과 하드 마스크(282a)를 형성한다. 건식 식각(307)은 CxFy 또는 CxHyFz 등의 주 식각가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 RIE로 진행하면 상기 조건을 충족시킬 수 있다.
도 16을 참조하면, 마스크용 비아 충전재(262)를 건식 식각(317)하여 트렌치 형성용 충전재 마스크(262a)로 패터닝한다. 그 결과, 하드 마스크(282a)와 트렌치 형성용 충전재 마스크(262a)로 이루어진 멀티 스택 트렌치 형성용 마스크를 형성한다. 건식 식각(317)시 상부의 포토레지스트 패턴(285a)과 반사방지막 패턴(284a)도 함께 제거되는 것이, 후속 트렌치 형성시의 어스펙트 비를 낮추는데 유리하다. 이 때, 비아(150) 내의 마스크용 비아 충전재(262)도 식각되어 일부(262b)가 잔류한다. 후속의 트렌치 식각 공정을 고려하건대, 잔류하는 비아 충전재(262b)의 상부면은 트렌치의 바닥면보다 낮게 잔류하도록 조절할 수 있다. 건식 식각(317)은 캡핑막(140)과 층간절연막(130)은 식각하지 않고 선택적으로 마스크용 비아 충전재(262)를 식각할 수 있는 조건으로 실시한다. 예컨대, H2, N2/H2, NH3 /H2, He/H2 또는 이들의 혼합 가스를 주 가스로 사용하고 CxHyFz 가스를 보조 가스로 사용하고, O2 가스등을 첨가하는 건식 식각으로 실시할 수 있다.
도 17을 참조하면, 멀티 스택 마스크를 식각 마스크로 사용하여 캡핑막(140) 및 층간 절연막(130)을 건식식각(327)하여 트렌치(290)를 형성한다. 트렌치 형성용 충전재 마스크(262a)는 건식 식각 내성이 큰 유기물 등으로 형성되므로 트렌치 형성용 충전재 마스크(262a)는 건식식각 동안 손상되지 않고 마스크로서의 기능을 충실히 수행하여 프로파일이 양호한 트렌치(290)가 형성될 수 있도록 한다. 건식식각(327)시 하드 마스크(282a)는 제거되도록 하는 것이 후속단계에서 트렌치 형성용 마스크(262a) 제거 공정을 용이하게 한다. 건식 식각(327)시 이전 단계에서 잔류하던 마스크용 비아 충전재(262b)도 식각되어 감소된 일부(262c)가 잔류하게 된다. 이때, 식각 정지막(120)이 노출되지 않고 소량의 마스크용 비아 충전재(262c)가 트렌치(290) 하부의 비아(150) 내에 잔류할 수 있는 조건으로 건식식각(327)을 실시한다. 예컨대, CxFy 가스를 주 식각 가스로 사용하고, N2 또는 Ar가스를 첨가 가스로 사용하는 건식 식각으로 진행하면 상기 조건을 충족시킬 수 있다.
도 18은 다공(porosity)이 생성된 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')을 나타내는 단면도이다. 트렌치(290) 식각이 완료된 후, 트렌치 형성용 충전재 마스크(262a)와 비아 충전재(262c)를 구성하는 다공 생성 물질(porogen)을 분해하여 다공이 다량 형성된 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')로 전환하여 제거가 용이해지도록 한다.
제1 실시예에서 설명한 바와 같이, 다공 생성 물질의 분해는 다공 생성 물질의 끓는점보다 높은 온도에서 어닐링하거나 플라즈마 처리 공정으로 진행할 수 있 다. N2 또는 N2/ H2 분위기하에서 200 내지 500℃의 온도로 5 내지 120분간 어닐링을 실시하여 다공 생성 물질을 열분해함으로써 다량의 다공이 생성된 비아 충전재(162a)를 얻을 수 있다. 또는 O2 계 플라즈마 또는 H2 계 플라즈마를 처리하여 다공 생성 물질을 분해함으로써 비아 충전재(162) 내에 다량의 다공을 형성할 수 있다. 플래너형(planar type)의 플라즈마 장치를 사용하여 플라즈마 처리를 할 수 있다.
도 19는 다공이 생성된 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')를 제거하고 비아(150)에 노출되어 있는 식각정지막(120)을 식각하여 하부배선(110)을 노출시킨 결과물을 나타내는 단면도이다.
다공이 생성되어 있기 때문에 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')의 제거가 훨씬 용이해진다. 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')의 매트릭스로 SOP를 사용한 경우 다공이 30% 포함되면 애싱율이 30% 정도 증가한다. 따라서, 충전재 마스크(262a')와 비아 충전재(262c') 제거시 층간절연막(130)에 가해지는 손상을 종래에 비해 상대적으로 최소화할 수 있다.
다공이 형성된 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')의 매트릭스가 SOP로 구성된 경우에는 제1 실시예에서 설명한 바와 같이 O2 플라즈마 애슁 공정 또는 수소계(H2 based) 플라즈마 애슁 공정을 적용할 수 있다. 애싱 공정 후에는 유기 스트리퍼등을 사용하는 습식 식각 공정으로 애싱 공정에 의해 잔류하는 폴리머등을 완전히 제거한다. 또, 무기물인 층간 절연막(130)은 거의 식각되지 않고 매트릭스가 SOP인 다공이 생성된 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c')만 선택적으로 제거할 수 있는 습식 식각 공정을 적용할 수도 있다. 습식 식각은 적어도 다공이 생성된 트렌치 형성용 충전재 마스크(262a')와 비아 충전재(262c'): 층간절연막(130)의 습식 식각비가 20:1 이상이 될 수 있는 조건으로 진행할 수 있다. 습식 식각 공정은 불소계(fluorine based) 식각액을 사용하여 진행할 수 있다.
계속해서, 비아(150)에 노출되어 있는 식각정지막(120)을 식각하여 하부 배선(110)을 노출시켜 비아(150)와 트렌치(190)로 구성된 듀얼 다마신 영역(195)을 완성한다. 식각정지막(120) 식각은 하부 배선(110)에 영향을 미치지 않고 식각정지막(120)만을 선택적으로 제거할 수 있는 식각 조건으로 실시한다.
도 20을 참고하면, 듀얼 다마신 영역(295)에 도전막을 형성한 후, 평탄화하여 듀얼 다마신 배선(330)을 완성한다. 도전막은 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군에서 선택된 적어도 어느 하나로 구성될 수 있다. 그리고, 도전막을 형성하는 방법은 스퍼터링(Sputtering)법으로 형성한 후 리플로우(reflow)하는 방법, CVD(Chemical Vapor Deposition)법으로 형성하는 방법, 전기도금법(Electroplating) 중에서 선택된 어느 하나의 방법으로 형성할 수 있다. 전기도금법을 이용하는 경우에는 전해 시에 전류를 흘리기 위하여 시드층(seed layer)을 형성할 필요가 있다. 도전막의 형성 전에 장벽금속막(미도시)을 형성할 수 있다. 특히 도전막으로 구리(Cu)를 사용하고자 할 경우에는 장벽금속막(미도시)은 구리의 확산에 의하여 층간 절연막(130)의 절연특성 열화 등을 방지하기 위하여 필수적으 로 사용될 수 있다. 장벽금속막(미도시)의 재료로는 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나를 사용할 수 있으며, 형성 방법으로는 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition) 중에서 선택된 어느 하나의 방법을 사용할 수 있다.
본 발명의 실시예들에 따른 듀얼 다마신 제조 방법에 따르면, 비아 충전재를 다공 생성 물질을 포함하는 물질로 형성한다. 따라서, 트렌치 형성 후 비아 충전재 제거 전에 충전재 내의 다공 생성 물질을 분해시켜 충전재를 다공성 충전재로 전환한 후 제거한다. 따라서, 종래에 비해 충전재 제거가 매우 용이하게 이루어지므로 충전재 제거시 층간 절연막에 가해지는 손상을 최소화할 수 있다.
도면 및 실시예에는, 본 발명의 전형적인 바람직한 실시예가 개시되었으며, 비록 특정한 용어를 사용하였지만, 이것들은 단지 일반적이고 묘사적인 의미로 사용된 것이지 후술되는 청구항에 의하여 정해지는 본 발명의 사상을 제한하기 위하여 사용된 것은 아니다.

Claims (52)

  1. 기판 상에 층간 절연막을 형성하는 단계;
    상기 층간 절연막내에 비아를 형성하는 단계;
    상기 비아를 다공 생성 물질을 포함하는 충전재로 채우는 단계;
    상기 비아를 매립한 상기 충전재와 상기 층간 절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    상기 비아에 잔류하는 상기 충전재의 상기 다공 생성 물질을 제거하여 상기 충전재내에 다공을 생성하는 단계;
    상기 다공이 생성된 충전재를 제거하는 단계; 및
    상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  2. 제1 항에 있어서, 상기 다공 생성 물질은 열 또는 플라즈마에 의해 분해가능한 물질인 듀얼 다마신 배선의 제조 방법.
  3. 제1 항에 있어서, 상기 다공 생성 물질은 150 내지 350 ℃ 사이의 끓는 점을 가지는 듀얼 다마신 배선의 제조 방법.
  4. 제1 항에 있어서, 상기 다공 생성 물질은 테트라데칸(C14H30) 또는 아크릴계 폴리머성 나노 입자인 듀얼 다마신 배선의 제조 방법.
  5. 제1 항에 있어서, 상기 다공 생성 물질은 상기 충전재의 총 중량에 대해서 1 내지 70 wt%로 포함된 듀얼 다마신 배선의 제조 방법.
  6. 제1 항에 있어서, 상기 충전재는 상기 다공 생성 물질이 유기물 매트릭스또는 무기물 매트릭스와 혼합된 조성물인 듀얼 다마신 배선의 제조 방법.
  7. 제6 항에 있어서, 상기 유기물 매트릭스는 폴리 알릴렌 에테르계 물질, 폴리메타메틸아크릴레이트계 물질 또는 비닐에테르메타아크릴레이트계 물질이고. 상기 무기물 매트릭스는 HSQ계 물질 또는 MSQ계 물질인 듀얼 다마신 배선의 제조 방법.
  8. 제1 항에 있어서, 상기 충전재내에 다공을 형성하는 단계는 상기 다공 생성 물질을 열 분해하거나 플라즈마 분해하는 단계인 듀얼 다마신 배선의 제조 방법.
  9. 제8 항에 있어서, 상기 열분해는 상기 다공 생성 물질의 끓는점보다 높은 온도에서의 어닐링에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  10. 제8 항에 있어서, 상기 열분해는 200 내지 500℃의 온도에서 수행되는 듀얼 다마신 배선의 제조 방법.
  11. 제8 항에 있어서, 상기 플라즈마 분해는 O2 계 플라즈마 또는 H2 계 플라즈마를 처리함으로써 수행되는 듀얼 다마신 배선의 제조 방법.
  12. 제1 항에 있어서, 상기 충전재는 상기 다공 생성 물질과 유기물 매트릭스의 조성물이고,
    상기 충전재를 제거하는 단계는 O2 플라즈마 애슁, 수소계 플라즈마 애슁, 또는 습식 식각 공정에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  13. 제1 항에 있어서, 상기 충전재는 상기 다공 생성 물질과 무기물 매트릭스의 조성물이고,
    상기 충전재를 제거하는 단계는 습식 식각 공정에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  14. 제1 항에 있어서, 상기 층간 절연막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 또는 폴리사이드 수지, USG, TEOS, FSG, OSG, HSQ, 또는 MSQ를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  15. 제14 항에 있어서, 상기 층간 절연막은 USG, TEOS, FSG 또는 OSG 를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  16. 제1 항에 있어서, 상기 층간 절연막을 형성하는 단계 전에
    상기 기판 상에 하부 배선을 형성하는 단계; 및
    상기 하부 배선 위에 확산 방지 및 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는
    상기 확산 방지 및 식각 정지막을 노출시키는 상기 비아를 형성하는 단계이고,
    상기 충전재를 제거하는 단계 후에
    상기 비아에 의해 노출된 상기 확산 방지 및 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  17. 제16 항에 있어서, 상기 식각 정지막은 SiC, SiN 또는 SiCN으로 형성하는 듀얼 다마신 배선의 제조 방법.
  18. 제1 항에 있어서, 상기 비아를 형성하는 단계 전에
    상기 층간 절연막 위에 캡핑막을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는 상기 캡핑막 및 상기 층간 절연막 내에 상기 비아를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  19. 제18 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN 또는 SiCN 으로 형성하는 듀얼 다마신 배선의 제조 방법.
  20. 제1 항에 있어서, 상기 트렌치를 형성하는 단계 전에
    상기 충전재 표면을 플라즈마 처리하는 단계, 상기 충전재 상에 반사방지막을 형성하는 단계 및 상기 충전재 표면을 플라즈마 처리한 후 그 위에 반사방지막을 형성하는 단계를 포함하는 그룹에서 선택된 단계를 더 실시하는 듀얼 다마신 배선의 제조 방법.
  21. 제1 항에 있어서, 상기 트렌치를 형성하는 단계는
    상기 충전재 위에 상기 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 충전재: 상기 층간 절연막의 식각비가 4:1 이하가 되도록 건식 식각하여 상기 트렌치를 형성하는 단계; 및
    상기 포토레지스트 패턴을 제거하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  22. 제21 항에 있어서, 상기 건식 식각은 CxFy 또는 CxHyFz 가스를 주 식각 가스로 사용하고,
    상기 포토레지스트 패턴을 제거하는 단계는 수소계 플라즈마를 사용하여 제거하는 단계인 듀얼 다마신 배선의 제조 방법.
  23. 제1 항에 있어서, 상기 듀얼 다마신 배선을 완성하는 단계에서 상기 듀얼다마신 배선은 구리 배선인 듀얼 다마신 배선의 제조 방법.
  24. 기판 상에 층간절연막을 형성하는 단계;
    상기 층간절연막내에 비아를 형성하는 단계;
    다공 생성 물질로 이루어지고 상기 비아를 채우며 상기 층간절연막을 덮는 마스크용 충전재를 형성하는 단계;
    상기 마스크용 충전재 상부에 하드마스크막을 형성하는 단계;
    상기 하드마스크막상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 하드마스크막을 식각하여 하드마스크로 패터닝하는 단계;
    상기 포토레지스트 패턴과 상기 하드마스크를 식각마스크로 사용하여 상기 층간 절연막상의 상기 충전재를 트렌치 형성용 충전재 마스크로 패터닝하여 상기 하드마스크와 상기 트렌치 형성용 충전재 마스크로 이루어진 멀티 스택 마스크를 형성하는 단계;
    상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    상기 트렌치 형성용 충전재 마스크 및 상기 비아 내에 잔류하는 상기 충전재의 상기 다공 생성 물질을 제거하여 상기 트렌치 형성용 충전재 마스크 및 상기 비아 내에 다공을 형성하는 단계;
    상기 다공이 생성된 트렌치 형성용 충전재 마스크 및 충전재를 제거하는 단계; 및
    상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선 제조 방법.
  25. 제24 항에 있어서, 상기 다공 생성 물질은 열 또는 플라즈마에 의해 분해가능한 물질인 듀얼 다마신 배선의 제조 방법.
  26. 제24 항에 있어서, 상기 다공 생성 물질은 150 내지 350℃ 사이의 끓는 점을 가지는 듀얼 다마신 배선의 제조 방법.
  27. 제24 항에 있어서, 상기 다공 생성 물질은 테트라데칸(C14H30) 또는 아크릴계 폴리머성 나노 입자인 듀얼 다마신 배선의 제조 방법.
  28. 제24 항에 있어서, 상기 다공 생성 물질은 상기 충전재의 총 중량에 대해서 1 내지 70 wt%로 포함된 듀얼 다마신 배선의 제조 방법.
  29. 제24 항에 있어서, 상기 충전재는 상기 다공 생성 물질이 유기물 매트릭스 또는 무기물 매트릭스와 혼합된 조성물인 듀얼 다마신 배선의 제조 방법.
  30. 제29 항에 있어서, 상기 유기물 매트릭스는 스핀-온 폴리머인 듀얼 다마신 배선의 제조 방법.
  31. 제24 항에 있어서, 상기 다공 생성 물질을 제거하는 단계는 열 분해 또는 플라즈마 분해에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  32. 제31 항에 있어서, 상기 열분해는 상기 다공 생성 물질의 끓는점보다 높은 온도에서의 어닐링에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  33. 제32 항에 있어서, 상기 열분해는 200 내지 500℃ 의 온도에서 수행되는 듀 얼 다마신 배선의 제조 방법.
  34. 제31 항에 있어서, 상기 플라즈마 분해는 O2 계 플라즈마 또는 H2 계 플라즈마를 처리함으로써 수행되는 듀얼 다마신 배선의 제조 방법.
  35. 제24 항에 있어서, 상기 충전재는 상기 다공 생성 물질과 유기물 매트릭스의 조성물이고,
    상기 트렌치 형성용 충전재 및 상기 충전재를 제거하는 단계는 O2 플라즈마 애슁, 수소계 플라즈마 애슁, 또는 습식 식각 공정에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  36. 제24 항에 있어서, 상기 층간 절연막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 또는 폴리사이드 수지, USG, TEOS, FSG, OSG, HSQ, 또는 MSQ를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  37. 제36 항에 있어서, 상기 층간 절연막은 USG, TEOS, FSG 또는 OSG 를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  38. 제24 항에 있어서, 상기 층간 절연막을 형성하는 단계 전에
    상기 기판 상에 하부 배선을 형성하는 단계; 및
    상기 하부 배선 위에 확산 방지 및 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는
    상기 확산 방지 및 식각 정지막을 노출시키는 상기 비아를 형성하는 단계이고,
    상기 다공이 생성된 트렌치 형성용 충전재 마스크 및 상기 충전재를 제거하는 단계 후에
    상기 비아에 의해 노출된 상기 확산 방지 및 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  39. 제38 항에 있어서, 상기 식각 정지막은 SiC, SiN 또는 SiCN으로 형성하는 듀얼 다마신 배선의 제조 방법.
  40. 제24 항에 있어서, 상기 하드 마스크막은 SiN, SiC, BCB, Ta, TaN, Ti, TiN, Al2O3, BN, HSQ 또는 이들의 조합으로 이루어진 물질으로 형성하는 듀얼 다마신 배선의 제조 방법.
  41. 제40 항에 있어서, 상기 하드 마스크막은 상기 물질과 함께 반사방지물질, 용해억제물질 및/또는 염기성 물질 확산 장벽 특성을 가진 물질을 더 포함하는 듀얼 다마신 배선의 제조 방법.
  42. 제24 항에 있어서, 상기 비아를 형성하는 단계 전에
    상기 층간 절연막 위에 캡핑막을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는 상기 캡핑막 및 상기 절연막 내에 상기 비아를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  43. 제42 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN 또는 SiCN 으로 형성하는 듀얼 다마신 배선의 제조 방법.
  44. 제24 항에 있어서, 상기 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계 전에,
    상기 하드마스크막 표면을 플라즈마 처리하는 단계, 상기 하드마스크막 상에 반사방지막을 형성하는 단계 및 상기 하드마스크막 표면을 플라즈마 처리한 후 그 위에 반사방지막을 형성하는 단계를 포함하는 그룹에서 선택된 단계를 더 실시하는 듀얼 다마신 배선의 제조 방법.
  45. 제24 항에 있어서, 상기 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계 전에
    상기 하드마스크막 상에 반사방지막을 형성하는 단계를 더 포함하고,
    상기 하드마스크막을 하드마스크로 패터닝하는 단계는
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 반사방지막과 상기 하드마스크막을 식각하여 반사방지막 패턴과 상기 하드마스크를 형성하는 단계이고,
    상기 멀티 스택 마스크를 형성하는 단계는 상기 포토레지스트 패턴, 상기 하드마스크, 및 상기 반사방지막 패턴을 식각마스크로 사용하여 상기 충전재를 상기 트렌치 형성용 충전재 마스크로 패터닝하는 단계인 듀얼 다마신 배선의 제조 방법.
  46. 제45 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계시 상기 포토레지스트 패턴과 상기 반사 방지막 패턴은 제거되고 상기 멀티 스택 마스크는 상기 하드마스크와 상기 트렌치 형성용 충전재 마스크를 포함하는 듀얼 다마신 배선의 제조 방법.
  47. 제24 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계시 비아에 잔류하는 상기 충전재의 상면이 상기 트렌치의 바닥보다 낮도록 잔류시키는 듀얼 다마신 배선의 제조 방법.
  48. 제24 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계시 상기 포토레지 스트 패턴도 제거되는 듀얼 다마신 배선의 제조 방법.
  49. 제24 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계는 H2, N2/H2, NH3/H2, He/H2 또는 이들의 혼합 가스를 주 가스로 사용하고 CxHyFz 가스를 보조 가스로 사용하는 건식 식각에 의해 진행되는 듀얼 다마신 배선의 제조 방법.
  50. 제24 항에 있어서, 상기 트렌치를 형성하는 단계시
    상기 하드마스크도 식각되어 제거되는 듀얼 다마신 배선의 제조 방법.
  51. 제24 항에 있어서, 상기 트렌치를 형성하는 단계는 CxFy 가스를 주 식각 가스로 사용하는 건식 식각에 의해 진행되는 듀얼 다마신 배선의 제조 방법.
  52. 제24 항에 있어서, 상기 듀얼 다마신 배선을 완성하는 단계에서 상기 듀얼다마신 배선은 구리 배선인 듀얼 다마신 배선의 제조 방법.
KR1020040103088A 2004-12-08 2004-12-08 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법 KR100745986B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020040103088A KR100745986B1 (ko) 2004-12-08 2004-12-08 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US11/223,310 US20060121721A1 (en) 2004-12-08 2005-09-09 Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
TW94143335A TWI278064B (en) 2004-12-08 2005-12-08 Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
CNB2005101294234A CN100501969C (zh) 2004-12-08 2005-12-08 形成互连结构和半导体器件的方法
US12/275,561 US20090075474A1 (en) 2004-12-08 2008-11-21 Methods for forming dual damascene wiring using porogen containing sacrificial via filler material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040103088A KR100745986B1 (ko) 2004-12-08 2004-12-08 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법

Publications (2)

Publication Number Publication Date
KR20060064289A KR20060064289A (ko) 2006-06-13
KR100745986B1 true KR100745986B1 (ko) 2007-08-06

Family

ID=36574884

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040103088A KR100745986B1 (ko) 2004-12-08 2004-12-08 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법

Country Status (3)

Country Link
US (2) US20060121721A1 (ko)
KR (1) KR100745986B1 (ko)
CN (1) CN100501969C (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
KR100704474B1 (ko) * 2005-11-29 2007-04-09 주식회사 하이닉스반도체 반도체 소자의 스토리지노드콘택플러그 제조방법
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7485581B2 (en) 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7365025B2 (en) * 2006-02-06 2008-04-29 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures on semiconductor substrates using multiple planarization layers having different porosity characteristics
JP2007234719A (ja) * 2006-02-28 2007-09-13 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
JP4728153B2 (ja) * 2006-03-20 2011-07-20 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100755073B1 (ko) * 2006-06-29 2007-09-06 주식회사 하이닉스반도체 반도체 소자의 콘택 홀 형성 방법
JP4757740B2 (ja) * 2006-08-21 2011-08-24 富士通株式会社 半導体装置
KR100778869B1 (ko) 2006-09-06 2007-11-22 동부일렉트로닉스 주식회사 반도체 소자의 콘택 형성 방법
CN100449731C (zh) * 2006-09-30 2009-01-07 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN101589459A (zh) * 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
US7598169B2 (en) * 2007-02-21 2009-10-06 International Business Machines Corporation Method to remove beol sacrificial materials and chemical residues by irradiation
US20100260992A1 (en) * 2007-04-11 2010-10-14 Wei-Chih Chen Multi cap layer
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
US7906426B2 (en) * 2007-04-23 2011-03-15 Globalfoundries Singapore Pte. Ltd. Method of controlled low-k via etch for Cu interconnections
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP5277628B2 (ja) * 2007-12-21 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
CN102044487B (zh) * 2009-10-22 2013-03-13 上海华虹Nec电子有限公司 钨双大马士革工艺
DE102010002451B4 (de) * 2010-02-26 2012-01-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
CN102339785A (zh) * 2010-07-16 2012-02-01 中芯国际集成电路制造(上海)有限公司 金属镶嵌结构的制造方法
CN102468227A (zh) * 2010-11-19 2012-05-23 中芯国际集成电路制造(北京)有限公司 半导体结构的制造方法
US20120175745A1 (en) * 2011-01-06 2012-07-12 Nanya Technology Corporation Methods for fabricating semiconductor devices and semiconductor devices using the same
CN102655113A (zh) * 2011-03-04 2012-09-05 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN102903628B (zh) * 2011-07-25 2015-04-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN103107125B (zh) * 2011-11-11 2015-01-21 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103165576B (zh) * 2011-12-13 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103515222A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 顶层金属层沟槽的刻蚀方法
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
CN104425217A (zh) * 2013-09-05 2015-03-18 中芯国际集成电路制造(上海)有限公司 图形化方法
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
CN104952725B (zh) * 2014-03-24 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9355893B1 (en) * 2015-01-20 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing extreme low-K (ELK) dielectric layer from being damaged during plasma process
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10170299B2 (en) * 2015-07-01 2019-01-01 Applied Materials, Inc. Method to reduce trap-induced capacitance in interconnect dielectric barrier stack
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
KR102367948B1 (ko) 2015-10-08 2022-02-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN107703722B (zh) * 2016-08-08 2020-12-15 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
CN107993978B (zh) * 2016-10-24 2020-08-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10312188B1 (en) * 2018-01-11 2019-06-04 Globalfoundries Inc. Interconnect structure with method of forming the same
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10707232B2 (en) * 2018-05-14 2020-07-07 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device using a porosity in a sacrificial pattern, and fabricating equipment for semiconductor device using the same
US10505111B1 (en) * 2018-07-20 2019-12-10 International Business Machines Corporation Confined phase change memory with double air gap
JP7045974B2 (ja) * 2018-11-14 2022-04-01 東京エレクトロン株式会社 デバイスの製造方法
CN109971226A (zh) * 2019-03-27 2019-07-05 德淮半导体有限公司 用于在半导体装置的制造过程中形成牺牲材料层的混合物以及半导体装置的制造方法
US11270913B2 (en) 2020-04-28 2022-03-08 International Business Machines Corporation BEOL metallization formation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020075065A (ko) * 2001-03-23 2002-10-04 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
KR20040010130A (ko) * 2002-07-24 2004-01-31 삼성전자주식회사 하이브리드형 저 유전율 물질과 탄소가 없는 무기충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의제조 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6391713B1 (en) * 2001-05-14 2002-05-21 Silicon Integrated Systems Corp. Method for forming a dual damascene structure having capacitors
US20030219968A1 (en) * 2001-12-13 2003-11-27 Ercan Adem Sacrificial inlay process for improved integration of porous interlevel dielectrics
US6774037B2 (en) * 2002-05-17 2004-08-10 Intel Corporation Method integrating polymeric interlayer dielectric in integrated circuits
KR100462884B1 (ko) * 2002-08-21 2004-12-17 삼성전자주식회사 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US6858528B2 (en) * 2003-03-20 2005-02-22 Intel Corporation Composite sacrificial material
KR100583957B1 (ko) * 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
KR100545220B1 (ko) * 2003-12-31 2006-01-24 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법
KR100529654B1 (ko) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선을 위한 컨택 홀 형성 방법
US7241682B2 (en) * 2004-02-27 2007-07-10 Taiwan Seminconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US7361455B2 (en) * 2004-03-31 2008-04-22 Intel Corporation Anti-reflective coatings
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020075065A (ko) * 2001-03-23 2002-10-04 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
KR20040010130A (ko) * 2002-07-24 2004-01-31 삼성전자주식회사 하이브리드형 저 유전율 물질과 탄소가 없는 무기충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의제조 방법

Also Published As

Publication number Publication date
CN100501969C (zh) 2009-06-17
CN1812074A (zh) 2006-08-02
US20060121721A1 (en) 2006-06-08
US20090075474A1 (en) 2009-03-19
KR20060064289A (ko) 2006-06-13

Similar Documents

Publication Publication Date Title
KR100745986B1 (ko) 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
KR100690881B1 (ko) 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
US7323407B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using diffusion barrier layer against base material
US7351635B2 (en) Method of fabricating microelectronic device using super critical fluid
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
KR100568257B1 (ko) 듀얼 다마신 배선의 제조방법
KR100518700B1 (ko) 전자 디바이스의 제조 방법
KR100829603B1 (ko) 에어 갭을 갖는 반도체 소자의 제조 방법
US7183195B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
JP2006128543A (ja) 電子デバイスの製造方法
KR100546337B1 (ko) 하이브리드형 저 유전율 물질과 탄소가 없는 무기충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의제조 방법
US6774037B2 (en) Method integrating polymeric interlayer dielectric in integrated circuits
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20050095839A1 (en) Method of patterning low-k film and method of fabricating dual-damascene structure
JP2004064089A (ja) ハイブリッド型低誘電率物質と炭素を含まない無機充填材を使用する微細電子素子のデュアルダマシン配線の製造方法
US6383912B1 (en) Fabrication method of integrated circuits with multiple low dielectric-constant intermetal dielectrics
JP2005217371A (ja) 半導体装置およびその製造方法
KR100617076B1 (ko) 듀얼 다마신 형성방법
KR100440080B1 (ko) 반도체 소자의 금속배선 형성방법
KR100439111B1 (ko) 반도체소자의 금속배선 형성방법
KR101005738B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
CN113314401A (zh) 形成半导体结构的方法
KR100481889B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee