CN101589459A - 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化 - Google Patents

用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化 Download PDF

Info

Publication number
CN101589459A
CN101589459A CNA2008800032700A CN200880003270A CN101589459A CN 101589459 A CN101589459 A CN 101589459A CN A2008800032700 A CNA2008800032700 A CN A2008800032700A CN 200880003270 A CN200880003270 A CN 200880003270A CN 101589459 A CN101589459 A CN 101589459A
Authority
CN
China
Prior art keywords
layer
porous
terpinenes
deposition
porous layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008800032700A
Other languages
English (en)
Inventor
A·诺利
F·施米特
A·莱克斯曼
B·H·金
R·阿尔加瓦尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101589459A publication Critical patent/CN101589459A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明的实施例一般提供一种在半导体器件的导电元件之间形成气隙的方法,其中气隙的介电常数约为1。气隙的形成一般通过在相应导电元件间沉积牺牲材料、在导电元件与牺牲材料上沉积多孔层、然后通过多孔层从相应导电元件之间的间隙剥除牺牲材料,从而在相应导电元件之间留下气隙。牺牲材料可以是例如聚合α-萜品烯层,多孔层可以是例如多孔碳掺杂氧化层,且剥除工艺可利用例如基于紫外线(UV)的固化工艺。

Description

用于层间介电气隙的PEVCD沉积牺牲聚合物薄膜的紫外光固化
背景
技术领域
本发明权利要求所陈述的实施例一般涉及一种在半导体器件的导电元件之间形成气隙的方法,其中气隙的介电常数(k)约为1。
相关技术的描述
在半导体衬底上可靠地生产亚0.25(sub-quarter)微米和更小特征结构是制造下一代超大规模集成(VLSI)与极大规模集成(ULSI)器件的关键技术之一。然而随着电路技术推向极限,日益缩小的互连特征结构尺寸对处理技术与用于制造器件的材料的物理特性的要求日益提高。例如,为了提高集成电路上半导体器件的密度,特征结构的尺寸已缩减成亚0.25微米范围。此外,由于铜的电阻率比铝小,因此铜实质上已取代铝做为主要导体。另外,尺寸缩小使得介电材料(即置于导电特征结构间的材料)的介电常数必需小于先前使用的介电材料,即低k材料,在此通常是指介电常数小于约4.0,因为导电元件相距较近导致的各层间的电容耦合增加会不利地影响半导体器件起作用。
用来形成当前所需的多层半导体器件的常用方法是镶嵌或双嵌工艺。以镶嵌方法为例,一个或多个低k介电材料经沉积及图案化蚀刻成垂直与水平互连。导电材料(诸如含铜材料)和其它导电材料(诸如用来防止含铜材料扩散到周围的低k介电材料的阻挡层材料)接着镶入蚀刻图案或特征结构。导电材料一般被过量沉积,以确保充分填满介电层中的特征结构。但蚀刻图案外部(诸如衬底上)过多的含铜材料和阻挡层材料通常经由化学机械研磨工艺移除。一旦移除了过多的沉积物,器件大致上就具有基本平坦的上表面,此上表面会露出导电元件与绝缘元件,因此一般会再将绝缘层沉积于其上,以使特征结构的第一层与后续沉积至第一层上的第二层绝缘。
然而,关联于镶嵌工艺的挑战之一是,个别特征结构尺寸不断缩小以满足持断增加的电路密度。因此分隔开相应导电元件的材料的介电常数也必须降低,以便于保持相应导电元件的电气隔离。虽然目前低k介电材料可提供的介电常数可达约2.0至约3.5,但是需要介电常数更小的材料来支持持续变小的特征结构尺寸和更高的电路密度。
因此,需要用于半导体器件的导电元件之间的间隙壁,其中间隙壁的介电常数约小于2。
发明内容
本发明权利要求所陈述的实施例一般提供一种在半导体器件的导电元件之间形成气隙的方法,其中气隙的介电常数约为1。气隙的形成一般可通过在各导电元件之间沉积牺牲层、在导电元件与牺牲层上沉积多孔层、然后通过多孔层从相应导电元件之间的间隙剥除牺牲层,从而在相应导电元件之间留下气隙。牺牲层可以是例如诸如α-萜品烯的聚合物,多孔层可以是例如多孔氧化物层,且剥除工艺可例如利用紫外线(UV)固化工艺。
在一些实施例中,提供一种在导电互连之间形成低介电常数(k)间隙壁的方法。该方法一般包括:在沉积在衬底上的牺牲层中形成互连特征结构,其中牺牲层包含聚合α-萜品烯;以及用导电材料填充互连特征结构。该方法还包括:在经填充的互连特征结构与牺牲层上沉积多孔层,该多孔层具有整齐的孔隙结构;以及通过多孔层从在经填充的导电互连之间的一区域剥除牺牲层,以在导电互连之间形成气隙,其中剥除工艺包含基于紫外线(UV)的固化工艺。最后,该方法可包括在多孔层上沉积覆盖层,以密封整齐的孔隙结构。
在一些实施例中,提出一种在半导体器件的导电元件之间形成间隙壁的方法。该方法一般可包括在衬底上沉积牺牲层、在牺牲层中形成特征结构、以及用导电材料填充特征结构。该方法还包括:在经填充的互连特征结构与牺牲层上沉积多孔层,该多孔层具有整齐的孔隙结构;通过多孔层从在经填充的导电互连之间的一区域剥除牺牲层,以在导电互连之间形成气隙;以及在多孔层上沉积覆盖层,以密封整齐的孔隙结构。
在一些实施例中,提出一种在半导体器件的导电特征结构之间形成介电常数约为1的间隙壁的方法。该方法包括利用化学气相沉积工艺在衬底上沉积聚合α-萜品烯层,在聚合α-萜品烯层中蚀刻出特征结构,以及利用电化学电镀工艺、无电电镀工艺、物理气相沉积工艺、和化学气相沉积工艺的至少之一用导电材料填充在聚合α-萜品烯层中所蚀刻出的特征结构。此外,该方法可包括:利用化学机械研磨工艺平坦化半导体器件的上表面;在经填充的特征结构与聚合α-萜品烯层上沉积多孔氧化物层;以及利用紫外线剥除工艺从导电元件之间的区域剥除聚合α-萜品烯层,以在导电元件之间形成气隙,其中该紫外线剥除工艺被配置成通过多孔氧化物层中的孔隙移除聚合α-萜品烯层;以及在多孔的氧化物层上沉积覆盖层,以密封孔隙。
在一些实施例中,提供一种在导电互连特征结构之间形成低介电常数(k)间隙壁的方法,其中这些特征结构形成在半导体衬底上的牺牲层内。该方法可包括:在互连特征结构与牺牲层上沉积多孔层;通过多孔层从在导电互连特征结构之间的一区域移除至少一部分的牺牲层,以在导电互连特征结构之间形成气隙;以及在多孔层上沉积覆盖层,以密封多孔层。如此得到的互连特征结构之间的间隙充满空气,从而产生约为1的介电常数。
附图简述
例示以上概述的本发明的更具体描述可参考特定实施例进行,这些特定实施例的一部分在附图中示出。然而,要注意的是,虽然附图仅例示了特定实施例,但其并非用来限定本发明的范围。
图1例示使用多孔层在半导体器件的导电元件之间形成低介电常数(k)气隙的方法;
图2例示使用具穿孔的掩模层在半导体器件的导电元件之间形成低介电常数(k)气隙的方法;
图3例示使用牺牲层在半导体器件的导电元件之间形成低介电常数(k)气隙的方法;以及
图4例示使用牺牲层和碳掺杂氧化层在半导体器件的导电元件之间形成低介电常数(k)气隙的方法。
为便于了解,各图中同样的元件符号代表类似的元件。当可理解,其它实施例也可有益地结合一个实施例的元件和/或工艺步骤。
优选实施方式的详细描述
在一些实施例中,一般提供一种在半导体器件的导电元件之间形成气隙的方法。气隙的形成一般通过在导电元件间沉积可移除材料、在可移除材料与导电元件上沉积多孔层、然后通过多孔层从导电元件之间的间隙剥除沉积材料,从而在导电元件之间留下气隙。尽管可移除材料参照α-萜品烯进行讨论,但应可理解所述示例性方法可采用其它可移除材料,例如聚甲基丙烯酸甲酯(poly(methyl methacrylate))或聚对二甲苯(parylene)。
特定实施例可基于任何等离子体增强CVD室或包括诸如CENTURAULTIMA HDP-CVDTM系统、PRODUCER APF PECVDTM系统、PRODUCERBLACK DIAMONDTM系统、PRODUCER BLOK PECVDTM系统、PRODUCER DARC PECVDTM系统、PRODUCER HARPTM系统、PRODUCER PECVDTM系统、PRODUCER STRESS NITRIDE(氮化物)PECVDTM系统、和PRODUCER TEOS(四乙氧基硅烷)FSG(氟硅玻璃)PECVDTM系统的系统,其可从美国加州圣克拉拉的应用材料公司(AppliedMaterials,Inc.)购得。示例性
Figure A20088000327000091
系统在1999年1月5日授权的共同受让的美国专利NO.5,855,681中进一步描述,其一并引用于此以供参考。
图1例示在半导体器件的导电元件之间形成间隙或间隙壁的示例性方法,其中间隙或间隙壁的介电常数约小于2。该方法始于步骤100,第一层可以是低介电常数材料层101(如碳掺杂氧化层),其沉积在半导体衬底(未示出)上。低介电常数材料层101可例如使用化学气相沉积工艺或等离子体增强化学气相沉积工艺沉积。第二层102可以是诸如聚合α-萜品烯的牺牲层,其沉积在低介电常数材料层101上,且可例如经由等离子体增强化学气相沉积工艺沉积。形成牺牲层102的沉积工艺方法可包括供应流速为约100mgm至约5,000mgm的α-萜品烯、流速为约100sccm至约5,000sccm的氦气(He)、流速为约100sccm至约2,000sccm的氧气(O2),且压力为约2托(torr)至约8托、功率为约10瓦(W)至约1,000瓦、温度为约100℃至约300℃、间距为约200密尔(mil)至约1600密尔。
如此,低介电常数材料层101一般可构成第一层,即可用作为通孔、插塞与多层互连特征结构的层;而第二层102则可用作较大的单层特征结构,例如沟槽。如步骤110所示,一旦第一与第二层在衬底上形成,就可利用蚀刻、光刻、或其它在半导体器件层中形成特征结构的已知方法,在相应层中形成各种特征结构。例如,沟槽103A可蚀刻到第二层102中,通孔103B可蚀刻到第一层101中。如步骤120所示,一旦预期特征结构形成和/或蚀刻到相应层,就可用导电材料104(如铜)填充特征结构。虽未例示,但在沉积导电材料前,可将阻挡层沉积至相应特征结构中,以免导电材料扩散到邻接层。可过度沉积导电材料104,以便于充分填充特征结构103A和103B),且因此如步骤120所示,导电材料104与第二层102的上表面可经平坦化而构成基本平坦的表面。
一旦平坦化了导电材料104与第二层102的上表面,如步骤130所示,就可将多孔层105沉积于其上。多孔层105一般可具有足够的厚度,以对后续沉积层提供结构刚性和支撑,其通常包括相当密集的孔隙。孔隙可以有序的互连方式排列,即相应层中的孔隙大致上垂直对齐,从而分子可经由整齐的互连孔隙轻易地从多孔层一侧直线行进到另一侧。整齐的互连孔隙一般呈对齐孔隙(即类似圆柱),由此直径小于孔隙直径的分子可通过多孔层105。或者,孔隙可以无序方式排列,即孔隙大致上不垂直对齐,因此孔隙不会构成穿过多孔层的直线路径。在此配置下,孔隙通常互相偏移,因此穿过多孔层的分子在垂直通过一定厚度的层前,将先通过一孔隙行进一段垂直距离,再水平行进到另一孔隙。多孔层105可以是任意数量的多孔层,其不限于例如多孔氧化物层、多孔氮化物层、多孔BLOk层、以上各层的组合、或半导体领域熟知的其它多孔层。多孔层105的厚度可例如为约100埃至约1,000埃,其中形成的孔隙的直径为约10埃至约200埃。更具体地,多孔层105的厚度可例如在约200埃至约600埃之间,其中形成的孔隙的直径为约20埃至约60埃。
多孔层105可藉由溶胶-凝胶凝聚工艺的分子自组装(self-assembly),形成高度受控及具再现性的整齐孔隙大小和形状。以此工艺为例,硅醇盐(如四乙基正硅酸盐(tetraethylorthosilicate))在含有合适的水溶性溶剂(如丙二醇单丙基醚(propylene glycol monopropyl ether))且添加水和适当酸的溶液中,水解形成液态溶液。硅醇盐的酸催化水解反应会产生部分聚合的硅烷醇悬浮在溶液中的复杂混合物。添加表面活性剂至溶液可提供分子自组装的模板结构。表面活性剂浓度的关键范围需在后续干燥时,使表面活性剂能适当分离成胶束(micelle)。低浓度的四甲基铵盐也可加到化学前体溶液中,以提供最后锻烧步骤所需的化学环境。表面活性剂分子通常是两亲的,可包括疏水部分和亲水部分端。在干燥初期,两性分子会进行自组装,让分子中较短的亲水部分朝向结构外表面而接触水溶性环境,而较长的疏水部分则簇集在一起以构成胶束内部主体。溶剂化硅烷醇涂覆在自组装胶束外边的水溶性部分,形成最初的多孔膜架构。溶剂挥发期间,此结构通常会构成超分子装配体(supramolecular assembly)。
在沉积多孔层105期间,可将含有全部所需成分的液态化学前体涂到旋转的衬底表面,以使化学前体覆盖整个衬底表面。接着迅速将衬底转速加速到预定最终转速,该转速将决定膜厚(膜厚也受特定的其它包括溶液黏度等因素影响)。溶剂(和大部分的过量水分)在旋转时会挥发而形成”尚未干透”的膜层。此膜层进一步在加热板上干燥,例如在140℃干燥1分钟。然后例如在约350℃至约400℃进行高温锻烧从而形成最终膜结构。在锻烧期间,表面活性剂模板通过烧蚀自膜层脱落而形成具有整齐互连孔隙的预期膜层。互连孔隙通道有助于取出表面活性剂。由于溶剂挥发引发的自组装胶束形成,以及采用大小均一的表面活性剂分子决定了胶束大小,因此整齐孔隙的特征是孔径大小分布很窄。审慎选择表面活性剂分子尺寸可调节胶束大小;凭借化学前体溶液中所采用的表面活性剂浓度可调节总体多孔性。在一些实施例中,多孔层105和牺牲层102可被原位(in-situ)沉积。在其它实施例中,多孔层105和牺牲层102可被非原位(ex-situ)沉积。
多孔层105也可通过已知的半导体层沉积技术沉积,例如化学气相沉积和等离子体增强化学气相沉积。一旦沉积了多孔层105,如步骤140所示,就可利用剥除工艺移除位于相应特征结构之间的部分第二层102(即分隔开第二层中相应导电特征结构的聚合α-萜品烯层)。如果第二层102为诸如聚合α-萜品烯的牺牲层,则可以是基于紫外线的固化工艺的剥除工艺用来离解构成相应导电元件之间的牺牲层的分子,从而经由多孔层105流出导电元件之间的区域。因此,导电元件之间的区域无牺牲材料留下,因而在导电元件之间形成气隙106。鉴于空气的介电常数一般为1,从相应导电元件之间的区域移除牺牲层从而在其间形成气隙106用来在相应导电元件之间形成约为1的介电常数。通过孔隙剥除有机层的示例性工艺采用基于UV的固化工艺。该UV固化工艺只利用热进行固化一段时间。此工艺可利用美国加州圣克拉拉的应用材料公司制造的UV系统,例如NanoCure系统执行。也可使用其它UV系统,例如2005年5月9日提交的、题为“用于固化介电材料的复式紫外线腔室(TANDEM UV CHAMBER FOR CURINGDIELECTRIC MATERIALS)”、公开号为2006/0251827的美国专利申请S/N.11/124,908所描述的系统,其一并引用于此且不与本说明书相悖。此工艺可使用静态或双频源实现。腔室压力可为约2托至约12托,腔室温度可为约50℃至约600℃。UV源波长可为约200纳米(nm)至约300nm。氦气的供应流速可为约100sccm至约20,000sccm。在一些实施例中,可附加采用诸如氩气、氮气、以及氧气、或其混合气体。UV功率可为约25%至约100%,处理时间可为约0-200分钟。一旦完成剥除工艺,也可以是低k材料的覆盖层或密封层(未示出)可被沉积至多孔层105上,以密封其中形成的孔隙,并防止材料回流到气隙区域内。
在一些实施例中,当通过上层中形成的穿孔从导电元件间的区域中移除牺牲层时,可不利用剥除工艺来形成空气间隙壁。在图2所示的实施例中,如步骤200所示,诸如含碳氧化硅层的低介电常数材料层201被沉积在半导体衬底上,并且可以是聚合α-萜品烯层的牺牲层202被沉积在低介电常数材料层201上。以类似图1所示实施例的方式,层201和202可以多种已知沉积工艺形成,例如化学气相沉积。一旦形成了层201和202,如步骤210所示,各种特征结构203(即接线、插塞、通孔、沟槽等)可按需形成在层201和层202中,以支撑要制造的元件。在层201和202中形成特征结构203的方法可通过半导体领域熟知的多种工艺,例如蚀刻工艺进行。一旦形成特征结构203,如步骤220所示,例如铜的导电材料204就沉积到特征结构203内。更具体地,诸如物理气相沉积、化学气相沉积、和/或电镀等铜沉积工艺可用来形成覆盖整个衬底表面的铜填充层,该整个衬底表面包括特征结构和含聚合α-萜品烯的牺牲层202的上表面。另外,如果需要可在形成导电材料204前沉积阻挡层,以防止导电材料204扩散到周围的层。导电材料204一般使用过度沉积工艺形成,即铜的沉积量足以填充各个特征结构203,这通常表示铜被过度沉积至牺牲层202的上表面。诸如化学机械研磨和回蚀等各种平坦化技术可用来平坦化牺牲层202的上表面以及其中沉积有导电材料204的特征结构203的导电上表面。无论采取何种平坦化技术,最终结果是将上表面平坦化,如步骤220所示。在一些实施例中,可在平坦化金属之前或之后,固化导电材料204。
一旦平坦化了上表面,如步骤230所示,就将掩模层205沉积到牺牲层202与其中所形成的导电特征结构204上。掩模层205可由阻挡层材料和/或其它低k材料构成,其通常为碳化硅层。此低k层以及上述任一低k层的沉积工艺方法可包括供应约300sccm至约2,500sccm的三甲硅烷(TMS)、最高约达5,000sccm的氦气(He)、最高约达1,000sccm的氨气(NH3)、压力为约1托至约14托、功率为约50瓦至约1,500瓦、且温度为约300℃至约400℃。掩模层205的厚度通常为约100埃至约1,000埃,但也可实现更厚或更薄的掩模层。一旦形成了掩模层205,如步骤240所示,就可在其中形成多个掩模孔206。掩模孔206一般可位于分隔开相应导电元件204的区域之上,即掩模孔206一般位于牺牲层202上且偏离导电元件204。一旦形成了掩模孔206,方法就继续至步骤250,以从相应导电元件204之间的区域移除分隔开导电元件204的牺牲材料。掩模孔206可以是策略地置于牺牲层上的圆孔或管口;或者,掩模孔206可以是沿着要剥除的一部分牺牲层铺置的细长孔或通道。移除工艺一般包括利用剥除工艺剥除分隔开相应导电元件204的牺牲材料,以便于在导电元件204间形成气隙207或间隙壁。假设分隔开导电元件204的牺牲材料为聚合α-萜品烯层,基于UV的固化工艺可用来从导电元件204之间的区域移除聚合α-萜品烯。这样,剥除工艺一般包括经由掩模孔206向聚合α-萜品烯层施加UV光,从而通过行进通过掩模孔206从导电元件204之间的区域移除聚合α-萜品烯。一旦从导电元件204之间的区域移除了聚合α-萜品烯,剥除工艺的结果就将是在相应导电元件204之间形成气隙207。尽管气隙区域内可能残留聚合α-萜品烯,但导电元件204之间的间隙大致上仍为气隙,因此介电常数约为1。此外,为了密封掩模孔206,覆盖层(未示出)可被沉积到掩模层205上。覆盖层可以是多孔氧化物层、多孔氮化物层、多孔碳化硅层、或适合覆盖半导体器件的其它层。
在本发明的另一实施例中,如图3所示,可使用双嵌工艺来在半导体器件的导电元件之间形成低k间隙壁。如步骤300所示,双嵌工艺一般包括将聚合α-萜品烯层301沉积到衬底(未示出)上。聚合α-萜品烯层301的厚度通常足以使半导体器件特征结构在其中形成,且可通过已知半导体沉积技术沉积,例如等离子体增强化学气相沉积。一旦形成了聚合α-萜品烯层,方法就继续至步骤310,以在聚合α-萜品烯层301中形成互连特征结构302。可以是例如沟槽及/或通孔的互连特征结构302可通过蚀刻工艺在聚合α-萜品烯层301内形成。一旦在聚合α-萜品烯层301中形成了特征结构302,就可用例如是铜的导电材料303填充特征结构。如步骤320所示,导电材料303可通过已知的半导体沉积技术沉积到聚合α-萜品烯层301上和特征结构302上,例如物理气相沉积工艺、化学气相沉积工艺、无电电镀工艺、及/或电化学电镀工艺。如同半导体领域所知悉地,将导电材料303沉积到特征结构302内的工艺一般包括过度沉积导电材料303,然后利用平坦化或研磨工艺移除过度沉积物。无论采用何种填充和/或平坦化工艺,最终结果是用导电材料303填充特征结构302、以及在特征结构302上产生基本平坦的上表面,该上表面大致与聚合α-萜品烯层301的上表面共面。
一旦用导电材料303填充并平坦化特征结构302,就可完全移除相应导电特征结构302之间的聚合α-萜品烯层。如步骤330所示,移除工艺一般包括基于UV的固化工艺,其被配置成完全移除聚合α-萜品烯层301。一旦移除了中间的聚合α-萜品烯,先前被聚合α-萜品烯占据的间隙可用极低k材料304填充。尽管各类极低k材料皆落入本发明的范围,但沉积在导电元件303之间的材料的介电常数通常为约1.7至约2.2,较佳为约2。以类似步骤320的金属沉积工艺的方式,沉积极低k材料304一般还包括过度沉积极低k材料以完全填满先前被聚合α-萜品烯占据的间隙。因此,步骤340一般还包括诸如化学机械研磨工艺的平坦化步骤,其被配置成平坦化导电材料303和沉积在导电元件303之间的极低k材料304的上表面。一旦完成平坦化工艺,方法就继续至步骤350,其中将阻挡层305沉积在导电特征结构303与极低k层301上。阻挡层305通常用来使其下所形成层中呈现的导电元件与后续沉积在阻挡层305上所形成层中的导电元件电气隔离。
在一些实施例中,提供镶嵌方法以在半导体器件的导电元件之间形成低k间隙壁。如图4所示,该方法一般始于步骤400,先将低k材料层401沉积在衬底(未示出)上;接着将聚合α-萜品烯层402沉积在层401上。低k材料层401通常可以是含碳氧化硅层。示例性含碳氧化硅材料在2005年3月9日提交、题为“使用电子束形成极低介电常数膜的方法(METHOD FORFORMING ULTRA LOW K FILMS USING ELECTRON BEAM)”、公开号为2005/0153073的美国专利申请S/N.11/076,181中描述,其一并引用于此且不与本说明书相悖。一旦形成了层401和402,方法就继续至步骤410,以在层401和402中形成各种器件特征结构403。可以是沟槽、通孔、或其它已知用来构成半导体器件的特征结构的器件特征结构403例如可通过蚀刻工艺形成。一旦形成了特征结构403,方法就继续至步骤420,其中用导电材料404填充特征结构403。可以是例如铜的导电材料可使用已知的半导体层形成技术填充特征结构403,例如物理气相沉积、化学气相沉积、和/或电化学电镀。无论采取何种沉积技术,金属层一般都被过度沉积至特征结构403内,然后加以平坦化。
一旦形成了特征结构并用导电材料填充了这些特征结构,方法就继续至步骤430,以从导电特征结构404之间的区域移除聚合α-萜品烯层402。移除聚合α-萜品烯层一般可经由UV固化工艺或其它已知能有效移除聚合α-萜品烯层的工艺完成。一旦移除聚合α-萜品烯,本质上会在相应导电元件404之间形成气隙405;继续至步骤440,其中通过移除聚合α-萜品烯材料并用极低k材料406填充而形成气隙。以类似金属沉积工艺的方式,沉积极低k材料一般用过度沉积工艺完成,且因此过度沉积材料例如以化学机械研磨工艺从器件表面移除。因此在完成步骤440时,器件大致上包括导电元件404,其间设置有极低介电常数材料。此外,经化学机械平坦化工艺处理后,器件具有基本平坦的上表面,即导电元件404的上表面与极低介电常数材料的上表面齐平。接着继续至步骤450,其中将阻挡层407沉积到导电元件404和极低k材料406上。
尽管前面的内容涉及本发明的各个实施例,但可设计本发明的其它和进一步的实施例而不背离其基本范围,且其范围根据所附权利要求确定。

Claims (20)

1.一种用于在导电互连间形成低介电常数(k)间隙壁的方法,所述方法包括:
在沉积在衬底上的牺牲层中形成多个互连特征结构,其中所述牺牲层是聚合α-萜品烯层;
用导电材料填充所述互连特征结构;
将多孔层沉积到所述经填充的互连特征结构与所述牺牲层上,所述多孔层具有整齐的孔隙结构;以及
通过所述多孔层从在所述经填充的导电互连间的区域移除至少一部分的所述牺牲层,以在所述导电互连之间形成气隙。
2.如权利要求1所述的方法,其特征在于,所述移除步骤包括基于紫外线的固化工艺。
3.如权利要求1所述的方法,其特征在于,进一步包括将覆盖层沉积到所述多孔层上,以密封所述整齐的孔隙结构。
4.如权利要求1所述的方法,其特征在于,所述气隙的介电常数约为1。
5.如权利要求1所述的方法,其特征在于,所述填充工艺包括物理气相沉积工艺、化学气相沉积工艺、电化学电镀工艺、和无电电镀工艺的至少之一。
6.如权利要求1所述的方法,其特征在于,所述多孔层包含多孔含碳氧化物层。
7.如权利要求1所述的方法,其特征在于,进一步包括在所述填充步骤与所述沉积多孔层的步骤之间平坦化所述衬底的上表面,其中所述平坦化步骤包含使用化学机械研磨。
8.如权利要求1所述的方法,其特征在于,沉积所述多孔层包括:
将液态溶液沉积到所述衬底上,所述液态溶液进行反应以形成悬浮在所述溶液中的部分聚合的硅烷醇;以及
固化所述衬底上的所述溶液以形成所述多孔层。
9.如权利要求1所述的方法,其特征在于,所述沉积多孔层和沉积覆盖层在原位进行。
10.一种在半导体器件的导电元件之间形成间隙壁的方法,所述方法包括:
将牺牲层沉积到衬底上;
在所述牺牲层中形成多个特征结构;
用导电材料填充所述特征结构;
将多孔层沉积到所述经填充的互连特征结构与所述牺牲层上,所述多孔层具有整齐的孔隙结构;
通过所述多孔层从所述经填充的导电互连之间的区域剥除所述牺牲层,以在所述导电互连之间形成气隙,其中所述剥除工艺包括基于紫外线的固化工艺;以及
将覆盖层沉积到所述多孔层上,以密封所述整齐的孔隙结构。
11.如权利要求10所述的方法,其特征在于,所述牺牲层是聚合α-萜品烯层。
12.如权利要求11所述的方法,其特征在于,将牺牲层沉积到所述衬底上的步骤包括:
供应流速为约100mgm至约5,000mgm的α-萜品烯;
供应流速为约100sccm至约5,000sccm的氦气;以及
供应流速为约100sccm至约2,000sccm的氧气。
13.如权利要求10所述的方法,其特征在于,所述牺牲层是成孔剂。
14.如权利要求10所述的方法,其特征在于,所述多孔层为多孔的碳掺杂氧化层。
15.如权利要求10所述的方法,其特征在于,所述剥除工艺包括通过在所述多孔层中形成的穿孔,从所述特征结构之间的区域剥除所述牺牲层。
16.如权利要求10所述的方法,其特征在于,还包括在用导电材料填充所述特征结构之前,将阻挡层沉积于在所述牺牲层中形成的特征结构上。
17.如权利要求10所述的方法,其特征在于,所述气隙的介电常数约为1。
18.如权利要求10所述的方法,其特征在于,所述多孔层选自由多孔氧化物层、多孔氮化物层、和多孔碳化硅层所构成的群组。
19.如权利要求10所述的方法,其特征在于,还包括在所述填充步骤与所述沉积多孔层的步骤之间,平坦化所述半导体器件的上表面。
20.一种在半导体器件的导电特征结构之间形成介电常数约为1所谓间隙壁的方法,所述方法包括:
利用等离子体增强化学气相沉积工艺,将聚合α-萜品烯层沉积到衬底上;
在所述聚合α-萜品烯层中蚀刻出多个特征结构;
利用电化学电镀工艺、无电电镀工艺、物理气相沉积工艺、和化学气相沉积工艺的至少之一,用导电材料填充所述聚合α-萜品烯层中所蚀刻出的特征结构;
利用化学机械研磨工艺平坦化所述半导体器件的上表面;
将多孔氧化物层沉积在所述经填充的特征结构与所述聚合α-萜品烯层上;以及
通过基于紫外线的固化工艺从多个导电元件之间的区域剥除所述聚合α-萜品烯层,以在所述导电元件之间形成气隙,其中所述紫外线固化工艺被配置成通过所述多孔氧化物层中的多个孔隙移除所述聚合α-萜品烯层;以及
将覆盖层沉积到所述多孔氧化物层上,以密封所述孔隙。
CNA2008800032700A 2007-01-26 2008-01-22 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化 Pending CN101589459A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US88687207P 2007-01-26 2007-01-26
US60/886,872 2007-01-26
PCT/US2008/051715 WO2008091900A1 (en) 2007-01-26 2008-01-22 Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild

Publications (1)

Publication Number Publication Date
CN101589459A true CN101589459A (zh) 2009-11-25

Family

ID=39644860

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008800032700A Pending CN101589459A (zh) 2007-01-26 2008-01-22 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化

Country Status (5)

Country Link
US (1) US20080182403A1 (zh)
KR (1) KR20090104896A (zh)
CN (1) CN101589459A (zh)
TW (1) TW200845205A (zh)
WO (1) WO2008091900A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103117244A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 Ic内连线和层间介质层之间的空气间隔形成方法
CN103531524A (zh) * 2012-07-02 2014-01-22 中芯国际集成电路制造(上海)有限公司 含有空气隙的互连结构的制备方法
CN104037121A (zh) * 2013-03-06 2014-09-10 台湾积体电路制造股份有限公司 通过镶嵌工艺形成气隙
CN104209254A (zh) * 2014-08-15 2014-12-17 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
CN104795359A (zh) * 2015-04-13 2015-07-22 上海华力微电子有限公司 金属互连线间的介质层中形成空气隙的方法

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8719399B2 (en) * 2005-04-07 2014-05-06 Opanga Networks, Inc. Adaptive file delivery with link profiling system and method
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP2010103329A (ja) * 2008-10-24 2010-05-06 Toshiba Corp 半導体装置の製造方法及び半導体装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR102054264B1 (ko) 2012-09-21 2019-12-10 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9058980B1 (en) * 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
KR101960383B1 (ko) * 2017-08-03 2019-03-20 고려대학교 산학협력단 구조적 특성을 이용한 발수유성 박막 및 이의 제조방법
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102222037B1 (ko) * 2019-04-05 2021-03-04 주식회사 필옵틱스 에어 갭을 갖는 반도체 소자 및 그 제조 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102196500B1 (ko) * 2019-07-17 2020-12-30 주식회사 필옵틱스 공정 신뢰성을 확보한 에어 갭 구조 반도체 소자 및 그 제조 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11302641B2 (en) 2020-06-11 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned cavity strucutre
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11482447B2 (en) * 2020-07-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated chip having a cavity between metal features
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11652054B2 (en) 2021-04-21 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric on wire structure to increase processing window for overlying via
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11842966B2 (en) 2021-06-23 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with inter-wire cavities
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US6165890A (en) * 1997-01-21 2000-12-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6984577B1 (en) * 2000-09-20 2006-01-10 Newport Fab, Llc Damascene interconnect structure and fabrication method having air gaps between metal lines and metal layers
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6936183B2 (en) * 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
WO2003085719A2 (en) * 2002-04-02 2003-10-16 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US7233604B1 (en) * 2002-06-04 2007-06-19 Lsi Corporation Time division media access controller and method of operation thereof
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7094689B2 (en) * 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100745986B1 (ko) * 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103117244A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 Ic内连线和层间介质层之间的空气间隔形成方法
CN103117244B (zh) * 2011-11-16 2015-04-01 中芯国际集成电路制造(上海)有限公司 Ic内连线和层间介质层之间的空气间隔形成方法
CN103531524A (zh) * 2012-07-02 2014-01-22 中芯国际集成电路制造(上海)有限公司 含有空气隙的互连结构的制备方法
CN103531524B (zh) * 2012-07-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 含有空气隙的互连结构的制备方法
CN104037121A (zh) * 2013-03-06 2014-09-10 台湾积体电路制造股份有限公司 通过镶嵌工艺形成气隙
CN104037121B (zh) * 2013-03-06 2017-07-21 台湾积体电路制造股份有限公司 通过镶嵌工艺形成气隙
CN104209254A (zh) * 2014-08-15 2014-12-17 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
CN104795359A (zh) * 2015-04-13 2015-07-22 上海华力微电子有限公司 金属互连线间的介质层中形成空气隙的方法

Also Published As

Publication number Publication date
KR20090104896A (ko) 2009-10-06
US20080182403A1 (en) 2008-07-31
WO2008091900A1 (en) 2008-07-31
TW200845205A (en) 2008-11-16

Similar Documents

Publication Publication Date Title
CN101589459A (zh) 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
US6780753B2 (en) Airgap for semiconductor devices
EP1848032B1 (en) Materials and methods of forming controlled voids in dielectric layers
TWI505361B (zh) 處理含矽與氧層的方法
US7510982B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US6699784B2 (en) Method for depositing a low k dielectric film (K>3.5) for hard mask application
KR100661201B1 (ko) 절연층을 증착하고 에칭하기 위한 방법
CN100483647C (zh) 沉积多孔膜的方法
CN101495674B (zh) 多孔质绝缘膜的形成方法
US20110217838A1 (en) Method for forming interconnect structure having airgap
CN102844856A (zh) 在通孔和刻蚀结构中形成并图案化共形绝缘层的方法
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US20020000556A1 (en) Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
JP3992654B2 (ja) 半導体装置の製造方法
KR100717695B1 (ko) 반도체 장치 제조 방법
JP2003530481A (ja) 無機/有機誘電体フィルムを堆積させるシステム及び方法
US20040126957A1 (en) Microelectronic process and structure
Ghodssi et al. Thick buried oxide in silicon (TBOS): an integrated fabrication technology for multi-stack wafer-bonded MEMS processes
JP4067357B2 (ja) エッチング方法
JP2006222171A (ja) 絶縁膜の形成方法、多層構造の形成方法および半導体装置の製造方法
US11715780B2 (en) High performance and low power semiconductor device
Krasnikova et al. Formation conductors with porous ultra Low-K dielectric for multilevel metallization VLSI
KR20030052482A (ko) 반도체 소자의 트랜치 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20091125