TW201635334A - 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 - Google Patents
用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 Download PDFInfo
- Publication number
- TW201635334A TW201635334A TW104139818A TW104139818A TW201635334A TW 201635334 A TW201635334 A TW 201635334A TW 104139818 A TW104139818 A TW 104139818A TW 104139818 A TW104139818 A TW 104139818A TW 201635334 A TW201635334 A TW 201635334A
- Authority
- TW
- Taiwan
- Prior art keywords
- deposition
- reactant
- plasma
- substrate
- feature
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 208
- 238000002161 passivation Methods 0.000 title description 4
- 239000000376 reactant Substances 0.000 claims abstract description 255
- 238000000151 deposition Methods 0.000 claims abstract description 224
- 239000000758 substrate Substances 0.000 claims abstract description 197
- 230000008021 deposition Effects 0.000 claims abstract description 180
- 238000006243 chemical reaction Methods 0.000 claims abstract description 160
- 238000005530 etching Methods 0.000 claims abstract description 98
- 239000003989 dielectric material Substances 0.000 claims abstract description 66
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 37
- 239000010410 layer Substances 0.000 claims description 107
- 230000008569 process Effects 0.000 claims description 96
- 230000001681 protective effect Effects 0.000 claims description 75
- 239000000463 material Substances 0.000 claims description 65
- 239000004065 semiconductor Substances 0.000 claims description 56
- JJWKPURADFRFRB-UHFFFAOYSA-N carbonyl sulfide Chemical compound O=C=S JJWKPURADFRFRB-UHFFFAOYSA-N 0.000 claims description 53
- 239000007789 gas Substances 0.000 claims description 42
- 239000011241 protective layer Substances 0.000 claims description 41
- 229910052760 oxygen Inorganic materials 0.000 claims description 40
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical group [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 35
- 229910052707 ruthenium Inorganic materials 0.000 claims description 35
- 239000001301 oxygen Substances 0.000 claims description 30
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 29
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 26
- 239000011261 inert gas Substances 0.000 claims description 23
- 239000000203 mixture Substances 0.000 claims description 20
- 238000005229 chemical vapour deposition Methods 0.000 claims description 19
- 229910052739 hydrogen Inorganic materials 0.000 claims description 18
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 16
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 16
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims description 14
- 229910052757 nitrogen Inorganic materials 0.000 claims description 14
- 229910052717 sulfur Inorganic materials 0.000 claims description 14
- 239000011593 sulfur Substances 0.000 claims description 14
- 229920002120 photoresistant polymer Polymers 0.000 claims description 13
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 11
- 238000001179 sorption measurement Methods 0.000 claims description 9
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 8
- 230000004792 oxidative damage Effects 0.000 claims description 8
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 7
- 229910052732 germanium Inorganic materials 0.000 claims description 6
- 229910005965 SO 2 Inorganic materials 0.000 claims description 5
- 238000006557 surface reaction Methods 0.000 claims description 5
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 4
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 4
- 238000010926 purge Methods 0.000 claims description 4
- 239000003610 charcoal Substances 0.000 claims description 2
- 239000000470 constituent Substances 0.000 claims 1
- 230000005611 electricity Effects 0.000 claims 1
- 239000002002 slurry Substances 0.000 claims 1
- 238000000576 coating method Methods 0.000 abstract description 68
- 239000011248 coating agent Substances 0.000 abstract description 67
- 230000006378 damage Effects 0.000 abstract description 17
- 239000011253 protective coating Substances 0.000 abstract description 16
- 230000007246 mechanism Effects 0.000 abstract description 15
- 125000004122 cyclic group Chemical group 0.000 abstract description 10
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 abstract description 2
- 238000004321 preservation Methods 0.000 abstract 1
- 238000012545 processing Methods 0.000 description 88
- 235000012431 wafers Nutrition 0.000 description 45
- 239000002243 precursor Substances 0.000 description 40
- 238000007254 oxidation reaction Methods 0.000 description 29
- 241000894007 species Species 0.000 description 27
- 230000003647 oxidation Effects 0.000 description 26
- 229910052799 carbon Inorganic materials 0.000 description 25
- 238000012546 transfer Methods 0.000 description 20
- 238000005137 deposition process Methods 0.000 description 19
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 18
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 18
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 17
- -1 tantalum nitrides Chemical class 0.000 description 17
- 229910052796 boron Inorganic materials 0.000 description 16
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 15
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 15
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 15
- 239000007800 oxidant agent Substances 0.000 description 15
- 239000001257 hydrogen Substances 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 10
- 238000011112 process operation Methods 0.000 description 10
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 9
- 239000012159 carrier gas Substances 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- 229910052582 BN Inorganic materials 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 238000011010 flushing procedure Methods 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 8
- 230000001590 oxidative effect Effects 0.000 description 8
- 229910052810 boron oxide Inorganic materials 0.000 description 7
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 150000003254 radicals Chemical class 0.000 description 7
- 238000003860 storage Methods 0.000 description 7
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 6
- WSFSSNUMVMOOMR-UHFFFAOYSA-N Formaldehyde Chemical compound O=C WSFSSNUMVMOOMR-UHFFFAOYSA-N 0.000 description 6
- RAHZWNYVWXNFOC-UHFFFAOYSA-N Sulphur dioxide Chemical compound O=S=O RAHZWNYVWXNFOC-UHFFFAOYSA-N 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- WFPZPJSADLPSON-UHFFFAOYSA-N dinitrogen tetraoxide Chemical compound [O-][N+](=O)[N+]([O-])=O WFPZPJSADLPSON-UHFFFAOYSA-N 0.000 description 6
- 230000000670 limiting effect Effects 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 238000009472 formulation Methods 0.000 description 5
- 239000012634 fragment Substances 0.000 description 5
- 238000011068 loading method Methods 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- 239000001272 nitrous oxide Substances 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- ZTEHOZMYMCEYRM-UHFFFAOYSA-N 1-chlorodecane Chemical compound CCCCCCCCCCCl ZTEHOZMYMCEYRM-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 150000001412 amines Chemical class 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 230000007797 corrosion Effects 0.000 description 4
- 238000005260 corrosion Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 229930195733 hydrocarbon Natural products 0.000 description 4
- 150000002430 hydrocarbons Chemical class 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- 230000000873 masking effect Effects 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical class [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 238000011160 research Methods 0.000 description 4
- 239000002356 single layer Substances 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- 229910001936 tantalum oxide Inorganic materials 0.000 description 4
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 4
- 230000007704 transition Effects 0.000 description 4
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 3
- QGJOPFRUJISHPQ-UHFFFAOYSA-N Carbon disulfide Chemical compound S=C=S QGJOPFRUJISHPQ-UHFFFAOYSA-N 0.000 description 3
- MHZGKXUYDGKKIU-UHFFFAOYSA-N Decylamine Chemical compound CCCCCCCCCCN MHZGKXUYDGKKIU-UHFFFAOYSA-N 0.000 description 3
- 229910003691 SiBr Inorganic materials 0.000 description 3
- 229910002092 carbon dioxide Inorganic materials 0.000 description 3
- 239000001569 carbon dioxide Substances 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000001351 cycling effect Effects 0.000 description 3
- 230000000593 degrading effect Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000010574 gas phase reaction Methods 0.000 description 3
- 229910052735 hafnium Inorganic materials 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 230000006798 recombination Effects 0.000 description 3
- 238000005215 recombination Methods 0.000 description 3
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- 101710178035 Chorismate synthase 2 Proteins 0.000 description 2
- 101710152694 Cysteine synthase 2 Proteins 0.000 description 2
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- 229910004541 SiN Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- WGQKYBSKWIADBV-UHFFFAOYSA-N benzylamine Chemical compound NCC1=CC=CC=C1 WGQKYBSKWIADBV-UHFFFAOYSA-N 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 2
- 229910002090 carbon oxide Inorganic materials 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 239000012707 chemical precursor Substances 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 239000012792 core layer Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- ZWWCURLKEXEFQT-UHFFFAOYSA-N dinitrogen pentaoxide Chemical compound [O-][N+](=O)O[N+]([O-])=O ZWWCURLKEXEFQT-UHFFFAOYSA-N 0.000 description 2
- LZDSILRDTDCIQT-UHFFFAOYSA-N dinitrogen trioxide Chemical compound [O-][N+](=O)N=O LZDSILRDTDCIQT-UHFFFAOYSA-N 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 231100001261 hazardous Toxicity 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- PGFXOWRDDHCDTE-UHFFFAOYSA-N hexafluoropropylene oxide Chemical compound FC(F)(F)C1(F)OC1(F)F PGFXOWRDDHCDTE-UHFFFAOYSA-N 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- BMFVGAAISNGQNM-UHFFFAOYSA-N isopentylamine Chemical compound CC(C)CCN BMFVGAAISNGQNM-UHFFFAOYSA-N 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- CATWEXRJGNBIJD-UHFFFAOYSA-N n-tert-butyl-2-methylpropan-2-amine Chemical compound CC(C)(C)NC(C)(C)C CATWEXRJGNBIJD-UHFFFAOYSA-N 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- IREVRWRNACELSM-UHFFFAOYSA-J ruthenium(4+);tetrachloride Chemical compound Cl[Ru](Cl)(Cl)Cl IREVRWRNACELSM-UHFFFAOYSA-J 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- FRGJFERYCDBOQD-UHFFFAOYSA-N 1,1,1,2-tetrachlorodecane Chemical group CCCCCCCCC(Cl)C(Cl)(Cl)Cl FRGJFERYCDBOQD-UHFFFAOYSA-N 0.000 description 1
- KHPNGCXABLTQFJ-UHFFFAOYSA-N 1,1,1-trichlorodecane Chemical compound CCCCCCCCCC(Cl)(Cl)Cl KHPNGCXABLTQFJ-UHFFFAOYSA-N 0.000 description 1
- IXADHCVQNVXURI-UHFFFAOYSA-N 1,1-dichlorodecane Chemical compound CCCCCCCCCC(Cl)Cl IXADHCVQNVXURI-UHFFFAOYSA-N 0.000 description 1
- RFXWQJUJSLODOT-UHFFFAOYSA-N 1,1-dichloroundecane Chemical compound CCCCCCCCCCC(Cl)Cl RFXWQJUJSLODOT-UHFFFAOYSA-N 0.000 description 1
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- MYMSJFSOOQERIO-UHFFFAOYSA-N 1-bromodecane Chemical compound CCCCCCCCCCBr MYMSJFSOOQERIO-UHFFFAOYSA-N 0.000 description 1
- YAYNEUUHHLGGAH-UHFFFAOYSA-N 1-chlorododecane Chemical compound CCCCCCCCCCCCCl YAYNEUUHHLGGAH-UHFFFAOYSA-N 0.000 description 1
- ZHKKNUKCXPWZOP-UHFFFAOYSA-N 1-chloroundecane Chemical compound CCCCCCCCCCCCl ZHKKNUKCXPWZOP-UHFFFAOYSA-N 0.000 description 1
- ATWISEHEXAEGKB-UHFFFAOYSA-N 2,2-dimethyldodecane Chemical compound CCCCCCCCCCC(C)(C)C ATWISEHEXAEGKB-UHFFFAOYSA-N 0.000 description 1
- TYFIGUUHHIRAPN-UHFFFAOYSA-N 2,2-ditert-butyl-1,4-dioxane Chemical group C(C)(C)(C)C1(OCCOC1)C(C)(C)C TYFIGUUHHIRAPN-UHFFFAOYSA-N 0.000 description 1
- CJEAKKMNOSJYMY-UHFFFAOYSA-N 2-chloro-2-methylundecane Chemical compound CCCCCCCCCC(C)(C)Cl CJEAKKMNOSJYMY-UHFFFAOYSA-N 0.000 description 1
- GELMWIVBBPAMIO-UHFFFAOYSA-N 2-methylbutan-2-amine Chemical compound CCC(C)(C)N GELMWIVBBPAMIO-UHFFFAOYSA-N 0.000 description 1
- HGEMCUOAMCILCP-UHFFFAOYSA-N 2-methyldodecane Chemical compound CCCCCCCCCCC(C)C HGEMCUOAMCILCP-UHFFFAOYSA-N 0.000 description 1
- GTJOHISYCKPIMT-UHFFFAOYSA-N 2-methylundecane Chemical compound CCCCCCCCCC(C)C GTJOHISYCKPIMT-UHFFFAOYSA-N 0.000 description 1
- XKQUZBGOHGUHQA-UHFFFAOYSA-N 2-tert-butyl-1,4-dioxane Chemical group CC(C)(C)C1COCCO1 XKQUZBGOHGUHQA-UHFFFAOYSA-N 0.000 description 1
- WRASWDISMFYAIS-UHFFFAOYSA-N 3-chloro-2,2,4,4-tetramethylpentane Chemical compound CC(C)(C)C(Cl)C(C)(C)C WRASWDISMFYAIS-UHFFFAOYSA-N 0.000 description 1
- YZTUTNDZDFVRBX-UHFFFAOYSA-N 3-chloro-2,2-dimethyldodecane Chemical compound CCCCCCCCCC(Cl)C(C)(C)C YZTUTNDZDFVRBX-UHFFFAOYSA-N 0.000 description 1
- ZCLVCWLETQNRJT-UHFFFAOYSA-N 3-chloro-2-methyldodecane Chemical compound CCCCCCCCCC(Cl)C(C)C ZCLVCWLETQNRJT-UHFFFAOYSA-N 0.000 description 1
- 229910015900 BF3 Inorganic materials 0.000 description 1
- SRROPHDPQDTGME-UHFFFAOYSA-N C(C)(C)(C)C(CCCCCCCCC)C(C)(C)C Chemical compound C(C)(C)(C)C(CCCCCCCCC)C(C)(C)C SRROPHDPQDTGME-UHFFFAOYSA-N 0.000 description 1
- RGZMNDXPSYWGMC-UHFFFAOYSA-N CCCCCCCCCCC(C)(C)CCC Chemical group CCCCCCCCCCC(C)(C)CCC RGZMNDXPSYWGMC-UHFFFAOYSA-N 0.000 description 1
- HTJDQJBWANPRPF-UHFFFAOYSA-N Cyclopropylamine Chemical compound NC1CC1 HTJDQJBWANPRPF-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 101000777624 Homo sapiens Hsp90 co-chaperone Cdc37-like 1 Proteins 0.000 description 1
- 102100031587 Hsp90 co-chaperone Cdc37-like 1 Human genes 0.000 description 1
- AVXURJPOCDRRFD-UHFFFAOYSA-N Hydroxylamine Chemical compound ON AVXURJPOCDRRFD-UHFFFAOYSA-N 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- WFMRQEPYKQVDKS-UHFFFAOYSA-N [IH]1CCCCCCCC1 Chemical compound [IH]1CCCCCCCC1 WFMRQEPYKQVDKS-UHFFFAOYSA-N 0.000 description 1
- MVMVARHTRUEHCL-UHFFFAOYSA-N [SiH4].[Br] Chemical compound [SiH4].[Br] MVMVARHTRUEHCL-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- KZZKOVLJUKWSKX-UHFFFAOYSA-N cyclobutanamine Chemical compound NC1CCC1 KZZKOVLJUKWSKX-UHFFFAOYSA-N 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 125000004177 diethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical compound Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 230000026030 halogenation Effects 0.000 description 1
- 238000005658 halogenation reaction Methods 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- KUVMKLCGXIYSNH-UHFFFAOYSA-N isopentadecane Chemical group CCCCCCCCCCCCC(C)C KUVMKLCGXIYSNH-UHFFFAOYSA-N 0.000 description 1
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 230000010534 mechanism of action Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- UNASZPQZIFZUSI-UHFFFAOYSA-N methylidyneniobium Chemical compound [Nb]#C UNASZPQZIFZUSI-UHFFFAOYSA-N 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- ULWOJODHECIZAU-UHFFFAOYSA-N n,n-diethylpropan-2-amine Chemical compound CCN(CC)C(C)C ULWOJODHECIZAU-UHFFFAOYSA-N 0.000 description 1
- XWESXZZECGOXDQ-UHFFFAOYSA-N n-tert-butylhydroxylamine Chemical compound CC(C)(C)NO XWESXZZECGOXDQ-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- MOWNZPNSYMGTMD-UHFFFAOYSA-N oxidoboron Chemical compound O=[B] MOWNZPNSYMGTMD-UHFFFAOYSA-N 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000098 polyolefin Polymers 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 238000005381 potential energy Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 238000004064 recycling Methods 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- BHRZNVHARXXAHW-UHFFFAOYSA-N sec-butylamine Chemical compound CCC(C)N BHRZNVHARXXAHW-UHFFFAOYSA-N 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- XTQHKBHJIVJGKJ-UHFFFAOYSA-N sulfur monoxide Chemical class S=O XTQHKBHJIVJGKJ-UHFFFAOYSA-N 0.000 description 1
- 229910052815 sulfur oxide Inorganic materials 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- LFKDJXLFVYVEFG-UHFFFAOYSA-N tert-butyl carbamate Chemical compound CC(C)(C)OC(N)=O LFKDJXLFVYVEFG-UHFFFAOYSA-N 0.000 description 1
- QIMILRIEUVPAMG-UHFFFAOYSA-N tert-butylsilyl carbamate Chemical compound C(N)(O[SiH2]C(C)(C)C)=O QIMILRIEUVPAMG-UHFFFAOYSA-N 0.000 description 1
- BGHCVCJVXZWKCC-UHFFFAOYSA-N tetradecane Chemical group CCCCCCCCCCCCCC BGHCVCJVXZWKCC-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- ABVVEAHYODGCLZ-UHFFFAOYSA-N tridecan-1-amine Chemical group CCCCCCCCCCCCCN ABVVEAHYODGCLZ-UHFFFAOYSA-N 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- WRECIMRULFAWHA-UHFFFAOYSA-N trimethyl borate Chemical compound COB(OC)OC WRECIMRULFAWHA-UHFFFAOYSA-N 0.000 description 1
- MXSVLWZRHLXFKH-UHFFFAOYSA-N triphenylborane Chemical compound C1=CC=CC=C1B(C=1C=CC=CC=1)C1=CC=CC=C1 MXSVLWZRHLXFKH-UHFFFAOYSA-N 0.000 description 1
- NHDIQVFFNDKAQU-UHFFFAOYSA-N tripropan-2-yl borate Chemical compound CC(C)OB(OC(C)C)OC(C)C NHDIQVFFNDKAQU-UHFFFAOYSA-N 0.000 description 1
- OBAJXDYVZBHCGT-UHFFFAOYSA-N tris(pentafluorophenyl)borane Chemical compound FC1=C(F)C(F)=C(F)C(F)=C1B(C=1C(=C(F)C(F)=C(F)C=1F)F)C1=C(F)C(F)=C(F)C(F)=C1F OBAJXDYVZBHCGT-UHFFFAOYSA-N 0.000 description 1
- WXRGABKACDFXMG-GQALSZNTSA-N tris(trideuteriomethyl)borane Chemical compound [2H]C([2H])([2H])B(C([2H])([2H])[2H])C([2H])([2H])[2H] WXRGABKACDFXMG-GQALSZNTSA-N 0.000 description 1
- RSJKGSCJYJTIGS-UHFFFAOYSA-N undecane Chemical compound CCCCCCCCCCC RSJKGSCJYJTIGS-UHFFFAOYSA-N 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32513—Sealing means, e.g. sealing between different parts of the vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32908—Utilities
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Crystallography & Structural Chemistry (AREA)
- Formation Of Insulating Films (AREA)
Abstract
此處各種不同的實施例關於在基板上的介電材料中形成凹入的特徵部之方法、設備及系統。獨立的蝕刻及沉積操作係以循環的方式加以使用。每個蝕刻操作部分地蝕刻特徵部。每個沉積操作在特徵部的側壁上形成保護性塗層,以在蝕刻操作期間防止介電材料的橫向蝕刻。該保護性塗層可使用造成基板上的遮罩層實質保護之方法加以沉積。該保護性塗層可使用不大可能損壞遮罩層之特殊反應物及/或反應條件加以沉積。該保護性塗層亦可使用特殊的反應機制加以沉積,該等特殊的反應機制造成實質上完整的側壁塗層。在某些情況下,該保護性塗層係使用電漿輔助的原子層沉積、改良的電漿輔助原子層沉積、或電漿輔助的化學氣相沉積加以沉積。
Description
本發明關於在基板上的介電材料中形成凹入的特徵部之技術。
相關申請案的交互參照:本專利申請案主張於西元2015年4月27日申請之美國專利申請案第14/697,521號的優先權,該專利申請案的標題為“TECHNIQUE TO DEPOSIT SIDEWALL PASSIVATION FOR HIGH ASPECT RATIO CYLINDER ETCH”,其係於西元2014年12月4日申請之美國專利申請案第14/560,414號標題為“TECHNIQUE TO DEPOSIT SIDEWALL PASSIVATION FOR HIGH ASPECT RATIO CYLINDER ETCH”的部分連續案,以上申請案全部內容各自於此藉由參照及為了所有目的納入本案揭示內容。
在半導體元件的製造期間經常使用的一個製程係在介電材料中蝕刻的圓筒狀物之形成。此種製程可能發生的示例背景包含但不限於記憶體應用,諸如DRAM及3D NAND結構。隨著半導體工業進步及元件尺寸變得更小,此等圓筒狀物變得越來越難以均勻的方式蝕刻,特別是對於具有窄的寬度及/或深的深度之高深寬比圓筒狀物。
此處某些實施例關於在半導體基板上的介電材料中形成蝕刻的特徵部之方法及設備。在各種情況下,該等方法可包含在蝕刻步驟及沉積步驟之間循環。在沉積步驟期間,所揭示的實施例可利用某些技術以在部分蝕刻的特徵部之側壁上沉積鈍化材料,從而允許蝕刻以高深寬比發生,且同時在該蝕刻的特徵部之內保持期望的最大臨界尺寸。該鈍化材料可當特徵部係被較深地蝕刻進基板時防止橫向過蝕刻該特徵部。
在此處該等實施例的一實施態樣中,用於在半導體基板上的介電材料中形成蝕刻的特徵部之一種方法係加以提供,該方法包含:(a) 產生包含一蝕刻反應物的一蝕刻電漿,將該基板曝露於該蝕刻電漿,及在該介電材料中部分地蝕刻該特徵部,其中該基板包含一遮罩層;(b)在(a)之後,在該特徵部的側壁上沉積一保護性膜,其中,該保護性膜係實質上沿該特徵部的整個深度加以沉積,且其中該遮罩層在(b)期間係實質上被保護的;及(c)重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度選擇性地具有約5或更高的一深寬比。
該保護層可以各種方式加以沉積。在一些實施例中,沉積該保護性膜的操作包含:(i)將一第一沉積反應物流進一反應腔室,產生包含該第一沉積反應物的一沉積電漿,及將該基板曝露於該沉積電漿,其中該第一沉積反應物係一含矽反應物,(ii)停止該第一沉積反應物的流動,(iii) 將一第二沉積反應物流進該反應腔室及產生或維持該沉積電漿,以從而驅動在該第一及第二沉積反應物之間的一表面反應以形成該保護性膜,在(iii)中的該沉積電漿包含該第二沉積反應物,其中該遮罩層在(iii)期間係實質上被保護的,且其中該沉積電漿的成分隨著(i)-(iii)的過程改變,(iv)停止該第二沉積反應物的流動,及(v)重覆(i)-(iv)直到該保護性膜達到一最終厚度。在某些實施例中,該方法亦可包含在(ii)之後且在(iii)之前,將惰性氣體流進該反應腔室以自該反應腔室掃除未吸附的第一沉積反應物,及在(iv)之後且在一後續之(i)的重覆之前,將惰性氣體流進該反應腔室以自該反應腔室掃除未吸附的第二沉積反應物。在某些實施例中,該沉積電漿在(i)-(iii)期間係連續地加以維持。在一些其他的實施例中,該沉積電漿在(i)-(iii)期間係不加以連續地維持。
若干不同的反應物可如此處描述加以使用。在一實施例中,該第一沉積反應物包含SiCl4
。在這些或其他情況下,該第二沉積反應物可包含選自由COS、CO、SO2
、CO2
、NO、N2
O、N2
O5
、NO2
、N2
O3
、N2
O4
、H2
O、H2
CO、CS2
、CH4
、C2
H4
、及C2
H6
組成的群組中之一或多種反應物。在各種實施方式中,該第二沉積反應物可包含一含硫反應物。此等反應物當結合對氧化損壞敏感的一遮罩層使用時,可能特別有用。在一特定的實施例中,該遮罩層係對氧化損壞敏感,該第一沉積反應物包含SiCl4
及該第二沉積反應物包含COS。
在若干實施例中,電漿條件可加以控制以將劣化氧化敏感層的風險最小化。在一些實施方式中,沉積該保護性膜的操作包含將該基板曝露於一沉積電漿,該沉積電漿在一第一時間段期間包含一第一沉積反應物及在一第二時間段期間包含一第二沉積反應物,其中該沉積電漿係使用HF RF功率及選用性地使用LF RF功率加以產生,其中若LF RF功率係加以使用,則LF RF功率密度在該第二時間段期間係小於約2,800 W/m2
。在一些情況下,若使用LF RF功率,則LF RF功率密度在該第二時間段期間係小於約700 W/m2
。在一些情況下,該沉積電漿在該第二時間段期間可僅使用大於約2 MHz的RF頻率加以產生。
損壞氧化敏感層的風險在某些實施例中亦可藉由限制被提供至反應腔室之O2
的量而加以最小化。例如:在一些實施例中,遮罩層可能對氧化損壞敏感,且沉積保護性膜可包含將基板曝露於自流進該反應腔室的氣體產生的沉積電漿,其中流進該反應腔室的氣體包含以體積測量小於約20%的O2
。
在某些實施例中,沉積該保護性膜的操作包含以一循環的方式執行的下列步驟:(i)將一第一沉積反應物流進一反應腔室及允許該第一沉積反應物吸附在該基板表面之上,其中該第一沉積反應物係一含矽反應物;及(ii)將一第二沉積反應物流進該反應腔室,其中該第一及第二沉積反應物反應以形成該保護性膜。沉積該保護性膜的操作在一些情況下藉由一電漿輔助的原子層沉積反應而發生,該電漿輔助的原子層沉積反應包含(i)及(ii)且包含(iii)在該反應腔室中產生沉積電漿,及將該基板曝露於該沉積電漿以驅動在該第一及第二沉積反應物之間的一表面反應,以從而形成該保護性膜,其中(i)-(iii)係循環地加以執行。
在一些其他情況下,沉積該保護性膜的操作包含將一第一沉積反應物及一第二沉積反應物同時流進一反應腔室,產生包含該第一及第二沉積反應物的一沉積電漿,及將該基板曝露於該沉積電漿以從而沉積該保護性膜。在一些此等情況下,該第一沉積反應物係SiCl4
及該第二沉積反應物包含羰基硫。
此處揭示的該等方法及設備可用以將一特徵部蝕刻至一高深寬比且同時維持一高品質的蝕刻輪廓。在一些實施例中,在該最終深度,該特徵部可具有:(i)約20或更高的一深寬比;及(ii)一最大臨界尺寸,其與在該特徵部之底部的臨界尺寸相比較係大不超過約10%。
該方法可在各種背景下加以實施。在一些情況下,該特徵部可在形成一VNAND元件的背景下加以形成,且該介電材料可包含(i)氧化矽材料、及(ii)氮化矽材料或多晶矽材料之交替的層。在一些其他情況下,該特徵部可在形成一DRAM元件的背景下加以形成,且該介電材料可包含氧化矽。在一些情況下,該特徵部可具有在其最終深度約20或更高、約30或更高、約40或更高、或約50或更高的一深寬比。
在各種情況下,(a)及(b)係重覆至少一次、至少兩次、至少三次、或至少五次。在一些此等情況下,在各個重覆期間(b)可使用或可不使用相同的反應物加以執行。該遮罩層在一些情況下可對氧化敏感。例如:在一些實施例中,該遮罩層包含旋塗碳、非晶形碳、及/或光阻。
在所揭露的實施例之另一實施態樣中,一種沉積含矽膜的方法係加以提供。該方法可包含:(a) 將一第一反應物流進一反應腔室及將該基板曝露於該第一反應物,其中該第一反應物包含一含矽反應物;(b) 將一第二反應物流進一反應腔室及將該基板曝露於該第二反應物,其中該第二反應物包含羰基硫(COS);及(c) 將該第一及第二反應物反應以從而在該基板上沉積該含矽膜。
在某些實施方式中,該第一及第二反應物可循環地引至該反應腔室。該第一及/或第二反應物在一些情況下可遞送至該反應腔室,且同時該基板係曝露於電漿。在一例子中,該方法可進一步包含產生電漿及將該基板曝露於電漿,其中該電漿的成分隨時間改變,使得在一第一階段期間該電漿包含該第一反應物但不包含該第二反應物,在一第二階段期間該電漿包含惰性氣體且不包含該第一反應物亦不包含第二反應物,及在一第三階段期間該電漿包含該第二反應物但不包含該第一反應物,該第一、第二、及第三階段以此順序發生,該方法進一步包含循環地重覆該第一、第二、及第三階段直到該保護性膜達到一最終厚度。
在電漿係使用的情況下,在該沉積期間其可熄滅或可不熄滅。在一些情況下,在遞送該第一反應物之後且在遞送該第二反應物之前,該電漿係熄滅至少一次。在這些或其他情況下,該方法可進一步包含一第四階段,其中該電漿係加以熄滅,該第四階段在該第三階段之後發生,其中該第一、第二、第三、及第四階段係循環地重覆直到該保護性膜達到該最終厚度。
在一些實施例中,該方法包含當該反應腔室中沒有電漿存在時執行(a)步驟,且該方法係吸附限制的。在一些其他的實施例中,(a)及(b)同時發生,且在該第一及第二反應物之間的反應係一化學氣相沉積反應。在各種實施例中,該基板可包含對氧化損壞敏感之一曝露的層。
在所揭示實施例之進一步的一實施態樣中,一種在半導體基板上的介電材料中形成蝕刻的特徵部之設備係加以提供,該設備包含:一或多個反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,及其中至少一反應腔室係設計或配置以執行沉積,各反應腔室包含:用於將處理氣體引至該反應腔室的一入口,用於自該反應腔室移除材料的一出口,及一電漿源;以及一控制器,具有用於以下操作的指令:(a)產生包含一蝕刻反應物的一第一電漿,將該基板曝露於該第一電漿,及在該介電材料中部分地蝕刻該特徵部,其中(a)係在設計或配置以執行蝕刻的該反應腔室中加以執行;(b)在(a)之後,在該特徵部的側壁上沉積一保護性膜,其中,該保護性膜係實質上沿該特徵部的整個深度加以沉積,其中(b)發生且同時實質上保護在該基板上的一遮罩層,及其中(b)係在設計或配置以執行沉積的該反應腔室中加以執行;(c)重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
在某些實施例中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的該反應腔室相同,使得(a)及(b)兩者發生在相同的反應腔室內。在一些其他的實施例中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的該反應腔室不同,且該控制器可進一步包含將該基板在設計或配置以執行蝕刻的該反應腔室與設計或配置以執行沉積的該反應腔室之間轉移的指令。
這些及其他特徵將參考相關圖示於下加以說明。
在此申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分製造的積體電路」係互換地加以使用。在此技術領域具有通常知識者將理解術語「部分製造的積體電路」可意指在其上積體電路製造的許多階段之任一者期間的矽晶圓。在半導體元件工業中使用的晶圓或基板一般具有200 mm、或300 mm、或450 mm的直徑。下列詳細的敘述假定本發明係在晶圓上加以實現。然而,本發明係非如此限制性的。工件可為任何形狀、大小、及材料。除了半導體晶圓之外,可利用本發明的其他工件包含各種物件,諸如印刷電路板、磁記錄媒體、磁記錄感測器、鏡子、光學元件、微機械元件等。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節加以實施。另一方面,未詳細說明眾所周知的製程操作,以不要不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例加以描述,但可理解其係非意圖限制所揭示的實施例。 I. 用於在介電材料中蝕刻高深寬比特徵部的技術
某些半導體元件的製造包含將特徵部蝕刻進入一種以上介電材料。該介電材料可為單層材料或材料堆疊。在一些情況下,堆疊包含交替的介電材料層(例如氮化矽及氧化矽)。一個示例蝕刻的特徵部係一圓筒狀物,其可具有高深寬比。隨著此等特徵部的深寬比持續增加時,將特徵部蝕刻進入介電材料係逐漸具有挑戰性。在高深寬比特徵部的蝕刻期間產生的一個問題係不均勻的蝕刻輪廓。換句話說,該等特徵部非以直線向下的方向蝕刻。反之,特徵部的側壁通常係弓形的,使得蝕刻之特徵部的中間部分係比特徵部的頂部及/或底部部分較寬(即,進一步被蝕刻)。接近特徵部之中間部分的過度蝕刻可導致受損壞的剩餘材料之結構及/或電子完整性。該朝外彎曲之特徵部的部分可佔總特徵部深度之相對小的部分、或相對較大的部分。該朝外彎曲之特徵部的部分係特徵部的臨界尺寸(CD)在其最大值之處。臨界尺寸對應於在特定的點之特徵部的直徑。通常期望特徵部的最大CD將與特徵部中其他地方(例如:在特徵部的底部或接近特徵部的底部)的CD約相同。
不受任何作用的理論或機制約束,吾人相信在圓筒狀物或其他特徵部之中間部分的過度蝕刻發生,至少部分是因為圓筒狀物的側壁係未充分地受保護免於蝕刻。傳統蝕刻化學品利用氟碳化物蝕刻劑以在介電材料中形成圓筒狀物。氟碳化物蝕刻劑係藉由電漿曝露加以激發,該電漿曝露造成各種氟碳化物片段(例如CF、CF2
、及CF3
)的形成。反應性的氟碳化物片段藉由離子的輔助蝕刻掉在特徵部(例如圓筒狀物)之底部的介電材料。其他氟碳化物片段係在被蝕刻之圓筒狀物的側壁上加以沉積,從而形成保護性聚合側壁塗層。此保護性側壁塗層促使特徵部的底部而非特徵部的側壁優先蝕刻。在沒有此側壁保護的情況下,特徵部開始呈現不均勻的輪廓,其中在側壁保護不充分之處具有較寬的蝕刻/圓筒狀物寬度。
側壁保護在高深寬比的特徵部中係特別難以達成。此困難的一個原因係既有之基於氟碳化物的製程在被蝕刻的圓筒狀物深處無法形成保護性聚合側壁塗層。圖1呈現圓筒狀物102的圖,該圓筒狀物102在使用圖案化的遮罩層106塗覆之介電材料103中加以蝕刻。雖然下列討論有時參照圓筒狀物,但此概念適用於其他的特徵部形狀,諸如矩形及其他多邊形。保護性聚合側壁塗層104係集中在圓筒狀物102的頂部部分附近。Cx
Fy
化學品提供用於垂直蝕刻圓筒狀物的蝕刻反應物,以及形成保護性聚合側壁塗層104的反應物兩者。因為保護性聚合側壁塗層104不延伸進圓筒狀物深處(即,在側壁上有不充分的沉積),圓筒狀物102的中間部分變得比圓筒狀物102的頂部部分還寬。該較寬之圓筒狀物102的中間部分係稱為弓形部105,或稱為最大值CD。該弓形部可針對在弓形部區域處之特徵部的臨界尺寸與在該弓形部區域下方之特徵部的臨界尺寸之間的比較以數字加以描述。弓形部可從距離方面(例如:在特徵部最寬部分的臨界尺寸減去在弓形部下方之特徵部最窄部分的臨界尺寸),或從比例/百分比方面(在特徵部最寬部分的臨界尺寸除以在弓形部下方之特徵部最窄部分的臨界尺寸)以數字加以表現。此弓形部105及相關的不均勻蝕刻輪廓係不期望的。由於在此蝕刻製程之類型中經常使用的高離子能量,弓形部係通常在蝕刻高深寬比的圓筒狀物時加以產生。在一些應用中,弓形部甚至在低至約5的深寬比中加以產生。因此,傳統的氟碳化物蝕刻化學品係通常限於在介電材料中形成相對低深寬比的圓筒狀物。一些現代應用需要具有與使用傳統蝕刻化學品可達成之圓筒狀物相比更高深寬比的圓筒狀物。 II. 背景及應用
在此處各種實施例中,特徵部係蝕刻進基板(一般為半導體晶圓),該基板的表面上具有介電材料。蝕刻製程通常係基於電漿的蝕刻製程。整體特徵部形成過程可分階段發生:一個階段針對蝕刻介電材料,且另一個階段針對形成保護性側壁塗層而基本上沒有蝕刻介電材料。該保護性側壁塗層將側壁鈍化及防止特徵部被過度蝕刻(即,側壁塗層防止特徵部的橫向蝕刻)。此兩個階段可加以重複直到特徵部係蝕刻至其最終深度。藉由循環此兩階段,特徵部的直徑可在特徵部的整個深度加以控制,從而形成具有更均勻的直徑/改善的輪廓之特徵部。
一個特徵部係基板表面中的凹部。特徵部可具有許多不同的形狀,包含但不限於圓筒狀、矩形、正方形、其他多邊形凹部、溝槽等。
深寬比係特徵部深度對特徵部臨界尺寸(通常係其寬度/直徑)的比較值。例如:具有2 µm深度及50 nm寬度的圓筒狀物具有40:1的深寬比,通常更簡單地以40描述。由於特徵部在特徵部的整個深度上可具有不均勻的臨界尺寸,所以深寬比可根據測量之處變化。例如:有時蝕刻的圓筒狀物可具有比頂部及底部部分更寬的中間部分。此較寬的中間部分如上所述可稱為弓形部。基於在圓筒狀物的頂部(即,頸部)之臨界尺寸測量的深寬比,將高於基於在圓筒狀物之較寬的中部/弓形部之臨界尺寸測量的深寬比。當於此處使用時,除非另有說明,深寬比係基於靠近特徵部開口的臨界尺寸加以測量。
藉由所揭示的方法形成的特徵部可為高深寬比的特徵部。在一些應用中,高深寬比特徵部係具有至少約5、至少約10、至少約20、至少約30、至少約40、至少約50、至少約60、至少約80、或至少約100之深寬比的特徵部。藉由所揭示的方法形成之特徵部的臨界尺寸可為約200 nm或更小,例如:約100 nm或更小、約50 nm或更小、或約20 nm或更小。
在各種情況下,特徵部蝕刻進入的材料可為介電材料。示例材料包含但不限於矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜變型(例如摻雜硼、磷等)、及來自這些材料之任意組合的積層物。特定示例材料包含SiO2、SiN、SiON、SiOC、SiCN等的化學計量及非化學計量製劑。被蝕刻的一種以上材料亦可包含額外的元素,包含但不限於氫。在一些實施例中,被蝕刻的氮化物及/或氧化物材料將具有包含氫的組成物。當於此處使用,可理解氧化矽材料、氮化矽材料等包含該等材料的化學計量及非化學計量版本兩者,且該等材料可包含其他元素,如上所述。
所揭示方法的一個應用係在形成DRAM元件的背景下。在此情況下,特徵部可主要在氧化矽中加以蝕刻。例如:基板亦可包含一層、兩層、或多層氮化矽。在一例子中,基板包含夾在兩個氮化矽層之間的氧化矽層,其中氧化矽層係在約800-1200 nm厚度之間,而一或多個氮化矽層係在約300-400 nm厚度之間。蝕刻的特徵部可為具有在約1-3 µm之間的最終深度之圓筒狀物,例如:在約1.5-2 µm之間。圓筒狀物可具有在約20-50 nm之間的寬度,例如:在約25-30 nm之間。在圓筒狀物係被蝕刻之後,電容器記憶體單元可在其中加以形成。
所揭示方法的另一個應用係在形成垂直NAND(ⅤNAND,亦稱作為3D NAND)元件的背景下。在此情況下,特徵部蝕刻進入的材料可具有重複的層狀結構。例如:該材料可包含交替之氧化物(例如SiO2
)及氮化物(例如SiN)的層,或交替之氧化物(例如SiO2
)及多晶矽的層。交替的層形成材料的配對。在一些情況下,配對的數目可為至少約20、至少約30、至少約40、至少約60、或至少約70。該等氧化物層可具有在約20-50 nm之間的厚度,例如:在約30-40 nm之間。氮化物或多晶矽層可具有在約20-50 nm之間的厚度,例如:在約30-40 nm之間。蝕刻進交替的層之特徵部可具有在約2-6 µm之間的深度,例如:在約3-5 µm之間。特徵部可具有在約50-150 nm之間的寬度,例如:在約50-100 nm之間。 III. 蝕刻/沉積製程
圖2A呈現一流程圖,用於在半導體基板內形成蝕刻的特徵部之方法。顯示於圖2A的操作係相對於圖3A-3D加以描述,圖3A-3D顯示當蝕刻特徵部時部分製造的半導體基板。在操作201,特徵部302係在基板中蝕刻至第一深度,該基板具有介電材料303及圖案化的遮罩層306。此第一深度僅為特徵部之最終期望深度的一部分。用以蝕刻特徵部的化學品可為基於氟碳化物的化學品(Cx
Fy
)。其他的蝕刻化學品可加以使用。此蝕刻操作201可造成第一側壁塗層304的形成。該第一側壁塗層304可為聚合側壁塗層,如關於圖1所描述。第一側壁塗層304朝第一深度延伸,儘管在許多情況下,第一側壁塗層304實際上並未到達特徵部302的底部。
在蝕刻劑使用氟碳化物的實施例中,當某些氟碳化物物種/片段在特徵部的側壁上沉積時,第一側壁塗層304可從Cx
Fy
蝕刻化學品間接形成(即,某些氟碳化物物種係用於第一側壁塗層304的前驅物)。第一側壁塗層304無法到達特徵部302之底部的一個原因可能關於形成塗層之前驅物的黏附係數。特別是,吾人相信對於某些蝕刻劑,這些第一側壁塗層前驅物的黏附係數係過高,這造成絕大多數前驅物分子在進入特徵部後不久附著於側壁。因此,稀少側壁塗層前驅物分子係能夠深穿進側壁保護係有益之處的特徵部。第一側壁塗層304因而對特徵部302之側壁的過度蝕刻只提供部分保護。在一些實施方式中,蝕刻條件幾乎沒有提供任何側壁保謢。
接著,在操作203停止蝕刻製程。在停止蝕刻之後,第二側壁塗層310係在操作205中加以沉積。在某些情況下,第二側壁塗層310可為實際上第一側壁塗層。此沉積可透過各種反應機制加以發生,該等各種反應機制包含但不限於化學氣相沉積(CVD)方法及原子層沉積(ALD)方法(其中任何一者可為或可不為電漿輔助的)。ALD方法係特別適合用於形成保形膜,該保形膜襯裡特徵部的側壁。例如:由於該等方法的吸附-驅動本質,ALD方法對於將反應物遞送進入特徵部深處係有用的。雖然此處實施例係非限於第二側壁塗層310係藉由ALD沉積的方法,但選擇以沉積第二側壁塗層310的方法應允許保護層在蝕刻的特徵部302深處加以形成。CVD及其他沉積製程在各種實施方式中可能為適合的。
在某些實施例中,第二側壁塗層310係使用具有相對低的黏附係數之反應物加以沉積(例如:含矽反應物及/或含硼反應物)。在遞送反應物的時間點無論何種物種係存在於側壁上,黏附係數應為低的(例如:相對於原生側壁及/或存在側壁上的任何物種,黏附係數可為低的)。此外,相關的黏附係數係實際接觸特徵部側壁之物種的黏附係數;此物種可能或可能不是進入腔室的反應物。
在各種情況下,第二側壁塗層310可藉由形成保形膜的循環製程加以形成。在一實施例中,其中第二側壁塗層310係藉由ALD方法加以沉積,在操作205中的沉積包含(a)將低黏附係數的反應物流進反應腔室,及允許反應物吸附在基板的表面之上,從而形成吸附的前驅物層312;(b)選用性地沖洗反應腔室(例如:藉由使用沖洗氣體吹掃操作、抽空反應腔室之操作、或兩者);(c)將基板曝露於自含氧及/或含氮反應物(通常亦與氫一起提供)產生的電漿,以從而驅動表面反應以形成一層第二側壁塗層310(此第二側壁塗層310一般係抗蝕刻膜);(d)選用性地沖洗反應腔室;及(e)重複(a)-(d)以形成第二側壁塗層310之額外的層。吸附的前驅物層312係顯示於圖3B中,且第二側壁塗層310係顯示於圖3C中。前驅物吸附(圖3B)及膜形成(圖3C)可循環數次以形成具有期望厚度的膜。
在另一實施例中,第二側壁塗層310可藉由循環的類ALD製程加以沉積,如關於圖2B加以描述。此沉積製程在遮罩層306係對損壞敏感的實施例中可能特別有用,例如:強氧化條件產生的損壞(諸如經常導致遮罩層之蝕刻的損壞),雖然此沉積製程亦可在其他情況下加以使用。對氧化損壞敏感的示例遮罩材料包含主要為碳或聚合物的材料。經常用作遮罩層之氧化敏感材料的特殊例子包含各種光阻材料、旋塗碳、及非晶形碳。當在包含一曝露的氧化敏感層之基板上處理時,可能特別相關之反應條件及其他考量因素係在下面進一步加以討論。遮罩敏感問題係在圖2C的背景中進一步加以討論。
如圖2B所示,沉積操作205在一些實施例中可包含操作251,其中第一反應物係流進反應腔室,電漿係自第一反應物加以產生,且基板係曝露於電漿。此可能導致在基板上前驅物層(類似於吸附的前驅物層312)的形成。該前驅物層在此情況下可能是或可能不是吸附限制的/飽和限制的。例如,前驅物層在一些情況下可為未飽和的(例如:具有少於一前驅物的完整單層於其上)。在一些其他情況下,前驅物層的厚度可大於將在完全吸附限制之製程中達到的厚度,在一些實施例中形成比一前驅物之單層更厚的一前驅物層。
接著,在操作253,第一反應物的流動可加以停止,且惰性氣體可選用性地流進反應腔室。在一些情況下,電漿在操作251及253之間的過渡期間可為連續的,使得單一電漿係加以使用,該電漿具有隨時間改變的成分。在一些其他情況下,電漿在操作251及253之間可加以熄滅。在一些此等情況下,電漿在操作253中的沖洗期間可再次點燃。在一些其他的實施例中,沖洗氣體在操作253中可流進該反應腔室且同時沒有電漿存在。該沖洗造成第一反應物自反應腔室的移除。接著,在操作255,第二反應物係流進反應腔室,從而驅動在第一及第二反應物之間的反應以形成第二側壁塗層310,圖2B中稱為保護性塗層。在一些實施例中,電漿在操作253及255之間的過渡期間可為連續的,該電漿具有隨時間改變的成分。在一些其他情況下,電漿在操作253及255之間可加以熄滅。如上所述,在一些實施例中在操作253的沖洗在反應腔室中沒有任何電漿存在的情況下發生。接著,在操作257,電漿可選用性地加以熄滅且反應腔室可選用性地加以沖洗,例如藉由抽空腔室及/或藉由將惰性氣體流進腔室以掃除任何殘留的反應物及副產物。在沖洗發生的情況下,其可在反應腔室中有或沒有電漿存在的情況下加以完成。電漿在一些實施例中在操作251-259或操作251-255期間可連續地加以維持。如上所提及,在操作253及257中顯示的一或多次沖洗在一些情況下可加以省略。沖洗的使用可能幫助將不想要的氣相反應最小化,但此等沖洗並非在所有情況下皆為必要的。在反應腔室於操作257中係選用性地加以沖洗之後,在操作259判定該保護性塗層是否足夠厚。此判定可基於執行之循環的數目及每週期沉積的厚度加以進行。若膜係尚未足夠厚,則該方法從操作251重複。若膜係足夠厚,則該方法於操作207繼續,其中該保護性塗層的沉積製程係加以停止。該製程可接著於操作211繼續,如圖2A所示。
沉積製程250係類似於ALD製程,理由在於反應物係循環地加以遞送使得在氣相或電漿相之第一及第二反應物係非同時存在於腔室中。在此情況下,單一循環包含操作251-259。在一些實施例中,關於圖2B描述的類ALD製程可在造成比真正ALD製程較少吸附限制之反應的條件下加以進行。
返回至圖2A,在另一實施例中,第二側壁塗層310可藉由CVD方法加以沉積。在此情況下,操作205中的沉積可包含將低黏附係數的反應物流進反應腔室,選用性地伴隨共反應物(例如:含氧反應物及/或含氮反應物,選用性與氫一起提供),且同時將基板曝露於電漿。電漿驅動氣相反應,其導致第二側壁塗層310的沉積。在此例子中,該方法係由圖3A、3C、及3D加以表示(沒有形成吸附的前驅物層312,因此省略圖3B)。
黏附係數係一術語,用以描述在同樣的時間週期期間,與撞擊表面之物種的總數目相比,吸附/黏附於表面之被吸附物種(例如:原子或分子)之數目的比例。符號Sc
係有時用以表示黏附係數。Sc
的數值係在0(表示沒有物種黏附)和1(表示所有撞擊物種黏附)之間。各種因素影響黏附係數,包含撞擊物種的類型、表面溫度、表面覆蓋、表面的結構細節、及撞擊物種的動能。某些物種係本質上比其他者更「黏」,使得每一次該物種撞擊表面時,其更可能吸附在表面之上。這些較黏的物種具有較大的黏附係數(所有其他因素係相同),且與具有較低黏附係數之黏性較低的物種相比較,係更可能在凹陷特徵部的入口附近黏附。諸如在傳統蝕刻製程中使用的那些氟碳物種(且可形成第一側壁塗層304),具有相對高的黏附係數,且因此在其第一次撞擊側壁之處接近特徵部302的頂部變得集中。藉由比較,具有較低黏附係數的物種,即使它們撞擊接近側壁之頂部的表面,在每次撞擊期間係較不可能吸附,且因而具有較高機率到達特徵部302的底部部分。
在某些實施例中,含矽反應物及含硼反應物係用以形成第二側壁塗層310,且比形成第一側壁塗層304的氟碳化物物種具有較低的黏附係數。因此,這些反應物係適合形成到達蝕刻的特徵部之底部的保護性塗層。此外,基於吸附的ALD方法係特別適合用於形成到達蝕刻的特徵部之底部的第二側壁塗層,因為反應物可加以遞送直到其實質上塗佈特徵部的整個側壁。反應物不會在特徵部的頂部附近堆積,因為通常只有單層的反應物在各個循環期間吸附在表面之上。圖2B所揭示之類ALD的方法250亦係適合用於形成覆蓋特徵部之整個側壁的保形膜。
返回至圖2A,方法於操作207繼續,其中沉積製程係加以停止。該方法接著重複下列操作:部分地蝕刻基板中的特徵部(操作211,類似於操作201);停止蝕刻(操作213,類似於操作203);在部分蝕刻的特徵部之側壁上沉積保護性塗層(操作215,類似於操作205);並停止沉積(操作217,類似於操作207)。接著,在操作219,決定特徵部是否係完全蝕刻。若特徵部係未完全蝕刻,則該方法從操作211重覆,進行額外的蝕刻及保護性塗層之沉積。蝕刻操作211可改變第二側壁塗層310以形成一膜,該膜係甚至比在操作205及215沉積的膜更耐蝕刻。在一例子中,沉積操作205形成一層氮化硼(例如:藉由交替循環BCl3
和N2
+H2
及曝露於電漿),且蝕刻操作211將該氮化硼膜反應以形成氧化硼(例如:使用具有氟碳化物及氧之組合的化學品)。在另一例子中,沉積操作205係藉由方法250加以執行,以從而形成包含氧、碳、氮、氫、及硫的其中一或多者及矽的層。一旦完全蝕刻特徵部,則該方法係完成的。
在各種實施例中,蝕刻操作201及保護性側壁塗層沉積操作205係循環地重複數次。例如:這些操作可各自發生至少兩次(如圖2A所示),例如至少約3次,或至少約5次。在一些情況下,循環的數目係在約2-10之間,例如在約2-5之間(各個循環包含蝕刻操作201及保護性側壁塗層沉積操作205,其中蝕刻操作211及沉積操作215算作第二循環)。每次蝕刻操作發生時,蝕刻深度增加。蝕刻的距離在循環之間可為均勻的,或其可為不均勻的。在某些實施例中,在各個循環中蝕刻的距離隨著執行額外蝕刻而減少(即,較晚執行的蝕刻操作可比較早執行的蝕刻操作較不廣泛地蝕刻)。在各個沉積操作205中沉積之第二側壁塗層310的厚度在循環之間可為均勻的,或此等塗層的厚度可加以改變。在各個循環期間第二側壁塗層示例厚度的範圍可在約1-10 nm之間,例如在約3-5 nm之間。此外,形成之塗層的類型在循環之間可為均勻的,或其可加以改變。在一例子中,氮化硼側壁塗層係在操作205的第一循環期間加以形成,且氧化硼側壁塗層係在操作205的第二循環期間加以形成。
蝕刻操作201及沉積操作205可發生在相同的反應腔室中或在不同的反應腔室中。在一例子中,蝕刻操作201發生在第一反應腔室中,而沉積操作205發生在第二反應腔室中,其中該第一及第二反應腔室一起形成一多腔室處理設備,諸如群組工具。裝載鎖定部及其他適當的真空密封件可加以提供,用於在某些情況下在相關的腔室之間轉移基板。基板可藉由機器人手臂或其他機械結構加以轉移。用於蝕刻的反應腔室可為Flex™反應腔室,例如:由Lam Research Corporation of Fremont, CA市售的2300®
Flex™產品家族。用於沉積的反應腔室可為來自Vector®
產品家族或Altus®
產品家族的腔室,皆由Lam Research Corporation市售。用於蝕刻及沉積兩者之結合的反應器之使用在某些實施例中可為有利的,因為轉移基板的需求係加以避免。用於蝕刻及沉積之不同反應器的使用在其他實施例中可為有利的,其中期望反應器針對各個操作係特別最佳化的。相關的反應腔室係在下面進一步討論。
如上所述,沉積操作藉由形成深深穿透的保護層幫助將蝕刻操作最佳化,該保護層在蝕刻操作期間最小化特徵部的橫向蝕刻或避免特徵部的橫向蝕刻。此促進蝕刻的特徵部之形成,該蝕刻的特徵部具有非常垂直的側壁,幾乎沒有或沒有弓形部。在某些實施方式中,具有至少約80之深寬比的最終蝕刻特徵部具有小於約60%的弓形部(以(最寬臨界尺寸 - 弓形部下方最窄臨界尺寸)/弓形部下方最窄的臨界尺寸*100加以測量)。例如:具有最大值CD 50 nm及最窄CD 40 nm的特徵部(在特徵部中,40 nm的CD係位在50 nm的CD之下)具有25%(100*(50 nm - 40 nm)/40 nm = 25%)的弓形部。在另一實施方式中,具有至少約40之深寬比的最終蝕刻特徵部具有小於約20%的弓形部。 IV. 製程操作的材料及參數 A. 基板
此處揭示的方法對於蝕刻具有介電材料於其上的半導體基板係特別有用。示例介電材料包含矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜變型(例如摻雜硼、磷等)、及來自這些材料之任意組合的積層物。特定示例材料包含SiO2
、SiN、SiON、SiOC、SiCN等的化學計量及非化學計量製劑。如上所述,被蝕刻的介電材料可包含多於一種類型/層的材料。在特殊的情況下,介電材料可以SiN及SiO2
之交替的層或多晶矽及SiO2
交替的層加以提供。進一步的細節已於上述提供。基板可具有覆蓋遮罩層,該覆蓋遮罩層定義特徵部係加以蝕刻的地方。在某些情況下,遮罩層為Si,且其可能具有在約500-1500 nm之間的厚度。 B. 蝕刻製程
在各種實施例中,蝕刻製程係反應性離子蝕刻製程,其包含將化學蝕刻劑流進反應腔室(通常經由噴淋頭),從蝕刻劑(以及其他者)產生電漿,且將基板曝露於電漿。電漿將蝕刻劑化合物解離成中性物種及離子物種(例如帶電荷或中性的材料,諸如CF、CF2
及CF3
)。在許多情況下,電漿係感應耦合電漿,雖然其他類型的電漿可適當地加以使用。電漿中的離子係引導朝向晶圓並導致介電材料在撞擊時被蝕刻掉。
可用以執行蝕刻製程的示例設備包括由Lam Research Corporation of Fremont, CA市售之反應性離子蝕刻反應器的2300®
FLEX™產品家族。此類型的蝕刻反應器係在下列美國專利中進一步加以描述:美國專利第8,552,334號及美國專利第6,841,943號,其中的每一者全部內容於此藉由參照納入本案揭示內容。
有各種反應物的選項係能夠將特徵部蝕刻進入介電材料。在某些情況下,蝕刻化學品包含一或多種氟碳化物。在這些或其他的情況下,蝕刻化學品可包含諸如NF3
的其他蝕刻劑。一或多種共反應物亦可加以提供。在一些情況下,氧(O2
)係提供作為共反應物。氧可幫助保護性聚合物側壁塗層的適度形成(例如:圖3A-3D的第一側壁塗層304)。
在某些實施方式中,蝕刻化學品包含氟碳化物及氧的組合。例如:在一例子中,蝕刻化學品包含C4
F6
、C4
F8
、N2
、CO、CF4
、及O2
。亦可使用其他傳統蝕刻化學品,及可能使用非傳統的化學品。氟碳化物可以在約0-500 sccm之間的速率流動,例如:在約10-200 sccm之間。當使用C4
F6
及C4
F8
時,C4
F6
的流量範圍可在約10-200 sccm之間,及C4
F8
的流量範圍可在約10-200 sccm之間。氧的流量範圍可在約0-500 sccm之間,例如:在約10-200 sccm之間。氮的流量範圍可在約0-500 sccm之間,例如:在約10-200 sccm之間。四氟甲烷的流量範圍可在約0-500 sccm之間,例如:在約10-200 sccm之間。一氧化碳的流量範圍可在約0-500 sccm的之間,例如:在約10-200 sccm之間。這些速率在用以處理單一300 mm晶圓之約50公升的反應器體積中係合適的。此處流率可對於不同尺寸的反應器加以適合地縮放,且可基於用於其他尺寸之基板的基板面積加以線性縮放。
在一些實施例中,在蝕刻期間的基板溫度係在約0-100o
C之間。在一些實施例中,在蝕刻期間的壓力係在約10-50毫托之間。離子能量可為相對地高,例如:在約1-10 kV之間。離子能量係由施加的RF功率加以決定。在各種情況下,雙頻RF功率係用以產生電漿。因此,RF功率可包含第一頻率分量(例如約2 MHz)及第二頻率分量(例如約60 MHz)。不同的功率可在各個頻率分量加以提供。例如:第一頻率分量(例如約2 MHz)可以在約3-6 kW之間的功率加以提供,例如約5 kW,而第二頻率分量(例如約60 MHz)可以較低的功率加以提供,例如在約0.5-2 kW之間,例如約1 kW。這些功率位準假定RF功率係遞送至單一的300 mm晶圓。功率位準可基於額外基板及/或其他尺寸的基板之基板面積加以線性縮放(從而維持遞送至基板的均勻功率密度)。
蝕刻製程的每一循環蝕刻介電材料到某種程度。在各個循環期間蝕刻的距離可在約10-500 nm之間,例如在約50-200 nm之間。總蝕刻深度將取決於特殊應用。對於一些情況(例如DRAM),總蝕刻深度可在約1.5-2 µm之間。對於其他情況(例如VNAND),總蝕刻深度可為至少約3 µm,例如至少約4 µm。在這些或其他的情況下,總蝕刻深度可為約5 µm或更少。
如在圖3A-3D的討論中說明,蝕刻製程可產生第一側壁塗層(例如:第一側壁塗層304,其可為聚合的)。然而,此側壁塗層的深度可能限於靠近特徵部上部部分的區域,且可能不一直向下延伸深入特徵部亦需要側壁保護之處。因此,執行如此處描述之獨立的沉積操作以形成側壁塗層,該側壁塗層實質上覆蓋蝕刻的特徵部之整個深度。
在一些製程中,沉積保護性側壁塗層的操作(例如:在圖3C及3D中的第二側壁塗層310)導致第一類型的膜之沉積,且蝕刻操作改變此第一類型的膜以形成第二類型的膜。第二類型的膜可能比第一類型的膜更耐蝕刻。例如:沉積操作可包含氮化硼(BN)膜的形成,其係接著在蝕刻操作期間被處理成氧化硼(BO)膜。在蝕刻化學品中包含氧可至少部分地驅動此變化。氧化硼膜可特別地耐受蝕刻,從而針對防止過度蝕刻側壁提供非常好的保護。 C. 沉積製程
執行沉積製程主要用以在蝕刻的特徵部之內在側壁上沉積保護層。此保護層即使在高深寬比的特徵部中,應延伸進入特徵部深處。在高深寬比特徵部之內深處保護層的形成可藉由具有相對低黏附係數的反應物達成。此外,依賴吸附限制沉積(例如ALD反應)的反應機制可促進在蝕刻的特徵部內部深處之保護層的形成。保護層的沉積始於部分蝕刻特徵部之後。如在圖2A的討論中所述,沉積操作可與蝕刻操作一起循環進行以當特徵部係較深地蝕刻進入介電材料時形成額外的側壁保護。在一些情況下,保護層的沉積始於特徵部係被蝕刻至其最終深度的至少1/3之時或之後。在一些實施例中,保護層的沉積始於一旦特徵部達到至少約2、至少約5、至少約10、至少約15、至少約20、或至少約30的深寬比。在這些或其他的情況下,沉積可始於特徵部達到約4、約10、約15、約20、約30、約40、或約50的深寬比之前。在一些實施例中,沉積始於特徵部係至少約1 µm深、或至少約1.5 µm深之後(例如:在VNAND的實施例中,其中最終特徵部深度係3-4 µm)。在其他的實施例中,沉積始於特徵部係至少約600 nm深、或至少約800 nm深之後(例如:在DRAM的實施例中,其中最終特徵部深度係1.5-2 µm深)。用於起始保護層之沉積的最佳時間係緊接在若不進行側壁將變得被過度蝕刻而形成弓形部之前。此事件發生的確切時間取決於被蝕刻之特徵部的形狀、被蝕刻的材料、用以蝕刻及沉積保護層的化學品、及用以蝕刻及沉積相關材料的製程條件。
在沉積製程期間形成的保護層可具有各種組成物。如之前所述,保護層應深穿進入蝕刻的特徵部,且應相對地對用以蝕刻特徵部的蝕刻化學品具耐受性。在一些情況下,保護層係陶瓷材料或有機聚合物。示例無機材料可包含但不限於含硼材料(諸如硼氧化物(Bx
Oy
)及硼氮化物(Bx
Ny
)的化學計量或非化學計量製劑。其他例子包括含矽材料(諸如矽氧化物(Six
Oy
)、矽氮化物(Six
Ny
)、矽碳化物(Six
Cy
)、及非晶形矽(Si)的化學計量或非化學計量製劑。保護層的材料亦可包含某些額外的元素。在一些情況下,保護層包含矽、氧、氮、碳、氫、及硫的其中兩者以上。在一些情況下,保護層包含此等元素的其中三者以上。在一些情況下,示例有機材料可包含聚烯烴,例如聚氟烯烴。一個特殊的例子係聚四氟乙烯。用於形成一些聚氟烯烴的前驅物片段係CF2
(在某些情況下,其可來自六氟環氧丙烷(HFPO)),其具有非常低的黏附係數且係因而擅於深穿進入蝕刻的特徵部。其他例子可包括硼碳化物的化學計量及非化學計量製劑。在進一步的實施例中,在沉積製程期間形成的保護層可為金屬氧化物、金屬氮化物、或金屬碳化物。
在保護層包括硼的情況下,含硼反應物可加以使用。示例含硼反應物包括但不限於硼酸三異丙酯([(CH3
)2
CHO]3
B)、三甲基硼-d9
(B(CD3
)3
)、三苯硼烷((C6
H5
)3
B)、及三(五氟苯基)硼烷((C6
F5
)3
B)。其他含硼反應物的例子包括三氯化硼(BCl3
)、硼烷(BH3
)、二硼烷(B2
H6
)、三氟化硼(BF3
)、及硼酸三甲酯(B(OCH3
)3
)。在一特殊的例子中,含硼反應物係選自由B2
H6
、BCl3
、BF3
、及其組合組成的群組。循環的ALD或類ALD沉積反應可沉積含硼保護層。或者,非循環的製程(諸如主體CVD沉積)可沉積含硼保護層。
在保護層包括矽的情況下,含矽反應物可加以使用。含矽反應物可為例如矽烷、鹵矽烷或胺基矽烷。矽烷包含氫及/或碳基團,但不包含鹵素。矽烷的例子為矽烷(SiH4
)、二矽烷(Si2
H6
)、及有機矽烷(諸如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等。鹵矽烷包含至少一鹵素基團,及可或可不包含氫及/或碳基團。鹵矽烷的例子為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其氟矽烷)可形成可蝕刻矽材料的反應性鹵化物物種,但在此處說明的一些實施例中,當點燃電漿時含矽反應物係不存在。具體的氯矽烷為四氯矽烷(SiCl4
)、三氯矽烷(HSiCl3
)、二氯矽烷(H2
SiCl2
)、一氯矽烷(ClSiH3
)、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。一個具體的溴矽烷為SiBr4
。胺基矽烷包括與矽原子鍵結之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷的例子係一、二、三、及四胺基矽烷(分別為H3
Si(NH2
)4
、H2
Si(NH2
)2
、HSi(NH2
)3
及Si(NH2
)4
),以及取代的一、二、三、及四胺基矽烷,例如:叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2
(NHC(CH3
)3
)2
,BTBAS)、叔丁基矽基胺甲酸酯(tert-butyl silylcarbamate)、SiH(CH3
)-(N(CH3
)2
)2
、SiHCl-(N(CH3
)2
)2
、(Si(CH3
)2
NH)3
等。胺基矽烷之進一步的例子為三矽基胺(N(SiH3
)3
)。在一個特殊的例子中,含矽反應物係選自由SiCl4
、SiH4
、SiF4
、SiBr4
、及其組合組成的群組。循環的ALD或類ALD沉積反應可沉積含矽保護層。或者,非循環製程(諸如主體CVD沉積)可沉積含矽保護層。在某些實施例中,含矽前驅物係與氧化劑(諸如一氧化二氮及/或分子氧)反應,以產生氧化矽保護性塗層。在一些其他情況下,含矽前驅物可與相對弱的氧化劑反應,如此處進一步描述。
在保護性膜包括氮(例如:氮化矽、氮氧化矽、或氮化硼)的情況下,含氮反應物可加以使用。含氮反應物包含至少一個氮,例如:氮、氨、聯胺、胺類(例如帶有碳的胺類),諸如:甲基胺、二甲基胺、乙基胺、異丙基胺、叔丁基胺、二叔丁基胺、環丙基胺、二級丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二叔丁基聯胺,以及含芳香族的胺類(諸如苯胺、吡啶、及苯甲胺)。胺類可為一級、二級、三級、或四級(例如:四烷基銨化合物)。含氮反應物可包含除了氮以外的雜原子,例如:羥胺、叔丁氧羰基胺、及N-叔丁基羥基胺係含氮反應物。另一個例子係一氧化二碳。
在保護性膜包括氧(例如氧化矽、氧化硼、或金屬氧化物)的情況下,含氧反應物可加以使用。含氧反應物的例子包含但不限於氧、臭氧、一氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、氧化硫、二氧化硫、含氧烴(Cx
Hy
Oz
)、水、其混合物等。所揭示的前驅物係非意圖為限定性的。如此處所述,在諸如那些基板包含對氧化敏感之曝露的層(例如非晶形碳)的某些實施方式中,相對溫和的含氧反應物可加以選擇以將氧化相關的損傷程度最小化。在一些此等情況下,含氧反應物可選自由羰基硫(COS)、一氧化碳(CO)、二氧化硫(SO2
)、二氧化碳(CO2
)、Nx
Oy
(例如:一氧化氮(NO)、一氧化二氮(N2
O)、二氧化氮(NO2
)、三氧化二氮(N2
O3
)、四氧化二氮(N2
O4
)、及/或五氧化二氮(N2
O5
))、水(H2
O)、甲醛(H2
CO)、及其組合組成的群組。
若干不同的含碳反應物可加以使用,特別是在保護性膜包含碳的情況下。含碳反應物的例子包含但不限於COS、CS2
、CH4
、C2
H4
、C2
H6
、其他碳氫化合物、及此處列出的其他含碳反應物。在本章節中列出的含碳反應物係預期特別適合用於基板包含曝露的氧化敏感層(例如:曝露的碳遮罩層)之實施例。使用此等反應物製備的膜在各種情況下亦可能包含氫。
各種含硫反應物可加以使用,特別是在保護性膜包含硫的情況下。示例含硫反應物包含COS、SO、SO2
、CS2
、H2
S、含硫碳氫化合物、及其組合。
當保護性塗層包含有機聚合物時,可使用低黏附係數的前驅物。此類前驅物的例子包含產生CF2
片段的前驅物。
亦可使用此技術領域具有通常知識者所熟知的其他反應物。例如:在保護性膜包括金屬的情況下,含金屬反應物可加以使用,且在保護性膜包括碳的情況下,含碳反應物可加以使用。
反應物組合之幾個特殊的例子將加以提供,儘管這些例子係非意圖為限定性的。在一例子中,含硼的前驅物膜係藉由將含硼反應物(諸如B2
H6
、BCl3
或BF3
)吸附在基板表面上而加以形成。前驅物膜係藉由曝露於氧化或氮化的電漿(例如:從O2
、N2
、NH3
、N2
O、H2
、及其組合產生的電漿)而轉變成保護性膜。
在一特殊的例子中,BCl3
係被吸附以形成含硼的前驅物層,且接著電漿係從N2
及H2
的組合加以產生,其驅動氮化硼保護性膜的形成。該反應可經由循環的製程(諸如ALD)而發生。在相似的例子中,反應可經由連續的製程(諸如CVD)而發生,其中當基板係曝露於電漿時,BCl3
、N2
及H2
係全部同時供應。在氮化硼膜的形成之後,基板可進一步加以蝕刻。蝕刻化學品可包含氧(除了其他蝕刻化學品之外,例如:諸如C4
F6
及/或C4
F8
的氟碳化物),其可與氮化硼膜反應以形成氧化硼。氧化硼係特別耐受基於氟碳化物的蝕刻化學品,從而提供防止過度蝕刻側壁的良好保護。
在另一例子中,含矽物種(例如SiCl4
、SiH4
、SiF4
、SiBr4
等)係吸附在基板的表面之上以形成含矽前驅物膜。該含矽前驅物膜可藉由將其曝露於從O2
、N2
、NH3
、N2
O、H2
、及其組合產生的電漿而轉變為氧化矽或氮化矽。若被蝕刻的介電材料包含氧化矽,則其可較佳形成如氮化矽的保護層(反之亦然)。
在另一例子中,含矽物種可結合共反應物加以使用,該共反應物對敏感遮罩層不造成實質損壞。在此背景下有用的特定反應物係在下面關於「在敏感基板上沉積」的章節中進一步加以討論。在各種實施例中,此等反應物在沉積期間幾乎沒有提供氧自由基。
如上所述,用以形成保護層的前驅物及其片段可具有相對低的黏附係數,從而使前驅物能夠深穿進入蝕刻的特徵部。在一些情況下,前驅物的黏附係數(在相關的沉積條件下)可為約0.05或更小,例如:約0.001或更小。相似地,用以形成保護層的前驅物及其片段可具有相對低的重組率。具有低重組率的物種係較佳能夠深穿進入特徵部且同時保持反應性。損耗係數說明反應物物種由於在表面上的重組及吸附兩者的損耗。在若干實施例中,損耗係數係相對低,使得反應物物種係能夠存活且深穿進入高深寬比的特徵部且同時保持反應性。此允許沿部分蝕刻之特徵部的長度/深度之相當大部分沉積的保護性塗層。在某些情況下,該塗層可沿特徵部的整個長度加以沉積。在各種情況下,損耗係數可小於約0.005。
反應機制可為循環的(例如ALD或類ALD製程)或連續的(例如CVD)。任何導致保護性側壁膜以高深寬比形成的方法可加以使用。如上所述,循環的ALD及類ALD反應由於其保形性及吸附限制的性質可特別地適合此目的。然而,其他類型的反應可加以使用,只要膜係能夠以高深寬比形成以保護在蝕刻的特徵部中深處的側壁。用於ALD、類ALD、及CVD反應的基本操作係關於圖2A的操作205及關於圖2B的方法250描述於上。
簡言之,電漿輔助的ALD反應可包含循環地執行下列操作:(a)第一反應物的遞送操作以形成吸附的前驅物層,(b)選用性的沖洗操作以從反應腔室移除第一反應物,(c)第二反應物的遞送,通常以電漿的形式或在電漿的存在下加以供應,(d)選用性的沖洗操作以移除過量的反應物,及(e)重複(a)-(d)直到膜到達期望的厚度。相似地,各種類ALD反應可包含循環地執行下列操作:(a)流動第一反應物,自該第一反應物產生電漿,且將基板曝露於該電漿;(b)流動惰性氣體且同時維持電漿,停止該第一反應物的流動,及從而自該反應腔室掃除該第一反應物;(c)流動第二反應物且同時維持電漿,從而驅動在該第一及第二反應物之間的反應;(d)熄滅該電漿及沖洗該反應腔室;及(e)重複(a)-(d)直到膜達到期望的厚度。在一些情況下,ALD製程可省略在(b)及/或(d)中的一或多個沖洗操作。
因為反應物係在不同的時間加以提供且反應係表面反應,所以該膜可為某種程度的吸附限制。此造成保形的膜之形成,該保形的膜可襯裡整個凹入的特徵部。相對地,電漿輔助的CVD反應包含當基板係曝露於電漿時連續地將反應物遞送至基板的操作。CVD反應係氣相反應,其在基板表面上沉積反應產物。
在沉積反應藉由ALD方法加以發生的某些實施例中,及/或在沉積反應藉由類ALD方法加以發生的某些實施例中,下列反應條件可加以使用。基板溫度可維持在約0-500o
C之間,例如在約20-200o
C之間。壓力可維持低至約100或200毫托及高至約1、2、或3托。離子能量可為相對地低,例如低於約1 kV。用以產生電漿的RF頻率可為約60 MHz,雖然亦可使用其他頻率。RF功率可為幾百瓦,例如約500 W或更少(例如:約7100 W/m2
或更少)、約400 W或更少(例如:約7100 W/m2
或更少)、或約300 W或更少(例如:約7100 W/m2
或更少)。此處功率位準係假設功率係遞送至單一300 mm的晶圓而加以表現,功率基於額外或不同尺寸基板的基板面積線性縮放。在每個ALD循環期間,吸附的反應物可以在約50-1000 sccm之間的流率加以遞送在約0.5-20秒之間的持續時間。第一沖洗可具有在約0-60秒之間的持續時間。基板可曝露於電漿在約0.5-120秒之間的持續時間,其中反應物(不包括與反應物一起提供的任何惰性氣體)的流率在約50-1000 sccm之間。在電漿曝露期間,氫的流率可在約0-1000 sccm之間。RF後沖洗可具有在約0-60秒之間的持續時間。
下列反應條件可在某些實施例中加以使用,其中沉積反應藉由CVD方法發生。基板溫度可維持在約0-500o
C之間,例如在約20-200o
C之間。壓力可維持在約100-3000 mT之間。用以產生電漿的RF頻率可為2-60 MHz。用以產生電漿的RF功率可在約50-2000 W之間(例如:在約700-28000 W/m2
之間),例如在約100-800 W之間(例如:在約1400-11300 W/m2
之間)。反應物遞送及電漿曝露的持續時間可在約1-180秒之間。流率取決於特殊的反應物。在一例子中,BCl3
、N2
及H2
係加以提供,其中BCl3
以在約50-1000 sccm之間的流率加以提供,N2
以在約50-1000 sccm之間的流率加以提供,及H2
以在約50-1000 sccm之間的流率加以提供。
下列反應條件在沉積反應藉由類ALD方法(諸如圖2B顯示的方法250)發生的某些實施例中可加以使用。這些條件可能特別適合於反應係使用四氯化矽為前驅物/第一反應物、及羰基硫(COS)為氧化劑/第二反應物的實施例。基板溫度可維持在約20-200o
C之間,例如在約40-80o
C之間。壓力可維持在約5-200毫托之間,例如在約10-50毫托之間。第一反應物可在操作251中以不計入任何載體氣體在約5-100 sccm之間、或在約20-60 sccm之間的速率加以提供。在操作251提供的載體氣體可以在約40-400 sccm之間的速率加以提供。電漿可自第一反應物及載體氣體產生。電漿可在操作251中使用低頻(LF)及高頻(HF)RF頻率兩者加以產生。在某些情況下,LF頻率可以在約0-300 W之間(例如:在約0-4,250 W/m2
之間)、或在約100-250 W之間(例如:在約1,400-4,250 W/m2
之間)的功率位準加以提供。如此處其他地方所使用,功率位準係假設正處理單一300 mm的基板而呈現,且功率位準可基於基板面積加以線性縮放。在這些或其他情況下,HF頻率可以在約0-300 W之間(例如:在約0-4,250 W/m2
之間)、或在約50-200 W之間(例如:在約700-2,800 W/m2
之間)的功率位準加以提供。在各循環的操作251中第一反應物流動的持續時間可在約2-15秒之間。在操作253中提供的沖洗氣體可以在約40-400 sccm之間的速率流動,在各循環期間持續在約5-30秒之間。如上所述,此沖洗在一些情況下可加以省略。電漿在操作253中可使用HF RF頻率及選用性地使用LF RF頻率加以產生或維持。在一些情況下,電漿在操作253中可僅使用HF RF頻率加以產生或維持。在各種實施例中,HF RF頻率可在操作253期間以在約0-600 W之間(例如:在約0-8,500 W/m2
之間)的功率位準加以提供,例如在約100-300 W之間(例如:在約1,400-4,250 W/m2
之間)。LF RF頻率(若在操作253期間加以使用)可以在約0-150 W之間(例如:在約0-2,150 W/m2
之間)、或在約20-70 W之間(例如:在約280-1,000 W/m2
之間)的功率位準加以提供。接著,在操作255,第二反應物可以不計入任何載體氣體在約10-100 sccm之間(例如:在約20-50 sccm之間)的速率加以提供。載體氣體可以在約40-100 sccm之間的速率加以提供。第二反應物可在具有介於約5-30秒之間(例如:在約7-20秒之間)之持續時間的期間加以提供。電漿可在操作255期間使用HF RF頻率及選用性地使用LF RF頻率加以產生或維持。HF RF在操作255期間可以在約50-500 W之間(例如:在約700-7,100 W/m2
之間)、例如在約200-300 W之間(例如:在約2,800-4,250 W/m2
之間)的功率位準加以提供。LF RF功率(若被提供)在某些情況下可以在約0-200 W(0-2,800 W/m2
)之間的功率位準加以提供,例如在約0-100 W(0-1,400 W/m2
)之間、或在約10-40 W(140-560 W/m2
)之間的功率位準。在某些情況下,在操作255期間提供的LF RF功率可小於約200 W(例如:小於約2,800 W/m2
)、小於約100 W(例如:小於約1,400 W/m2
、或小於約40 W(例如:小於約560 W/m2
))。如此處所述,在各種實施例中,電漿在至少操作255期間及選用性地在操作253期間係僅使用HF RF頻率加以維持。電漿在操作215、253及255期間可或可不連續地加以維持。在一些情況下,方法250可重複直到保護層達到在約1-10 nm之間(例如:在約3-5 nm之間)的厚度。
在某些實施例中,電漿可為電容耦合電漿。在其他一些實施例中,電漿可為感應耦合電漿、遠程產生的電漿、微波電漿等。在一些實現方式中,電漿如上所述係以一或多個頻率產生的電容耦合電漿。用以產生電漿的一個以上頻率可包含低頻(LF)分量及/或高頻(HF)分量。在一些情況下,只有HF頻率係在如此處描述的特殊處理步驟期間加以使用。
ALD、類ALD、及CVD反應條件係作為指引加以提供而非意圖為限定性的。
在一些實施例中,方法250或其他沉積方法可在具有曝露之氧化敏感層之基板的背景下加以執行。在一些此等情況下,反應參數可如下描述進一步加以限制,以避免氧化或蝕刻一氧化敏感遮罩層(或其他氧化敏感層)。特別是,一些層(諸如基於碳的遮罩)係由於揮發性產物的形成容易發生導致層之蝕刻的氧化反應。在垂直及/或水平方向上遮罩的移除係沉積步驟之不期望的潛在副效應。 D. 在敏感基板上沉積
在某些實施例中,保護層(例如:圖3C及3D中的第二側壁塗層310)可藉由設計以避免強烈氧化條件的製程加以沉積。此沉積製程在遮罩層306對損壞(例如:強氧化條件產生的損壞)敏感的實施例中可能特別有用。如上所述,氧化反應可導致蝕刻/損壞該遮罩層。對氧化損壞敏感的示例遮罩材料包含主要為碳或聚合物的材料。經常用作遮罩層之氧化敏感材料的特定例子包含各種光阻材料、旋塗碳、及非晶形碳。這些遮罩材料係經常用於某些應用,諸如形成非揮發性的RAM結構。
圖2C呈現部分製造的半導體裝置279之橫剖面圖,該部分製造的半導體裝置279具有蝕刻進一材料堆疊281的圓筒狀物280。該堆疊281可包含如此處所述之交替的材料層。圖案化的遮罩層282覆蓋堆疊281。在此例子中,圖案化的遮罩層282係由非晶形碳製成。圖2C的左側部分顯示在執行初始蝕刻操作之後但在沉積保護性側壁膜285之前,部分製造的半導體裝置279。該裝置亦可包含由於蝕刻製程導致之側壁上的塗層(未顯示)(類似於圖3A-3D的第一側壁塗層304)。圖2C的右側部分顯示在沉積反應之後部分製造的半導體裝置279,該沉積反應包含曝露於O2
電漿以沉積保護性側壁膜285。由於遮罩層282係非晶形碳,所以曝露於O2
電漿嚴重地劣化/蝕刻遮罩層282。該劣化在基板係使用LF RF頻率強烈偏壓的情況下可能更劇烈。
在一些情況下,遮罩層282在包含曝露於O2
電漿(或其他強氧化劑)的沉積製程期間可被完全移除。所得的裝置將無法承受額外的蝕刻操作(以更深地蝕刻圓筒狀物280),因為沒有足夠的遮罩以保護在圓筒狀物280之間的堆疊281。遮罩材料亦可被濺射進圓筒狀物280,如圖2C的右側部分所示。在濺射進圓筒狀物係顯著的一些情況下,其可能導致圓筒狀物變得阻塞,從而妨礙圓筒狀物之蝕刻的完成。此阻塞係不可接受的,即使其只發生在圓筒狀物之一個非常小的比例(例如:在許多情況下,百萬分之一的未完全蝕刻之圓筒狀物係不能被接受的)。
切面化(faceting)在某些情況下亦可為一個問題。如圖2D所示,當在特定條件下加以處理時,在部分製造的半導體裝置279上之堆疊281上方的圖案化的遮罩層282可能切面化。此等情況可能關於在基板上氧化敏感遮罩層282結合相對強的LF RF偏壓之使用。在此等情況下的離子轟擊可導致遮罩層282的濺射。濺射的遮罩材料可在基板上再沉積,如圖2D所示。
氧化敏感遮罩材料的使用可能限制對於某些反應參數的選擇。例如:氧化敏感遮罩材料可能限制反應物的選擇。在氧化敏感材料(諸如碳)係加以使用的情況下,氧(O2
)用為反應物可為有害的。氧自由基(其係當O2
(及/或某些其他含氧反應物)係曝露於電漿時加以產生)相對於碳遮罩係極度反應性的。氧自由基在各種條件下可損壞且甚至破壞碳遮罩,如圖2C所示。該損壞可導因於存在於腔室中的遮罩及強氧化劑(例如氧自由基)之間的氧化反應,其造成遮罩層垂直地及水平地被蝕刻掉。此損壞/蝕刻可能使遮罩無法執行其預定功能(保護不應被蝕刻的區域且同時曝露應被蝕刻的區域)。此損壞可與一較低嚴重性的遮罩腐蝕問題相比較。在遮罩係僅腐蝕的情況下,腐蝕以相對均勻的速率從遮罩的頂部向下發生。遮罩中的圖案係加以保持。遮罩的腐蝕一般應加以最小化,但一些程度的腐蝕可藉由選擇合適的遮罩厚度加以容忍。相對地,在遮罩係損壞或破壞的情況下,損壞可從頂部向下垂直地及從側面橫向地發生,且遮罩中的圖案係由於超出目標CD之孔洞的放大及/或遮罩層的變形而實質上被毀壞。
沉積反應可藉由此處揭示的任何方法發生,例如:ALD方法、類ALD方法、及CVD方法。在一些實施例中,沉積反應根據圖2B的方法250發生。其他方法亦可加以使用。
在某些實施例中,第一反應物可為含矽反應物。第一反應物可為具有使得其流量可在氣態加以控制之特性的反應物,其中在氣態(而非液態)中將反應物從儲存容器遞送至處理系統(例如:至質流控制器)。在一特定的實施例中,第一反應物可為四氯化矽(SiCl4
),雖然其他反應物亦可加以使用,如上所列。
在一些實施例中,第二反應物可為氧化反應物或氮化反應物。在各種實施方式中,第二反應物可包含由氧、氮、碳、及硫組成的群組中之一或多種元素。在若干情況下,第二反應物係含硫反應物。在一些此等實施例中,第二反應物可為當曝露於電漿時產生SO∙自由基的反應物。SO∙自由基在結合鹵素(例如:氯等)自生長的膜移除鹵素可能特別地有效。第二反應物在一些情況下可排除O2
及其他強氧化劑,特別是在氧自由基將危害基板上的材料(例如:曝露的碳遮罩)之情況下。在使用氧化敏感遮罩層的某些情況下,可能避免的其他強氧化劑之例子包含臭氧及H2
O。在類似的情況下,第二反應物可實質上排除或限制在第二反應物的遞送期間提供之O2
及其他強氧化劑的比例。例如:此等反應物可僅以偶發/可忽略的量存在。在另一例子中,第二反應物可與小部分的O2
(或其他強氧化劑)一起提供,其中O2
(或其他強氧化劑)的量係定制成避免損壞遮罩超出可接受的程度。
在一些實施例中,第二反應物可選自由羰基硫(COS)、一氧化碳(CO)、二氧化硫(SO2
)、二氧化碳(CO2
)、二硫化碳(CS2
)、Nx
Oy
(例如:一氧化氮(NO)、一氧化二氮(N2
O)、二氧化氮(NO2
)、三氧化二氮(N2
O3
)、四氧化二氮(N2
O4
)、及/或五氧化二氮(N2
O5
))、水(H2
O)、甲醛(H2
CO)、及其組合組成的群組。第一及第二反應物的其中一者或兩者可以在稀釋劑氣體(例如:氬、氦、氖、氪、氙、氮、氫、其組合等)中加以提供。
一些實施例在循環製程中採用羰基硫作為氧化劑。其可與任何前驅物(諸如此處描述的含矽及金屬的前驅物)一起使用。在一些情況下,前驅物係鹵矽烷,諸如氯矽烷。在一例子中,保護層係使用四鹵化矽(例如:四氯化矽)作為前驅物及羰基硫作為氧化劑加以沉積。
在使用氧化敏感遮罩的某些實施例中,反應物及處理條件可加以選擇使得氧自由基(O∙)的濃度保持低於某密度。為了限制氧自由基的密度,第二反應物在保護性膜的沉積期間可加以控制,使得第二反應物之不超過一限制的比例係O2
。在一些實施方式中,第二反應物可為氣體混合物,其包含不超過約30%的O2
(以體積流量加以測量),例如:不超過約25%、不超過約20%、不超過約10%、不超過約5%、或不超過約1%。在一些其他情況下,這些相同的限制可能適用,但關於在第二反應物中O2
的比例,不包含任何與第二反應物一起提供的惰性氣體(例如:第二反應物可為高達約30%的O2
,其餘70%係COS,且與任何量的惰性氣體一起加以提供)。此等限制亦可應用於此處提及的其他強氧化劑。如果多於一種此強氧化劑係加以提供,則這些限制可能累積地應用於所有其他強氧化劑。
在各種實施方式中,形成的膜係含矽膜,諸如SiOx
、SiNx
、SiCx
、或非晶形矽。某些其他元素可能存在於膜中,例如:硫。
在使用氧化敏感遮罩的情況下,可加以限制的其他反應參數係在沉積期間(特別是在曝露於含氧電漿期間)施加至基板的偏壓。此等限制可能與偏壓頻率及/或以該頻率提供之功率有關。偏壓意指在基板及電漿之間位能的差,且其控制轟擊基板之離子的能量。如下面進一步解釋,電漿可藉由以一或多種頻率施加RF功率加以產生。在各種實施例中,在RF功率的低頻(LF)分量係不存在(0 W)或相對低的情況下(例如:每300 mm的基板小於約200 W(小於約2,800 W/m2
)、或小於約100 W(小於約1,400 W/m2
)之LF RF功率),敏感遮罩材料可較佳地保存。當基板係曝露於氧化條件(例如:在圖2B的操作255期間)時,LF RF功率上的此等限制可能特別有關,雖然此等限制在其他時間點期間(例如:在圖2B的操作251及/或253期間)亦可加以執行。在此處揭示的各種情況下,保護性膜可覆蓋遮罩層,從而保護遮罩層及幫助保存遮罩層。LF偏壓的使用,特別是在當基板係曝露於含氧電漿時的高功率位準下,可能防止保護層在遮罩材料上形成及提高劣化遮罩的風險。
圖2E呈現一圖,顯示在沉積製程(當基板係曝露於含氧電漿時)期間,較多量(瓦)的LF RF功率可導致更大量的遮罩損失。在此實例中的遮罩係碳遮罩,其在沉積製程發生之前具有約440 nm的厚度。沉積製程包含形成氧化矽層以襯裡由遮罩層定義之部分蝕刻的圓筒狀物之側壁。氧化矽係使用顯示於圖2B的方法250加以沉積。在氧化矽的沉積時間,基板係曝露於使用COS及Ar稀釋的O2
電漿,且該電漿使用(a)於約60 MHz的HF RF分量,每300 mm的基板以約300 W提供,及(b)於約2 MHz的LF RF分量,以變化的功率位準加以提供。在氧化步驟(操作255)期間用以產生電漿的氣體流係以約30 COS/50 O2
、及50 Ar的體積比加以提供。第一反應物係SiCl4
且第二反應物包含O2
及COS。如圖2E所示,在曝露於含氧電漿期間2 MHz之較高量的LF R功率導致在沉積期間更大量的遮罩損失。在沒有LF RF功率係在含氧電漿曝露期間加以使用的情況下,遮罩損失係極小的。
在若干實施例中,當基板係曝露於第二反應物(例如:在曝露於含氧電漿期間)時,電漿係僅使用高頻(HF)RF功率加以產生。更具體而言,電漿可僅使用以高於約2 MHz、或高於約25 MHz之頻率提供的RF功率加以產生。LF RF功率已顯示劣化某些遮罩材料,如圖2E所示。在一特定的實施例中,HF RF功率係以約60 MHz的頻率加以提供,雖然其他的HF RF頻率亦可加以使用。
此處關於危害的LF RF偏壓之大部分的討論關於在曝露於含氧電漿期間施加至基板的偏壓。在其他時間對基板施加LF RF偏壓可為較少危害性的。例如:在第一反應物的遞送期間(例如:在圖2B的操作253期間)及/或在遞送惰性氣體以沖洗第一反應物的腔室(例如:在圖2B的操作255期間)期間,基板可以LF及/或HF RF頻率加以偏壓。在一特定的實施例中,基板可在曝露於第一反應物的期間使用LF及HF RF頻率兩者加以偏壓,且在曝露於惰性氣體期間(以沖洗第一反應物)、及在曝露於第二反應物期間僅使用HF RF頻率加以偏壓。
在一例子中,特徵部可使用圖2A及2B中顯示的方法蝕刻進300 mm直徑的基板,該基板具有氧化敏感遮罩。在此例中列出的數值係非意圖為限制性的。在操作201/203中部分蝕刻特徵部之後,基於氧化矽材料的保護性塗層係使用方法250在操作205中加以沉積。為了沉積保護性塗層,第一反應物在操作251中係流進反應腔室。在此例子中,第一反應物係SiCl4
(或另一含矽反應物),其係在作為載體氣體的氬中加以提供。SiCl4
可以約20 sccm的速率加以提供且氬可以約100 sccm的速率加以提供。
電漿係藉由在基板上施加RF偏壓自第一反應物加以產生。在此例子中,電漿初始係使用以約10 W(約140 W/m2
)提供的HF頻率(例如:約60 MHz)及以約100 W(約1,400 W/m2
)提供的LF頻率(例如:約2 MHz)加以產生。在一些時間之後,在特定例子中約3秒,第一反應物的流動停止,且惰性氣體在操作253中係流進腔室以自腔室沖洗第一反應物。惰性氣體可以約100 sccm的速率流動約10秒的持續時間。在此步驟期間,偏壓的RF分量(例如:60 MHz)可加以增加(例如:至約50 W(約700 W/m2
),且偏壓的LF分量可加以停止。在此例子中該沖洗氣體係氬,其可以約100 sccm的速率提供約10秒的持續時間。電漿在從流動第一反應物至流動惰性氣體的此過渡期間係連續地加以維持。惰性氣體的流可始於在第一反應物的流動停止之前。在這個及其他的例子中,用以自反應腔室沖洗第一反應物的惰性氣體係與用以提供第一反應物的載體氣體相同。就此而言,操作253可簡單地包含停止第一反應物的流動,且選用性地增加惰性氣體的流(在此例子中,惰性氣體的流維持固定)。正如所解釋,此操作亦可包含改變施加至基板的偏壓。
在第一反應物係在操作253中自反應腔室加以沖洗之後,第二反應物在操作255中可被引至腔室。電漿在從流動惰性氣體至流動第二反應物的此過渡期間可連續地維持。在此例子中,第二反應物係COS,其以約30 sccm的速率流動。第二反應物係在載體氣體中加以提供,在此例子中該載體氣體係氬,其以約100 sccm的速率流動。當第二反應物係流進反應腔室時,施加至基板的偏壓可加以增加。在此例子中,在操作255期間施加至基板的偏壓包含以約300 W(約4,250 W/m2
)提供的HF分量(例如:60 MHz),且沒有LF分量。在一些時間之後,在此例子中約10秒,第二反應物的流動停止,電漿係加以熄滅,且反應腔室係使用惰性氣體加以沖洗。方法250可接著重複直到保護性膜達到其最終厚度。在達到最終厚度之後,圖2A中顯示的方法可於操作211繼續。操作211-219可接著重複直到完全蝕刻該特徵部。
圖2F呈現一圖,顯示根據上述方法250在第一反應物係SiCl4
及第二反應物係COS(在Ar中提供)的情況下形成之保護性側壁膜的成分。在圖2F中的結果顯示該膜包含約60%的氧、約28%的矽、約6%的硫、約5%的碳、約0.4%的氟、及約0.4%的氯。這些數值係以原子百分比加以提供。在各種實施例中,根據此處方法形成的膜具有類似於圖2F中顯示的成分。例如:該膜可具有在約60-65%之間的氧、在約25%-30%之間的矽、在約3-5%之間的碳、在約0.1-0.5%之間的氟、在約4-6%之間的硫及在約0.1-0.5%之間的氯之成分。在一些其他情況下,膜可具有落在一或多個但非全部這些範圍之內的成分。在又另一些的情況下,形成的膜可具有不落在任何這些範圍之內的成分。
圖2F中的結果顯示主要的Si 2p、S 2p及O 1s的峰,其可顯示高度氧化的矽之形成。此結果亦顯示來自C 1s及S 2p峰的中等強度訊號,其可能暗示S-C及/或Si-C鍵的形成。Cl 2p訊號係在雜訊位準之內,其支持含硫反應物有效地幫助從膜移除氯的假設。與藉由第二反應物係O2
的製程形成的膜(結果未顯示)相比,在圖2F中分析的膜顯示較低的氯含量。F 1s訊號亦係在雜訊位準之內,且可能顯示來自腔室本身對膜的一些貢獻。
圖2G呈現一圖,顯示在保護性側壁膜係根據上述方法250加以形成的情況下(其中第一反應物係SiCl4
及第二反應物係COS(在Ar中提供)),在部分蝕刻的特徵部之不同部分達到的沉積厚度。在此情況下特徵部係部分蝕刻的圓筒狀物。「頸」意指圓筒狀物接近頂端的部分,其係最窄的。「遮罩btm」意指遮罩層的底部,其接觸SiN的底層。「SiN btm」意指SiN層的底部,其中SiN接觸交替之材料的層之堆疊。「MidBow」意指臨界尺寸係最大之處的側壁部分,在此實例中接近側壁的中間。在此例子中,沉積厚度的範圍在約2-5 nm之間。此厚度關於在基板的每一側上沉積的膜之厚度。在此例子中部分蝕刻的特徵部具有約20的深寬比。相似的實驗顯示以甚至更高深寬比(例如在一些情況下高達約30)沉積之相當保形的膜。圖2G顯示即使在高深寬比的情況下,保護性側壁膜係沿圓筒狀物的整個長度成功地加以沉積。
在某些實施方式中,例如在藉由循環的製程(例如:ALD方法或類ALD方法)進行沉積的情況下,膜的保形性可能特別地好。當於此處使用,保形性係被決定為在側壁上沉積的膜厚度中的變化。例如:膜厚度可在特徵部(例如:約20的深寬比)之內的特定參考點中加以決定,且在其他地點的膜厚度可基於此厚度加以正規化。在各種實施例中,在特徵部之內最大的沉積厚度係小於約400%之在參考深寬比(例如:約20的AR)處沉積的厚度。
在另一例子中,保護性膜可為基於矽的膜,其包含矽、碳、及氫的一些組合。第一反應物可為任何此處列出的含矽反應物,以SiCl4
作為一特殊的例子。第二反應物可選自由CS2
、CH4
、C2
H4
、C2
H6
、其他碳氫化合物、及其的組合組成的群組。用以沉積膜的反應可為ALD反應、如關於圖2B描述的類ALD反應、CVD反應、或另一類型的沉積反應。預期可使用此等化學品而實質上沒有劣化氧化敏感遮罩層。 V. 設備
此處描述的方法可藉由任何合適的設備或設備的組合加以執行。合適的設備包含用於實現製程操作的硬體,及具有用於根據本發明控制製程操作之指令的系統控制器。例如:在一些實施例中,硬體可包括包含在處理工具中的一或多個處理工作站。一個處理工作站可為蝕刻工作站,而另一個處理工作站可為沉積工作站。在另一實施例中,蝕刻及沉積發生在單一的工作站/腔室中。
圖4A-4C說明可調間隙電容耦合式限制RF電漿反應器400的實施例,該RF電漿反應器400可用於執行此處描述的蝕刻操作。如圖所示,真空腔室402包含腔室外罩404,該腔室外罩404圍繞容納下電極406的內部空間。在腔室402的上部分中,上電極408係與下電極406垂直隔開。上及下電極408、406的平坦表面係實質上平行且與電極之間的垂直方向正交。較佳是,上及下電極408、406呈圓形且相對於一垂直軸為共軸。上電極408的下表面面對下電極406的上表面。隔開之相向的該等電極的表面定義其間的可調間隙410。在操作期間,下電極406係由RF功率供應器(匹配器)420供應RF功率。RF功率係經由RF供應導管422、RF帶424及RF功率構件426供應至下電極406。接地屏蔽436可圍繞RF功率構件426以提供更均勻的RF場至下電極406。如共同擁有的美國專利第7,732,728號所說明,其全部內容於此藉由參照納入本案揭示內容,晶圓係經由晶圓埠482插入並在下電極406上的間隙410中加以支撐以進行處理,處理氣體係供應至間隙410並藉由RF功率激發成電漿態。上電極408可加以供電或接地。
在顯示於圖4A-4C的實施例中,下電極406係在下電極支撐板416上加以支撐。在下電極406及下電極支撐板416之間插入的絕緣環414將下電極406與支撐板416絕緣。
RF偏壓外罩430在RF偏壓外罩碗432上支撐下電極406。藉由RF偏壓外罩430之一臂434,碗432係經由在腔室壁板418中的開口連接至導管支撐板438。在一較佳的實施例中,RF偏壓外罩碗432及RF偏壓外罩臂434係整合形成為一個元件,然而,臂434及碗432亦可為被栓綁或連結在一起之兩個獨立的元件。
RF偏壓外罩臂434包含一或多個中空通路,用於在下電極406之背側上的空間將RF功率及設施(諸如氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制之電纜、電力監控或致動信號)從真空腔室402的外部傳送至真空腔室402的內部。RF供應導管422係與RF偏壓外罩臂434絕緣,RF偏壓外罩臂434提供一RF功率之返回路徑至RF功率供應器420。設施導管440提供設施元件的通道。該設施元件之進一步的細節係在美國專利第5,948,704號及第7,732,728號中加以描述,而為了說明之簡要在此將不顯示。間隙410係較佳地由限制環組件或覆緣(未顯示)加以圍繞,其細節可在共同擁有之公開的美國專利第7,740,736號中尋得,其藉由參照納入本案揭示內容。真空腔室402的內部係藉由經由真空入口480連接至真空幫浦而維持在低壓。
導管支撐板438係附接於一致動機構442。致動機構的細節係在共同擁有的美國專利第7,732,728號中加以說明,其於此納入本案揭示內容。致動機構442(諸如伺服機械馬達、步進馬達等)係附接於一垂直線性軸承444,例如藉由螺旋齒輪446(諸如滾珠螺桿及用於轉動滾珠螺桿的馬達)加以附接。在調節間隙410之大小之操作的期間,致動機構442沿著垂直線性軸承444移動。圖4A說明當致動機構442係在線性軸承444上的高位置時之配置,其導致一小間隙410a。圖4B說明當致動機構442係在線性軸承444上的中央位置時之配置。如圖所示,下電極406、RF偏壓外罩430、導管支撐板438、RF功率供應器420皆相對於腔室外罩404及上電極408向較低處移動,導致一中等大小的間隙410b。
圖4C說明當致動機構442係在線性軸承上之低位置時的大間隙410c。較佳是,在間隙調整期間,上及下電極408、406保持共軸,且上及下電極的相對表面在整個間隙保持平行。
例如,為了維持整個大直徑基板(諸如300 mm晶圓或平板顯示器)的均勻蝕刻,此實施例允許在CCP腔室402中之下及上電極406、408之間的間隙410在多步驟製程配方(BARC、HARC、及STRIP等)期間可加以調整。特別是,此腔室屬於一機械配置,其允許用以提供在下及上電極406、408之間之可調間隙所需的線性移動。
圖4A說明橫向偏斜伸縮囊450,該伸縮囊450在近端處密封至導管支撐板438且在遠端處密封至腔室壁板418之階梯凸緣428。階梯凸緣的內徑定義腔室壁板418中的開口412,RF偏壓外罩臂434通過該開口412。伸縮囊450的遠端係由夾圈452加以夾緊。
當允許RF偏壓外罩430、導管支撐板438及致動機構442的垂直移動時,橫向偏斜伸縮囊450提供一真空密封部。該RF偏壓外罩430、導管支撐板438及致動機構442可視為懸臂組件。較佳是,RF功率供應器420與懸臂組件一起移動,並可附接於導管支撐板438。圖4B顯示當懸臂組件係在中央位置時,伸縮囊450在中間位置。圖4C顯示當懸臂組件係在低位置時,伸縮囊450橫向偏斜。
曲徑軸封448在伸縮囊450及電漿處理腔室外罩404的內部之間提供粒子阻隔。固定屏蔽456係在腔室壁板418處不可移動地附接於腔室外罩404的內部內壁,以便提供曲徑溝槽460(開槽),在其中一可移動屏蔽板458垂直地移動以適應懸臂組件的垂直移動。可移動屏蔽板458之外部分保持在下電極406之所有垂直位置的開槽中。
在顯示的實施例中,曲徑軸封448包含固定屏蔽456,該固定屏蔽456在腔室壁板418中之開口412的周緣附接於腔室壁板418的內部表面,定義曲徑溝槽460。可移動屏蔽板458係加以附接並自RF偏壓外罩臂434放射狀延伸,其中臂434通過在腔室壁板418中的開口412。可移動屏蔽板458延伸進入曲徑溝槽460,且同時與固定屏蔽456間隔第一間隙,及與腔室壁板418的內部表面間隔第二間隙,而允許懸臂組件垂直地移動。曲徑軸封448阻擋自伸縮囊450剝落之粒子遷移進入真空腔室內部405,並阻擋來自處理氣體電漿的自由基遷移至伸縮囊450,於該處該等自由基可能形成隨後剝落之沉積物。
圖4A顯示當懸臂組件係在高位置(小間隙410a)時,可移動屏蔽板458在RF偏壓外罩臂434之上之曲徑溝槽460中的高位置。圖4C顯示當懸臂組件係在低位置(大間隙410c)時,可移動屏蔽板458在RF偏壓外罩臂434之上之曲徑溝槽460中的較低位置。圖4B顯示當懸臂組件係在中央位置(中等間隙410b)時,可移動屏蔽板458在曲徑溝槽460之內的中間或中央位置。雖然曲徑軸封448係顯示為相對於RF偏壓外罩臂434對稱,但在其他的實施例中曲徑軸封448可相對於RF偏壓臂434不對稱。
圖5提供描繪各種反應器元件的簡易方塊圖,該等各種反應器元件係配置用於實施此處描述的沉積方法。如圖所示,反應器500包含處理腔室524,該處理腔室524將反應器的其他元件包圍並用於容納由電容放電式系統產生的電漿,該電容放電式系統包含與接地的加熱器塊520結合運作的噴淋頭514。高頻(HF)射頻(RF)產生器504及低頻(LF)RF產生器502可連接至匹配網路506及噴淋頭514。由匹配網路506供應的功率及頻率可足以從供應至處理腔室524的處理氣體產生電漿。例如:匹配網路506可提供50 W至500 W的HFRF功率(例如:700至7100 W/m2
)。在一些例子中,匹配網路506可提供100 W至5000 W的HFRF功率(例如:1400至7100 W/m2
)及100 W至5000 W(例如:1400至7100 W/m2
)的LFRF功率。在典型的製程中,HFRF元件通常可在5 MHz至60 MHz之間,例如:13.56 MHz、約27 MHz、或在一些情況下約60 MHz。在其中有LF分量的操作中,LF分量可從約100 kHz至2 MHz,例如:430 kHz或在一些情況下約2 MHz。
在反應器之內,晶圓底座518可支撐基板516。該晶圓底座518可包含夾盤、叉、或升降銷(未顯示),以在沉積及/或電漿處理反應期間及之間夾持及傳送基板。該夾盤可為靜電夾盤、機械夾盤、或各種可用於工業上的使用及/或用於研究之其他類型的夾盤。
各種處理氣體可經由入口512加以引入。多個來源氣體管線510係連接至歧管508。該等氣體可或可不預先混和。適當的閥調節及質流控制機構可加以使用,以確保在製程的沉積及電漿處理階段期間正確的處理氣體係加以遞送。在化學前驅物係以液體形式遞送的情況下,可使用液體流控制機構。於到達沉積腔室之前在被加熱至高於以液體形式供應的化學前驅物之汽化點的歧管中的輸送期間,此等液體可接著加以汽化並與處理氣體混合。
處理氣體可經由出口522離開腔室524。真空幫浦540(例如:一或二階段的機械乾式幫浦及/或渦輪分子幫浦)可用以將處理氣體自處理腔室524汲取出來,並藉由使用閉迴路控制的流量限制裝置(諸如節流閥或擺閥)以維持處理腔室524之內的適當低壓。
如上所討論,此處討論之用於沉積的技術可在多工作站式或單一工作站式的工具上加以實施。在特定的實施方式中,可使用具有4工作站式沉積方案之300 mm的Lam VectorTM
工具或具有6工作站式沉積方案之200 mm的SequelTM
工具。在一些實施方式中,可使用用於處理450 mm晶圓的工具。在各種實施方式中,晶圓可在每個沉積及/或後沉積電漿處理之後加以分度,或若蝕刻腔室或工作站亦係相同工具的一部分時可在蝕刻操作之後加以分度,或在分度晶圓之前可在單一工作站進行多個沉積及處理。
在一些實施例中,可提供一種設備,其係建構成執行此處描述的技術。合適的設備可包含用於執行各種製程操作的硬體,以及具有根據所揭示的實施例用於控制製程操作之指令的系統控制器530。系統控制器530一般包含一或多個記憶體裝置及一或多個處理器,其係與各種處理控制設備(例如閥、RF產生器、晶圓搬運系統等)通訊連接並用以執行指令,使得該設備將根據所揭示的實施例執行技術。機器可讀媒體可耦接至系統控制器530,該機器可讀媒體包含用於根據本揭示內容控制製程操作的指令。系統控制器530可與各種硬體裝置(例如質流控制器、閥、RF產生器、真空幫浦等)通訊連接,以促進各種關於如此處描述之沉積操作的製程參數之控制。
在一些實施例中,系統控制器530可控制反應器500的所有活動。系統控制器530可執行系統控制軟體,該系統控制軟體在大量儲存裝置中加以儲存、加載至記憶體裝置、及在處理器上加以執行。系統控制軟體可包含控制下述的指令:氣體流的時序、晶圓移動、RF產生器活化等,以及控制下述的指令:氣體的混合物、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、夾盤、及/或基座位置、及由反應器設備500執行之特殊製程的其他參數。系統控制軟體可以任何合適的方式加以配置。例如:各種處理工具元件的副程式(subroutine)或控制物件可被撰寫,以控制執行各種處理工具製程必須之處理工具元件的操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
系統控制器530一般可包含一或多個記憶體裝置及一或多個處理器,配置以執行指令使得該設備將根據本揭示內容執行技術。機器可讀媒體可耦接至系統控制器530,該機器可讀媒體包含用於根據所揭示的實施例控制製程操作的指令。
一或多個處理工作站可被包含在多工作站式處理工具中。圖6顯示多工作站式處理工具600之實施例的示意圖,該多工作站式處理工具600具有入站裝載鎖定部602及出站裝載鎖定部604,其中的一者或兩者可包含遠程電漿源。處於大氣壓力下的機器人606係配置以將晶圓從卡匣(經由晶圓傳送盒(pod)608裝載)、通過大氣埠610而移動到入站裝載鎖定部602中。晶圓係由機器人606放置在入站裝載鎖定部602中的底座612上,將大氣埠610關閉,並將裝載鎖定部抽真空。若入站裝載鎖定部602包含遠端電漿源,則晶圓在被引入處理腔室614之前,可在裝載鎖定部中曝露於遠程電漿處理。此外,基板亦可同樣在入站裝載鎖定部602中被加熱,以例如將水分及吸附的氣體移除。接著,開啟通往處理腔室614的腔室傳送埠616,且另一機器人(未顯示)將晶圓放進第一工作站(顯示於反應器中)之底座上的反應器中進行處理。雖然描繪的實施例包含裝載鎖定部,但可理解在一些實施例中,晶圓進入處理工作站的直接通道可加以設置。
在圖6顯示的實施例中,描繪的處理腔室614包含四個處理工作站(編號從1到4)。各個工作站具有加熱的底座(對於工作站1顯示為618)及氣體管線入口。可理解在一些實施例中,各個處理工作站可具有不同或多個用途。例如:處理工作站1-4的各者可為用於執行ALD、CVD、CFD、或蝕刻(上述任何一者可為電漿輔助)之其中一或多者的腔室。在一實施例中,該等處理工作站的其中至少一者係沉積工作站,其具有如圖5中顯示的反應腔室,且其他處理工作站的其中至少一者係蝕刻工作站,其具有如圖4A-4C中顯示的反應腔室。雖然描繪的處理腔室614包含四個工作站,但應理解根據本揭示內容的處理腔室可具有任何適當數量的工作站。例如:在一些實施例中,處理腔室可具有五個或更多的工作站,而在其他的實施例中,處理腔室可具有三個或更少的工作站。
圖6亦描繪晶圓搬運系統609的實施例,其在處理腔室614之內傳送晶圓。在一些實施例中,晶圓搬運系統609可在各種處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。可理解任何適當的晶圓搬運系統可加以使用。非限制性的例子包含晶圓旋轉料架及晶圓搬運機器人。圖6亦描繪系統控制器650的一個實施例,該系統控制器650用於控制處理工具600的製程條件及硬體狀態。系統控制器650可包含一或多個記憶體裝置656、一或多個大量儲存裝置654、及一或多個處理器652。處理器652可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施方式中,控制器為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他傳送工具及/或與特定系統連接或介接的裝載鎖定部之晶圓傳送。
廣義地說,控制器可定義為電子設備,具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或多個處理操作。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理操作,或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定在一或多個操作期間將被執行之各個處理操作的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程操作,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
在某些實施例中,控制器具有執行圖2A顯示及描述之操作的指令。例如:控制器可具有循環下述步驟的指令:(a)執行蝕刻操作,以在基板上部分地蝕刻特徵部,及(b)在蝕刻的特徵部上沉積保護性側壁塗層而基本上沒有蝕刻基板。該等指令可關於使用所揭示的反應條件執行這些處理。在一些實施方式中,該等指令亦可關於在蝕刻及沉積腔室之間傳送基板。
返回圖6的實施例,在一些實施例中,系統控制器650控制處理工具600的所有活動。系統控制器650執行系統控制軟體658,該系統控制軟體658在大量儲存裝置654中加以儲存,加載至記憶體裝置656,並在處理器652上加以執行。或者,控制邏輯可在系統控制器650中加以硬編碼。用於此等目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如:場可程式化閘陣列、或FPGA)等。在下列討論中,無論何處使用「軟體」或「程式碼」,可使用功能性相當的硬編碼邏輯來取代。系統控制軟體658可包含用於控制下述的指令:時序、氣體的混合、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、RF曝露時間、基板底座、夾盤、及/或基座的位置、及由處理工具600執行之特殊製程的其他參數。系統控制軟體658可以任何合適的方式加以配置。例如:各種處理工具元件的副程式或控制物件可被撰寫,以控制執行各種處理工具製程必須之處理工具元件的操作。系統控制軟體658可以任何合適的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體658可包含輸入/輸出控制(IOC)順序指令,用於控制上述各種參數。例如:CFD製程的各個階段可包含由系統控制器650執行的一或多個指令。對於ALD製程階段用於設定製程條件之指令可被包含在相對應的ALD配方階段中。在一些實施例中,ALD配方階段可依序排列,使得用於ALD製程階段的所有指令係與該製程階段同時執行。
儲存在與系統控制器650相關聯之大量儲存裝置654及/或記憶體裝置656中的其他電腦軟體及/或程式,在一些實施例中可加以使用。用於此目的之程式或程式區段的例子包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載到底座618之上,及控制在基板與處理工具600的其他部分之間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分及流率,及選用性地在沉積之前用於將氣體流進一或多個處理工作站,以使處理工作站內的氣壓穩定。在一些實施例中,控制器包含指令,用於在核心層上沉積奈米積層保護層,及在保護層上沉積保形層。
壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。在一些實施例中,控制器包含指令,用於在核心層上沉積奈米積層保護層,及在保護層上沉積保形層。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制將熱轉移氣體(諸如氦氣)遞送至基板。在某些實施方式中,控制器包含指令,用於以第一溫度沉積奈米積層保護層,及以第二溫度在保護層上沉積保形層,其中該第二溫度係高於該第一溫度。
電漿控制程式可包含程式碼,用於根據此處實施例在一或多個處理工作站中設定RF功率位準及曝露時間。在一些實施例中,控制器包含指令,用於以第一RF功率位準及RF持續時間沉積奈米積層保護層,及以第二RF功率位準及RF持續時間在保護層上沉積保形層。該第二RF功率位準及/或第二RF持續時間可高於/長於該第一RF功率位準/持續時間。
在一些實施例中,可能有與系統控制器650相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器650調整的參數可能涉及製程條件。非限制性的例子包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準及曝露時間)等。這些參數可以配方的形式提供給使用者,其可利用使用者界面加以輸入。
用於監控製程的訊號可從各種處理工具感測器由系統控制器650的類比及/或數位輸入連接加以提供。控制製程的訊號可在處理工具600的類比及數位輸出連接上加以輸出。可加以監控之處理工具感測器之非限制性的例子包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器650可提供用於執行上述沉積製程的程式指令。程式指令可控制各種製程參數,諸如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據此處描述的各種實施例操作膜堆疊的原位沉積。
系統控制器一般包含一或多個記憶體裝置及一或多個處理器,配置以執行指令使得該設備將根據所揭示的實施例執行方法。包含用於根據所揭示的實施例控制製程操作之指令的機器可讀、非暫態媒體可耦接至系統控制器。
上述各種硬體及方法的實施例可結合微影圖案化的工具或製程(例如半導體裝置、顯示器、LED、太陽光電板等的製造或生產)而加以使用。通常,雖然不一定,此等工具/製程將在共同製造設施內一起使用或執行。
圖7描繪具有各種模組的半導體製程群集架構(cluster architecture),該等模組與真空傳送模組738(VTM)介接。在多個儲存設備及處理模組之中「傳送」基板的傳送模組之配置可被稱為「群集工具架構」系統。氣室730(亦稱為裝載鎖定部或傳送模組)係在具有四個處理模組720a-720d的VTM 738中加以顯示,該等處理模組720a-720d可個別地最佳化以執行各種製造處理。舉例而言,處理模組720a-720d可加以實現以執行基板蝕刻、沉積、離子佈植、基板清潔、濺鍍、及/或其他半導體處理、以及雷射計量和其他缺陷偵測及缺陷識別方法。處理模組的一或多者(720a-720d的任何一者)可如此處所揭示加以執行,即,用於將凹入的特徵部蝕刻進入基板、在凹入的特徵部之側壁上沉積保護性膜、及其他依據所揭示之實施例的適當功能。氣室730及處理模組720a-720d可被稱為「工作站」。每個工作站具有將工作站與VTM 738介接的面部736。在該面部內部,感測器1-18係用以當基板726在個別的工作站之間移動時偵測其通過。
機器人722在工作站之間傳送基板。在一實施方式中,機器人可具有一手臂,而在另一實施方式中,機器人可具有二手臂,其中每一手臂具有末端執行器724以拾取基板以供傳輸。在大氣傳送模組(ATM)740中的前端機器人732可用以將基板由裝載埠模組(LPM)742中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)734傳送至氣室730。在處理模組720a-720d內部的模組中心728可為用於放置基板的一個位置。在ATM 740中的對準器744可用以對準基板。
在一示例性的處理方法中,基板係放置於LPM 742中之FOUP 734的其中一者內。前端機器人732將基板由FOUP 734傳送至對準器744,該對準器744允許基板726在被蝕刻、或被沉積、或以其他方式處理之前正確地置中。在經過對準之後,基板係藉由前端機器人732移動進入氣室730。由於氣室模組具有使ATM與VTM之間的環境相匹配的能力,因此基板係能夠在不受損壞的情況下於二種壓力環境之間移動。從氣室730,藉由機器人722將基板經由VTM 738移動進入處理模組720a-720d的其中一者,例如處理模組720a。為達成此基板移動,機器人722使用在其每一手臂上的末端執行器724。在處理模組720a中,基板如此處描述受到蝕刻以形成部分蝕刻的特徵部。接著,機器人722將基板移出處理模組720a,進入VTM 738,且接著進入不同的處理模組720b。在處理模組720b中,保護性膜係在部分蝕刻之特徵部的側壁上加以沉積。接著,機器人722將基板移出處理模組720b,進入VTM 738,且進入處理模組720a,其中該部分蝕刻的特徵部係進一步加以蝕刻。蝕刻/沉積可重覆直到完全蝕刻該特徵部。
應注意控制基板移動的電腦對於群集結構可為本地的,或可設在生產車間(manufacturing floor)中群集結構的外部,或位於遠端位置中並經由網路連接至群集結構。
膜的微影圖案化通常包含一些或全部下列操作,各個操作以幾個可能的工具達成:(1)工件(例如具有氮化矽膜形成於其上的基板)上光阻的塗佈,使用旋轉式或噴塗式的工具;(2)光阻的固化,使用熱板或加熱爐或其他適合的固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻且從而使其圖案化,使用諸如溼檯或噴霧顯影器的工具;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。在一些實施例中,可灰化硬遮罩層(諸如非晶形碳層)及其他合適的硬遮罩(諸如抗反射層)可在塗佈光阻之前加以沉積。
應理解此處描述的配置及/或方法本質上係例示性的,且這些具體的實施例或示例係不應以限制性的意義加以考慮,因為許多變化係可能的。此處描述的特定例程或方法可代表任何數目的處理策略之其中一或多者。因此,所說明的各種動作可以說明的順序、其他順序、平行、或在某些情況下省略而加以執行。同樣地,上述製程的順序可加以改變。
本揭示內容的申請標的包含各種製程、系統、及配置的所有新穎及非顯而易見之組合和子組合,及此處揭示的其他特徵、功能、行為、及/或特性,以及其任何及所有等價物。
1‧‧‧感測器
2‧‧‧感測器
3‧‧‧感測器
4‧‧‧感測器
5‧‧‧感測器
6‧‧‧感測器
7‧‧‧感測器
8‧‧‧感測器
9‧‧‧感測器
10‧‧‧感測器
11‧‧‧感測器
12‧‧‧感測器
13‧‧‧感測器
14‧‧‧感測器
15‧‧‧感測器
16‧‧‧感測器
17‧‧‧感測器
18‧‧‧感測器
102‧‧‧圓筒狀物
103‧‧‧介電材料
104‧‧‧保護性聚合側壁塗層
105‧‧‧弓形部
106‧‧‧遮罩層
279‧‧‧部分製造的半導體裝置
280‧‧‧圓筒狀物
281‧‧‧堆疊
282‧‧‧遮罩層
285‧‧‧保護性側壁膜
302‧‧‧特徵部
303‧‧‧介電材料
304‧‧‧第一側壁塗層
306‧‧‧遮罩層
310‧‧‧第二側壁塗層
312‧‧‧前驅物層
400‧‧‧RF電漿反應器
402‧‧‧腔室
404‧‧‧腔室外罩
405‧‧‧真空腔室內部
406‧‧‧下電極
408‧‧‧上電極
410‧‧‧間隙
410a‧‧‧間隙
410b‧‧‧間隙
410c‧‧‧間隙
412‧‧‧開口
414‧‧‧絕緣環
416‧‧‧支撐板
418‧‧‧腔室壁板
420‧‧‧RF功率供應器
422‧‧‧RF供應導管
424‧‧‧RF帶
426‧‧‧RF功率構件
428‧‧‧階梯凸緣
430‧‧‧RF偏壓外罩
432‧‧‧碗
434‧‧‧臂
436‧‧‧接地護罩
438‧‧‧導管支撐板
440‧‧‧設施導管
442‧‧‧致動機構
444‧‧‧線性軸承
446‧‧‧螺旋齒輪
448‧‧‧曲徑軸封
450‧‧‧伸縮囊
452‧‧‧夾圈
456‧‧‧固定護罩
458‧‧‧護罩板
460‧‧‧曲徑溝槽
480‧‧‧真空入口
482‧‧‧晶圓埠
500‧‧‧反應器
502‧‧‧RF產生器
504‧‧‧射頻產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧來源氣體管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧晶圓底座
520‧‧‧加熱器塊
522‧‧‧出口
524‧‧‧腔室
530‧‧‧系統控制器
540‧‧‧幫浦
600‧‧‧處理工具
602‧‧‧入站裝載鎖定部
604‧‧‧出站裝載鎖定部
606‧‧‧機器人
608‧‧‧晶圓傳送盒
610‧‧‧大氣埠
612‧‧‧底座
614‧‧‧處理腔室
616‧‧‧腔室傳送埠
618‧‧‧底座
650‧‧‧系統控制器
652‧‧‧處理器
654‧‧‧大量儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
690‧‧‧晶圓搬運系統
720a‧‧‧處理模組
720b‧‧‧處理模組
720c‧‧‧處理模組
720d‧‧‧處理模組
722‧‧‧機器人
724‧‧‧末端執行器
726‧‧‧基板
728‧‧‧模組中心
730‧‧‧氣室
732‧‧‧前端機器人
734‧‧‧前開式晶圓傳送盒
736‧‧‧面部
738‧‧‧真空傳送模組
740‧‧‧大氣傳送模組
742‧‧‧裝載埠模組
744‧‧‧對準器
2‧‧‧感測器
3‧‧‧感測器
4‧‧‧感測器
5‧‧‧感測器
6‧‧‧感測器
7‧‧‧感測器
8‧‧‧感測器
9‧‧‧感測器
10‧‧‧感測器
11‧‧‧感測器
12‧‧‧感測器
13‧‧‧感測器
14‧‧‧感測器
15‧‧‧感測器
16‧‧‧感測器
17‧‧‧感測器
18‧‧‧感測器
102‧‧‧圓筒狀物
103‧‧‧介電材料
104‧‧‧保護性聚合側壁塗層
105‧‧‧弓形部
106‧‧‧遮罩層
279‧‧‧部分製造的半導體裝置
280‧‧‧圓筒狀物
281‧‧‧堆疊
282‧‧‧遮罩層
285‧‧‧保護性側壁膜
302‧‧‧特徵部
303‧‧‧介電材料
304‧‧‧第一側壁塗層
306‧‧‧遮罩層
310‧‧‧第二側壁塗層
312‧‧‧前驅物層
400‧‧‧RF電漿反應器
402‧‧‧腔室
404‧‧‧腔室外罩
405‧‧‧真空腔室內部
406‧‧‧下電極
408‧‧‧上電極
410‧‧‧間隙
410a‧‧‧間隙
410b‧‧‧間隙
410c‧‧‧間隙
412‧‧‧開口
414‧‧‧絕緣環
416‧‧‧支撐板
418‧‧‧腔室壁板
420‧‧‧RF功率供應器
422‧‧‧RF供應導管
424‧‧‧RF帶
426‧‧‧RF功率構件
428‧‧‧階梯凸緣
430‧‧‧RF偏壓外罩
432‧‧‧碗
434‧‧‧臂
436‧‧‧接地護罩
438‧‧‧導管支撐板
440‧‧‧設施導管
442‧‧‧致動機構
444‧‧‧線性軸承
446‧‧‧螺旋齒輪
448‧‧‧曲徑軸封
450‧‧‧伸縮囊
452‧‧‧夾圈
456‧‧‧固定護罩
458‧‧‧護罩板
460‧‧‧曲徑溝槽
480‧‧‧真空入口
482‧‧‧晶圓埠
500‧‧‧反應器
502‧‧‧RF產生器
504‧‧‧射頻產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧來源氣體管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧晶圓底座
520‧‧‧加熱器塊
522‧‧‧出口
524‧‧‧腔室
530‧‧‧系統控制器
540‧‧‧幫浦
600‧‧‧處理工具
602‧‧‧入站裝載鎖定部
604‧‧‧出站裝載鎖定部
606‧‧‧機器人
608‧‧‧晶圓傳送盒
610‧‧‧大氣埠
612‧‧‧底座
614‧‧‧處理腔室
616‧‧‧腔室傳送埠
618‧‧‧底座
650‧‧‧系統控制器
652‧‧‧處理器
654‧‧‧大量儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
690‧‧‧晶圓搬運系統
720a‧‧‧處理模組
720b‧‧‧處理模組
720c‧‧‧處理模組
720d‧‧‧處理模組
722‧‧‧機器人
724‧‧‧末端執行器
726‧‧‧基板
728‧‧‧模組中心
730‧‧‧氣室
732‧‧‧前端機器人
734‧‧‧前開式晶圓傳送盒
736‧‧‧面部
738‧‧‧真空傳送模組
740‧‧‧大氣傳送模組
742‧‧‧裝載埠模組
744‧‧‧對準器
圖1說明蝕刻的圓筒狀物,該圓筒狀物由於側壁的過度蝕刻而具有不期望的弓形部。
圖2A呈現一流程圖,用於根據各種揭示的實施例在半導體基板上形成蝕刻的特徵部之方法。
圖2B呈現一流程圖,用於在部分蝕刻的特徵部內沉積保護性側壁膜的方法,其中該沉積根據一循環的方式發生。
圖2C說明在曝露於O2
電漿之前及之後兩者,具有氧化敏感遮罩層於其上之部分蝕刻的特徵部。
圖2D顯示部分蝕刻之特徵部的圖,其中該遮罩在保護層的沉積期間切面化(faceted)。
圖2E係根據某些實施方式在保護性側壁膜的沉積期間,顯示遮罩殘餘相對於在氧化步驟期間使用之低頻(LF)RF功率之量的圖。
圖2F顯示根據一實施例一保護性側壁膜的成分。
圖2G係一圖,根據某些實施例描繪在凹入的特徵部之各種不同部分處該保護性側壁膜的厚度。
圖3A-3D描繪當根據各種實施例將在半導體基板中蝕刻的圓筒狀物係循環地加以蝕刻及使用保護性側壁塗層加以塗佈時的圓筒狀物。
圖4A-4C說明一種反應腔室,其根據某些實施例可用以執行此處描述的蝕刻製程。
圖5描繪一種反應腔室,其根據某些實施例可用以執行此處描述的沉積製程。
圖6顯示一種多工作站設備,其在某些實施方式中可用以執行沉積製程。
圖7呈現一種群組工具,其根據某些實施例可用以實施沉積及蝕刻兩者。
Claims (36)
- 一種在半導體基板上的介電材料中形成蝕刻的特徵部之方法,該方法包含: (a) 產生包含一蝕刻反應物的一蝕刻電漿,將該基板曝露於該蝕刻電漿,及在該介電材料中部分地蝕刻該特徵部,其中該基板包含一遮罩層; (b) 在(a)之後,藉由將該基板曝露於一沉積電漿在該特徵部的側壁上沉積一保護性膜, 其中,該沉積電漿係使用HF RF功率及選用性地使用LF RF功率加以產生,其中若LF RF功率係加以使用,則LF RF功率密度在操作(b)的至少一部分期間係小於約2,800 W/m2 , 其中,該沉積電漿係自一沉積電漿產生氣體加以產生,該沉積電漿產生氣體包含以體積測量在0%及約20%之間的O2 , 其中,該保護性膜係實質上沿該特徵部的整個深度加以沉積,及 其中,該遮罩層在(b)期間係實質上被保護的;以及 (c) 重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
- 如申請專利範圍第1項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中在(b)中沉積該保護性膜的操作包含: (i) 將一第一沉積反應物流進一反應腔室,產生包含該第一沉積反應物的該沉積電漿,及將該基板曝露於該沉積電漿,其中該第一沉積反應物係一含矽反應物, (ii) 停止該第一沉積反應物的流動, (iii) 將一第二沉積反應物流進該反應腔室及產生或維持該沉積電漿,以從而驅動在該第一及第二沉積反應物之間的一表面反應以形成該保護性膜,在(iii)中的該沉積電漿包含該第二沉積反應物,其中該遮罩層在(iii)期間係實質上被保護的,且其中該沉積電漿的成分隨著(i)-(iii)的過程改變, (iv) 停止該第二沉積反應物的流動,以及 (v) 重覆(i)-(iv)直到該保護性膜達到一最終厚度。
- 如申請專利範圍第2項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,進一步包含: 在(ii)之後且在(iii)之前,將惰性氣體流進該反應腔室以自該反應腔室掃除未吸附的第一沉積反應物,以及 在(iv)之後且在一後續之(i)的重覆之前,將惰性氣體流進該反應腔室以自該反應腔室掃除未吸附的第二沉積反應物。
- 如申請專利範圍第3項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該沉積電漿在(i)-(iii)期間係連續地加以維持。
- 如申請專利範圍第2項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該沉積電漿在(i)-(iii)期間係連續地加以維持。
- 如申請專利範圍第2項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該沉積電漿在(i)-(iii)期間係不加以連續地維持。
- 如申請專利範圍第2-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該第一沉積反應物包含SiCl4 。
- 如申請專利範圍第2-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該第二沉積反應物包含選自由COS、CO、SO2 、CO2 、NO、N2 O、N2 O5 、NO2 、N2 O3 、N2 O4 、H2 O、H2 CO、CS2 、CH4 、C2 H4 、及C2 H6 組成的群組之一或多種反應物。
- 如申請專利範圍第2-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中該第二沉積反應物包含一含硫反應物。
- 如申請專利範圍第9項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中該遮罩層係對氧化損壞敏感,且其中該第一沉積反應物包含SiCl4 及該第二沉積反應物包含COS。
- 如申請專利範圍第1項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中該沉積電漿在一第一時間段期間包含一第一沉積反應物,其中該沉積電漿在一第二時間段期間包含一第二沉積反應物,該第二沉積反應物係與該第一沉積反應物不同。
- 如申請專利範圍第11項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中若使用LF RF功率,則LF RF功率密度在該第二時間段期間係小於約700 W/m2 。
- 如申請專利範圍第12項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該沉積電漿在該第二時間段期間係僅使用大於約2 MHz的RF頻率加以產生。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該沉積電漿產生氣體係實質上無氧。
- 如申請專利範圍第1項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中在(b)中沉積該保護性膜的操作包含以一循環的方式執行的下列步驟: (i) 將一第一沉積反應物流進一反應腔室及允許該第一沉積反應物吸附在該基板表面之上,其中該第一沉積反應物係一含矽反應物;以及 (ii) 將一第二沉積反應物流進該反應腔室,其中該第一及第二沉積反應物反應以形成該保護性膜。
- 如申請專利範圍第15項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,沉積該保護性膜的操作藉由一電漿輔助的原子層沉積反應而發生,該電漿輔助的原子層沉積反應包含(i)及(ii)且包含 (iii)在該反應腔室中產生該沉積電漿,及將該基板曝露於該沉積電漿以驅動在該第一及第二沉積反應物之間的一表面反應,以從而形成該保護性膜,其中(i)-(iii)係循環地加以執行。
- 如申請專利範圍第1項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,沉積該保護性膜的操作包含將一第一沉積反應物及一第二沉積反應物同時流進一反應腔室,產生包含該第一及第二沉積反應物的一沉積電漿,及將該基板曝露於該沉積電漿以從而沉積該保護性膜。
- 如申請專利範圍第17項之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該第一沉積反應物係SiCl4 及該第二沉積反應物包含羰基硫。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中在該最終深度,該特徵部具有:(i)約20或更高的一深寬比;及(ii)一最大臨界尺寸,其與在該特徵部之底部的臨界尺寸相比較係大不超過約10%。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該特徵部係在形成一VNAND元件的同時加以形成,且其中該介電材料包含(i)氧化矽材料、及(ii)氮化矽材料或多晶矽材料之交替的層。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該特徵部係在形成一DRAM元件的同時加以形成,且其中該介電材料包含氧化矽。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中,該特徵部具有在其最終深度約50或更高的一深寬比。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中(a)及(b)係重覆至少一次,其中(b)在各個重覆期間可使用或可不使用相同的反應物加以執行。
- 如申請專利範圍第1-6項其中任一者之在半導體基板上的介電材料中形成蝕刻的特徵部之方法,其中該遮罩層包含旋塗碳、非晶形碳及/或光阻。
- 一種在基板上沉積含矽膜的方法,該方法包含: (a) 將一第一反應物流進一反應腔室及將該基板曝露於該第一反應物,其中該第一反應物包含一含矽反應物; (b) 將一第二反應物流進一反應腔室及將該基板曝露於該第二反應物,其中該第二反應物包含羰基硫(COS);以及 (c) 將該第一及第二反應物反應以從而在該基板上沉積該含矽膜。
- 如申請專利範圍第25項之在基板上沉積含矽膜的方法,其中,該第一及第二反應物係循環地引至該反應腔室。
- 如申請專利範圍第26項之在基板上沉積含矽膜的方法,其中,該第一及第二反應物係遞送至該反應腔室,且同時該基板係曝露於電漿。
- 如申請專利範圍第27項之在基板上沉積含矽膜的方法,其中該方法進一步包含產生電漿及將該基板曝露於電漿,其中該電漿的成分隨時間改變,使得在一第一階段期間該電漿包含該第一反應物但不包含該第二反應物,在一第二階段期間該電漿包含惰性氣體且不包含該第一反應物亦不包含第二反應物,及在一第三階段期間該電漿包含該第二反應物但不包含該第一反應物,該第一、第二、及第三階段以此順序發生,該方法進一步包含循環地重覆該第一、第二、及第三階段直到該保護性膜達到一最終厚度。
- 如申請專利範圍第28項之在基板上沉積含矽膜的方法,其中,在遞送該第一反應物之後且在遞送該第二反應物之前,該電漿係熄滅至少一次。
- 如申請專利範圍第28項之在基板上沉積含矽膜的方法,進一步包含一第四階段,其中該電漿係加以熄滅,該第四階段在該第三階段之後發生,其中該第一、第二、第三、及第四階段係循環地重覆直到該保護性膜達到該最終厚度。
- 如申請專利範圍第26項之在基板上沉積含矽膜的方法,其中,該方法包含當該反應腔室中沒有電漿存在時執行(a)步驟,且其中該方法係吸附限制的。
- 如申請專利範圍第25項之在基板上沉積含矽膜的方法,其中(a)及(b)同時發生,且其中在該第一及第二反應物之間的反應係一化學氣相沉積反應。
- 如申請專利範圍第25項之在基板上沉積含矽膜的方法,其中該基板包含對氧化損壞敏感之一曝露的層。
- 一種在半導體基板上的介電材料中形成蝕刻的特徵部之設備,該設備包含: 一或多個反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,及其中至少一反應腔室係設計或配置以執行沉積,各反應腔室包含: 一入口,用於將處理氣體引至該反應腔室, 一出口,用於自該反應腔室移除材料,及 一電漿源;以及 一控制器,具有用於以下操作的指令: (a) 產生包含一蝕刻反應物的一蝕刻電漿,將該基板曝露於該蝕刻電漿,及在該介電材料中部分地蝕刻該特徵部,其中該基板包含一遮罩層; (b) 在(a)之後,藉由將該基板曝露於一沉積電漿在該特徵部的側壁上沉積一保護性膜, 其中,該沉積電漿係使用HF RF功率及選用性地使用LF RF功率加以產生,其中若LF RF功率係加以使用,則LF RF功率密度在操作(b)的至少一部分期間係小於約2,800 W/m2 , 其中,該沉積電漿係自一沉積電漿產生氣體加以產生,該沉積電漿產生氣體包含以體積測量在0%及約20%之間的O2 , 其中,該保護性膜係實質上沿該特徵部的整個深度加以沉積,及 其中,該遮罩層在(b)期間係實質上被保護的;以及 (c) 重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
- 如申請專利範圍第34項之在半導體基板上的介電材料中形成蝕刻的特徵部之設備,其中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的該反應腔室相同,使得(a)及(b)兩者發生在相同的反應腔室內。
- 如申請專利範圍第34項之在半導體基板上的介電材料中形成蝕刻的特徵部之設備,其中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的該反應腔室不同,及其中該控制器進一步包含將該基板在設計或配置以執行蝕刻的該反應腔室與設計或配置以執行沉積的該反應腔室之間轉移的指令。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/560,414 US9378971B1 (en) | 2014-12-04 | 2014-12-04 | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US14/697,521 US9543158B2 (en) | 2014-12-04 | 2015-04-27 | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201635334A true TW201635334A (zh) | 2016-10-01 |
Family
ID=56094955
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104139818A TW201635334A (zh) | 2014-12-04 | 2015-11-30 | 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 |
Country Status (3)
Country | Link |
---|---|
US (1) | US9543158B2 (zh) |
KR (1) | KR20160067743A (zh) |
TW (1) | TW201635334A (zh) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108735596A (zh) * | 2017-04-18 | 2018-11-02 | 东京毅力科创株式会社 | 处理被处理体的方法 |
TWI716125B (zh) * | 2018-09-28 | 2021-01-11 | 台灣積體電路製造股份有限公司 | 半導體裝置之製造方法及系統 |
TWI732440B (zh) * | 2019-02-04 | 2021-07-01 | 日商日立全球先端科技股份有限公司 | 電漿處理方法及電漿處理裝置 |
TWI810181B (zh) * | 2017-04-26 | 2023-08-01 | 日商東京威力科創股份有限公司 | 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法 |
TWI811719B (zh) * | 2020-07-01 | 2023-08-11 | 美商應用材料股份有限公司 | 用於金屬側氧基光阻之氣相熱蝕刻液 |
US11955318B2 (en) | 2021-03-12 | 2024-04-09 | Applied Materials, Inc. | Ash rate recovery method in plasma strip chamber |
Families Citing this family (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8617411B2 (en) * | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
US9378971B1 (en) * | 2014-12-04 | 2016-06-28 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US10297459B2 (en) * | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
CN112366128B (zh) * | 2014-04-09 | 2024-03-08 | 应用材料公司 | 用于在处理腔室中提供对称的流动路径的流动模块 |
US9997373B2 (en) * | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US10170324B2 (en) * | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
US9384998B2 (en) | 2014-12-04 | 2016-07-05 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9887097B2 (en) * | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
WO2017052905A1 (en) * | 2015-09-22 | 2017-03-30 | Applied Materials, Inc. | Apparatus and method for selective deposition |
KR102456224B1 (ko) * | 2016-06-20 | 2022-10-19 | 도쿄엘렉트론가부시키가이샤 | 피처리체를 처리하는 방법 |
JP6788400B2 (ja) * | 2016-07-08 | 2020-11-25 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
JP6757624B2 (ja) * | 2016-08-12 | 2020-09-23 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
JP6759004B2 (ja) * | 2016-08-29 | 2020-09-23 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US9824884B1 (en) * | 2016-10-06 | 2017-11-21 | Lam Research Corporation | Method for depositing metals free ald silicon nitride films using halide-based precursors |
KR102657787B1 (ko) * | 2016-10-12 | 2024-04-16 | 삼성전자주식회사 | 실리콘 막 형성 방법, 패턴 형성 방법 및 반도체 장치의 제조 방법 |
US9896762B1 (en) * | 2016-12-16 | 2018-02-20 | Asm Ip Holding B.V. | Method of depositing and etching film in one processing apparatus |
US10867795B2 (en) * | 2017-05-18 | 2020-12-15 | Applied Materials, Inc. | Method of etching hardmasks containing high hardness materials |
US10276398B2 (en) | 2017-08-02 | 2019-04-30 | Lam Research Corporation | High aspect ratio selective lateral etch using cyclic passivation and etching |
US10847374B2 (en) | 2017-10-31 | 2020-11-24 | Lam Research Corporation | Method for etching features in a stack |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
JP7022651B2 (ja) * | 2018-05-28 | 2022-02-18 | 東京エレクトロン株式会社 | 膜をエッチングする方法及びプラズマ処理装置 |
JP7073924B2 (ja) * | 2018-06-06 | 2022-05-24 | 東京エレクトロン株式会社 | 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置 |
KR102686758B1 (ko) * | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
KR20200015264A (ko) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | 웨이퍼 접합 방법 및 웨이퍼 접합 시스템 |
KR20210080555A (ko) * | 2018-11-21 | 2021-06-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 위상 제어를 사용하여 플라즈마 분배를 조절하기 위한 디바이스 및 방법 |
JP7178918B2 (ja) * | 2019-01-30 | 2022-11-28 | 東京エレクトロン株式会社 | エッチング方法、プラズマ処理装置、及び処理システム |
US11537049B2 (en) * | 2019-02-26 | 2022-12-27 | Tokyo Electron Limited | Method of line roughness improvement by plasma selective deposition |
TWI819233B (zh) * | 2019-08-15 | 2023-10-21 | 美商應用材料股份有限公司 | 非共形膜的選擇性蝕刻臨界尺寸控制 |
US11232954B2 (en) * | 2020-03-16 | 2022-01-25 | Tokyo Electron Limited | Sidewall protection layer formation for substrate processing |
CN111900075A (zh) * | 2020-06-22 | 2020-11-06 | 中国科学院微电子研究所 | 一种氮化硅膜及其沉积方法、半导体器件 |
US12106971B2 (en) | 2020-12-28 | 2024-10-01 | American Air Liquide, Inc. | High conductive passivation layers and method of forming the same during high aspect ratio plasma etching |
JP2022191787A (ja) * | 2021-06-16 | 2022-12-28 | キオクシア株式会社 | 半導体装置の製造方法 |
WO2023038763A1 (en) * | 2021-09-09 | 2023-03-16 | Lam Research Corporation | Multi-state rf pulsing in cycling recipes to reduce charging induced defects |
Family Cites Families (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62136069A (ja) | 1985-12-10 | 1987-06-19 | Hitachi Ltd | 半導体装置およびその製造方法 |
US5767018A (en) | 1995-11-08 | 1998-06-16 | Advanced Micro Devices, Inc. | Method of etching a polysilicon pattern |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
US7977390B2 (en) | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6916746B1 (en) | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
US7105390B2 (en) | 2003-12-30 | 2006-09-12 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
KR100745986B1 (ko) | 2004-12-08 | 2007-08-06 | 삼성전자주식회사 | 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법 |
US7740736B2 (en) | 2006-06-08 | 2010-06-22 | Lam Research Corporation | Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber |
KR20090091307A (ko) | 2006-11-22 | 2009-08-27 | 스미토모 세이미츠 고교 가부시키가이샤 | 높은 아스펙트비의 개구를 갖는 실리콘 구조체, 이의 제조방법, 이의 제조 장치, 및 이의 제조 프로그램, 및 이의 실리콘 구조체용 에칭 마스크의 제조방법 |
US7732728B2 (en) | 2007-01-17 | 2010-06-08 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
US20080286978A1 (en) | 2007-05-17 | 2008-11-20 | Rong Chen | Etching and passivating for high aspect ratio features |
WO2008153674A1 (en) * | 2007-06-09 | 2008-12-18 | Boris Kobrin | Method and apparatus for anisotropic etching |
CN102007570B (zh) | 2007-12-21 | 2013-04-03 | 朗姆研究公司 | 用高蚀刻速率抗蚀剂掩膜进行蚀刻 |
KR101659095B1 (ko) | 2008-02-08 | 2016-09-22 | 램 리써치 코포레이션 | 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US9117668B2 (en) * | 2012-05-23 | 2015-08-25 | Novellus Systems, Inc. | PECVD deposition of smooth silicon films |
US8916472B2 (en) | 2012-07-31 | 2014-12-23 | Globalfoundries Inc. | Interconnect formation using a sidewall mask layer |
US9378971B1 (en) | 2014-12-04 | 2016-06-28 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9887097B2 (en) | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9384998B2 (en) | 2014-12-04 | 2016-07-05 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
-
2015
- 2015-04-27 US US14/697,521 patent/US9543158B2/en active Active
- 2015-11-30 TW TW104139818A patent/TW201635334A/zh unknown
- 2015-11-30 KR KR1020150169325A patent/KR20160067743A/ko unknown
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108735596A (zh) * | 2017-04-18 | 2018-11-02 | 东京毅力科创株式会社 | 处理被处理体的方法 |
CN108735596B (zh) * | 2017-04-18 | 2023-04-28 | 东京毅力科创株式会社 | 处理被处理体的方法 |
TWI810181B (zh) * | 2017-04-26 | 2023-08-01 | 日商東京威力科創股份有限公司 | 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法 |
TWI716125B (zh) * | 2018-09-28 | 2021-01-11 | 台灣積體電路製造股份有限公司 | 半導體裝置之製造方法及系統 |
TWI732440B (zh) * | 2019-02-04 | 2021-07-01 | 日商日立全球先端科技股份有限公司 | 電漿處理方法及電漿處理裝置 |
TWI811719B (zh) * | 2020-07-01 | 2023-08-11 | 美商應用材料股份有限公司 | 用於金屬側氧基光阻之氣相熱蝕刻液 |
US12033866B2 (en) | 2020-07-01 | 2024-07-09 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
US12068170B2 (en) | 2020-07-01 | 2024-08-20 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
US11955318B2 (en) | 2021-03-12 | 2024-04-09 | Applied Materials, Inc. | Ash rate recovery method in plasma strip chamber |
Also Published As
Publication number | Publication date |
---|---|
KR20160067743A (ko) | 2016-06-14 |
US20160163557A1 (en) | 2016-06-09 |
US9543158B2 (en) | 2017-01-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201635334A (zh) | 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 | |
US10297459B2 (en) | Technique to deposit sidewall passivation for high aspect ratio cylinder etch | |
US9997373B2 (en) | Technique to deposit sidewall passivation for high aspect ratio cylinder etch | |
TW201630067A (zh) | 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 | |
TWI680510B (zh) | 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術 | |
US10304693B2 (en) | Technique to deposit sidewall passivation for high aspect ratio cylinder etch | |
US10373840B2 (en) | Technique to deposit sidewall passivation for high aspect ratio cylinder etch | |
US10170324B2 (en) | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch | |
US10431458B2 (en) | Mask shrink layer for high aspect ratio dielectric etch | |
TWI780118B (zh) | 用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術 | |
TW202249116A (zh) | 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護 | |
WO2018026867A1 (en) | Technique to deposit sidewall passivation for high aspect ratio cylinder etch | |
KR20170132671A (ko) | 고 종횡비 실린더 에칭에 대한 측벽 패시베이션을 증착하기 위한 기법 | |
TW201835377A (zh) | 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 | |
TW202410175A (zh) | 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化 |