TWI819233B - 非共形膜的選擇性蝕刻臨界尺寸控制 - Google Patents

非共形膜的選擇性蝕刻臨界尺寸控制 Download PDF

Info

Publication number
TWI819233B
TWI819233B TW109127021A TW109127021A TWI819233B TW I819233 B TWI819233 B TW I819233B TW 109127021 A TW109127021 A TW 109127021A TW 109127021 A TW109127021 A TW 109127021A TW I819233 B TWI819233 B TW I819233B
Authority
TW
Taiwan
Prior art keywords
conformal liner
opening
film stack
stack
thickness
Prior art date
Application number
TW109127021A
Other languages
English (en)
Other versions
TW202113975A (zh
Inventor
寶 齊
王慧圓
義里 駱
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202113975A publication Critical patent/TW202113975A/zh
Application granted granted Critical
Publication of TWI819233B publication Critical patent/TWI819233B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

說明一種在半導體裝置中用於蝕刻方法之非共形、高選擇性襯墊。一種方法包含在基板上形成膜堆疊;蝕刻膜堆疊以形成開口;在開口中沉積非共形襯墊;從開口的底部蝕刻非共形襯墊;及相對於非共形襯墊選擇性蝕刻膜堆疊以形成邏輯或記憶體孔洞。非共形襯墊包含硼、碳或氮之一或更多者。

Description

非共形膜的選擇性蝕刻臨界尺寸控制
本揭露案的實施例大致關於在半導體裝置中於蝕刻處理期間用於形成保護層之沉積方法。更具體而言,本揭露案的實施例關於在半導體裝置中用於蝕刻處理的非共形、高度選擇性襯墊。
半導體技術以快速的節奏發展,且隨著先進技術裝置尺寸已縮小以提供更快的每單位空間的處理及存儲。隨著半導體技術的發展,市場需要每單位面積具有越來越多結構的越來越小的晶片。在小型化方面已取得許多進步的一種類別的裝置為記憶體裝置。
記憶體區段的兩種支柱為NAND快閃及DRAM。DRAM為動態、揮發性且非常快,使其良好地適合用於短期系統記憶體。相反地,NAND快閃為非揮發性,意味著其具有良好的保留且可良好作用於長期儲存。隨著需求持續增長,此等兩種記憶體類型的主要目標為更高速度、更高密度及更低位元成本。
DRAM繼續擴展至更小單元設計。此尺寸縮小驅動引入多重圖案化技術。平面NAND亦面臨規模限制,且最終改變路線朝垂直方向移動。此垂直整合對3D NAND裝置放寬光刻要求,且取代遷移最複雜的處理挑戰至沉積及蝕刻。隨著更高密度需求的增加,在NAND裝置中通常方式已成為堆疊更多層。然而,額外層導致更厚的堆疊,而歸因於增加的深寬比而增加蝕刻的困難性。
主要結構藉由交替膜沉積而建立,接著藉由整個堆疊完成高深寬比蝕刻。在3D NAND中蝕刻新的節點採取甚至更高垂直堆疊的處理。高深寬比結構具有獨特處理控制需求,因為通道的深度為微米量級,具有埃等級的精確度要求。
V-NAND或3D-NAND結構已在快閃記憶體應用中使用。V-NAND裝置為垂直堆疊的NAND結構,具有安排在方塊中的大量單元。在字元線形成之前,基板為層狀的氧化堆疊。記憶體串形成於垂直藉由層狀氧化及氮化(ON)堆疊的間隙或狹縫中。
隨著ON對及層級的數量增加以達成高容量,例如記憶體孔洞或狹縫的特徵的深寬比大幅增加(>20)。結果,此等高深寬比結構的蝕刻變得更加具挑戰性。弓曲臨界尺寸(CD)及差量臨界尺寸(CD)常常超出設計規格。蝕刻工具的硬體及處理的發展可能不夠快以解決此等問題。
因此,本領域中需要一種蝕刻之方法而保護半導體裝置的側壁,使得弓曲臨界尺寸(CD)大幅減少。目前處理使用共形原子層沉積/分子層沉積/爐(ALD/MLD/爐)處理任一者以沉積碳/硼/鋁氧化物(C/BN/Al2 O3 )或碳(C)襯墊,或化學氣相沉積(CVD)以沉積碳/碳化鎢(C/WC)襯墊。
本揭露案的一或更多實施例導向蝕刻膜堆疊之方法。一種方法,包含在基板上形成膜堆疊,膜堆疊包含氧化材料及氮化材料之複數個交替層,且膜堆疊具有堆疊厚度;蝕刻膜堆疊至第一深度,以形成具有至少一個側壁及底部的開口,第一深度小於堆疊厚度;在開口的至少一個側壁及底部上沉積非共形襯墊,在開口的底部上的非共形襯墊具有厚度,此厚度小於在開口的至少一個側壁上非共形襯墊的厚度;從開口的底部蝕刻非共形襯墊;相對於非共形襯墊選擇性蝕刻膜堆疊至第二深度,以形成孔洞;及移除非共形襯墊。
本揭露案的額外實施例亦導向蝕刻膜堆疊之方法。該方法包含:在基板上形成膜堆疊,膜堆疊包含氧化材料及氮化材料之複數個交替層,且膜堆疊具有堆疊厚度;在膜堆疊上形成圖案化硬遮罩;藉由硬遮罩蝕刻膜堆疊至第一深度,以形成具有至少一個側壁及底部表面的開口,第一深度小於第一厚度;藉由化學氣相沉積,在開口的至少一個側壁及底部表面上沉積非共形襯墊,在開口的底部表面上的非共形襯墊具有厚度,此厚度小於在開口的至少一個側壁上非共形襯墊的厚度,非共形襯墊包含以下一或更多者:硼、氮或碳;從開口的底部表面蝕刻非共形襯墊;相對於非共形襯墊選擇性蝕刻膜堆疊至第二深度,以形成孔洞;及實行基板的退火,以移除非共形襯墊。
本揭露案的進一步實施例導向電子裝置。該電子裝置包含:膜堆疊,在基板上,膜堆疊包含氧化材料及氮化材料之複數個交替層,且膜堆疊具有堆疊厚度;圖案化硬遮罩,在膜堆疊上;第一深度的開口,形成於膜堆疊中,開口具有至少一個側壁及底部,第一深度小於第一厚度;及非共形襯墊,形成於開口的至少一個側壁及底部表面上,非共形襯墊包含以下一或更多者:硼、氮或碳。
在說明本揭露案的數個範例實施例之前,應理解本揭露案並非限於以下說明中提及的構造或處理步驟之細節。本揭露案能夠以各種方式實施或執行其他實施例。
在此處所使用的「約」一詞代表大概或接近,且在提及的數值或範圍的上下文中代表數值±15%或更少的變化。舉例而言,在±14%、±10%、±5%、±2%或±1%的差異中的值將滿足約的定義。
如本說明書及隨附請求項中所使用,「基板」或「晶圓」一詞代表在其上作用處理的表面或表面之部分。本領域中技藝人士亦應理解參考基板可代表基板之部分,除非上下文清楚另外表示。此外,參考在基板上沉積可意味著裸基板及具有一或更多膜或特徵沉積或形成於其上的基板兩者。
如此處所使用的「基板」代表任何基板或形成於基板上的材料表面,於製作處理期間在其上實行膜處理。舉例而言,可在其上實行處理的基板表面包括例如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料,及任何其他材料,例如金屬、金屬氮化物、金屬合金及其他導電材料,取決於應用而定。基板不限制地包括半導體晶圓。基板可暴露至預處置處理,以拋光、蝕刻、還原、氧化、羥化(或者產生或移植標靶化學部分以給予化學功能性)、退火及/或烘烤基板表面。除了直接在基板本身的表面上處理之外,在本揭露案中,所揭露的任何膜處理步驟亦可實行在形成於基板上的下層上,如以下更詳細揭露,且「基板表面」一詞意圖包括如上下文指示的此下層。因此,舉例而言,當膜/層或部分膜/層已沉積於基板表面上時,新沉積的膜/層的暴露的表面變成基板表面。給定基板表面所包含者將取決於意圖沉積的材料,以及特定的化學使用。
如在此說明書及隨附請求項中所使用,「前驅物」、「反應物」、「反應氣體」一詞及類似者可交替地使用以代表可與基板表面反應的任何氣態物種。
動態隨機存取記憶體(DRAM)為隨機存取半導體記憶體的類型,而在積體電路之中於分開的小電容器中儲存資料的各個位元。NAND及V-NAND裝置為兩種類型的快閃記憶體,而為非揮發性記憶體的分類,即使在缺乏電流時仍保持資料。快閃記憶體非常易於攜帶,且與其耐久性及速度的其他特徵結合。V-NAND裝置為垂直堆疊的NAND結構,具有安排成塊狀的大量單元。
參照第1圖,為根據先前技術的電子裝置10(例如,記憶體裝置)的剖面視圖。在形成字元線之前,基板為層狀氮化/氧化堆疊15,具有厚度T。記憶體串形成於間隙或狹縫20中,而垂直通過層狀氧化層4及氮化層6(ON)堆疊15。隨著ON對及層級的數量增加以達成高容量,特徵20(例如,記憶體孔洞或狹縫)的深寬比大幅增加(>20)。結果,蝕刻此等結構的挑戰增加。弓曲臨界尺寸(CD)22及差量臨界尺寸(CD)超出設計規格,因此裝置無法根據設計規則工作。
本揭露案的實施例有利地提供在蝕刻處理方法期間用於形成保護層之沉積方法,而允許在側壁及底部膜上的臨界輪廓控制,在蝕刻處理期間大幅減少弓曲臨界尺寸(CD)。不受理論束縛,考量沉積一或更多實施例的高選擇性側壁襯墊,以保護半導體特徵的側壁,使得相較於不具任何襯墊的半導體特徵,弓曲CD大幅減少。此外,在特徵底部處實質上無或非常薄的膜輪廓使其易於突破用於蝕刻。
參照第2-9圖,本揭露案的某些實施例關於用於形成電子裝置,例如記憶體裝置之方法。參照第2圖,其為處理流程圖,形成電子裝置之方法50藉由形成膜堆疊在操作55處開始。在操作60處,可選地,於膜堆疊上形成圖案化硬遮罩。在操作65處,蝕刻膜堆疊。在操作70處,沉積非共形襯墊。在操作75處,蝕刻非共形襯墊。在操作80處,選擇性蝕刻膜堆疊。在操作85處,移除非共形襯墊。
第3圖根據本揭露案的某些實施例,圖示範例裝置100的剖面視圖。在一或更多實施例中,膜堆疊150包含沉積於基板102上氮化材料104及氧化材料106的複數個交替層。
在一或更多實施例中,膜堆疊150具有堆疊厚度T1 。在某些實施例中,堆疊厚度T1 在約500Å至約3000Å之範圍中,包括但非限於約600Å、約750Å、約900Å、約1000Å、約1250Å、約1500Å、約1750Å、約2000Å、約2250Å、約2500Å、約2750Å或約2900Å。
半導體基板102可為任何適合的基板材料。在一或更多實施例中,半導體基板102包含半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷酸銦(InP)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、鍺(Ge)、矽鍺(SiGe)、硒化銅銦鎵(CIGS)、其他半導體材料,或其任意結合。在一或更多實施例中,半導體基板102包含以下一或更多者:矽(Si)、鍺(Ge)、鎵(Ga)、砷(As)、銦(In)、磷(P)、銅(Cu)或硒(Se)。儘管此處說明基板102可形成的材料的少數範例,可供以作為基礎而在其上可建立被動及主動電子裝置(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電裝置、或任何其他電子裝置)的任何材料落入本揭露案的精神及範疇之中。
第4圖根據本揭露案的某些實施例,圖示範例裝置100的剖面視圖。參照第4圖,在一或更多實施例中,於膜堆疊150的頂部表面上形成圖案化硬遮罩108。在一或更多實施例中,圖案化硬遮罩108可藉由任何適合的處理形成。在某些實施例中,圖案化硬遮罩108形成作為包覆硬遮罩,且接續蝕刻以形成圖案化硬遮罩108。在某些實施例中,圖案化硬遮罩108沉積作為具有圖案(例如,圖案化印刷)的硬遮罩。
參照第5A圖,在一或更多實施例中,圖案化硬遮罩108具有暴露膜堆疊150之部分的開口116,以允許蝕刻膜堆疊150。參照第5A圖,在一或更多實施例中,開口116首先形成於圖案化硬遮罩108中,暴露膜堆疊150的表面。在某些實施例中,開口116具有在約1nm至約100nm之範圍中的寬度,約2nm至約80nm,約3nm至約75nm,約4nm至約50nm,或約5nm至約50nm。
參照第5B圖,在一或更多實施例中,膜堆疊150蝕刻至第一深度D1 的深度,以形成具有至少一個側壁112及底部表面114的開口110,第一深度D1 小於堆疊厚度T1 。在一或更多實施例中,蝕刻處理實質上為方向性的。
如此處所使用,「實質上方向性的」蝕刻處理代表在一個方向上比另一方向移除大量材料(例如,從膜堆疊移除垂直溝道,而非蝕刻溝道的側壁)的處理。實質上方向性的處理在第一方向上比在正交於第一者的第二方向上所移除的材料以10、20、50或100倍的速率更快速地優先移除材料。
在一或更多實施例中,膜堆疊150蝕刻至第一深度D1 的深度。第一深度D1 小於堆疊厚度T1 。換句話說,蝕刻處理不會蝕刻整個膜堆疊150。蝕刻處理形成開口110。開口110具有至少一個側壁112及底部表面114。在一或更多實施例中,第一深度D1 在約0.5µM至約10µM之範圍中,或約1µM至約10µM,包括但非限於約1µM、約2µM、約3µM、約4µM、約5µM、約6µM、約7µM、約8µM、約9µM或約10µM。
參照第6圖,在開口110的至少一個側壁112及底部表面114上沉積非共形襯墊118。在某些實施例中,非共形襯墊118包含硼(B)。在某些實施例中,非共形襯墊118進一步包含氮(N)或碳(C)。在其他實施例中,非共形襯墊118包含以下一或更多者:硼(B)、碳(C)或氮(N)。在某些實施例中,非共形襯墊118包含以下一或更多者:硼、氮化硼(BN)、碳化硼(BC)或碳氮化硼(BCN)。
在一或更多實施例中,非共形襯墊118實質上不含氧。在一或更多實施例中,非共形襯墊118實質上不含矽。如此處所使用,「實質上不含」一詞意味著小於5%,包括小於4%、小於3%、小於2%、小於1%及小於0.5%的氧或矽存在於非共形襯墊118中。不意圖受理論限制,考量非共形襯墊實質上不含矽及/或實質上不含氧具有比含有矽及/或氮的非共形襯墊更具穩定性。舉例而言,在一或更多實施例中,包含硼、碳或氮之一或更多者,且實質上不含矽及氧的非共形襯墊具有比包含矽及氧的非共形襯墊更大的原子穩定性。
在一或更多實施例中,非共形襯墊118可藉由任何適合的處理沉積。在某些實施例中,非共形襯墊118藉由化學氣相沉積(CVD)來沉積。
化學氣相沉積(CVD)為實施用於在基板上沉積層的處理。CVD為通量相依沉積技術,需要精確控制基板溫度及引入處理腔室的前驅物,以便產生均勻厚度的所欲層。
在一或更多實施例中,非共形襯墊118藉由將基板102暴露至含硼前驅物及反應物而形成。在一或更多實施例中,含硼前驅物為本領域中技藝人士已知的任何含硼前驅物。
在一或更多實施例中,含硼前驅物具有Bx Cy Nz Hv 的通式,其中x從大於0至小於1,y從0至小於1,z從0至小於1,且v從0至小於1。在某些實施例中,含硼前驅物從硼(B)源的反應獲得,例如但非限於(BR1 R2 R3m ,其中R1 、R2 及R3 獨立選擇自氫(H)、甲基(CH3 )、乙基(C2 H5 )、丙基(C3 H7 )、Cn H2n+ 2、Cn H2n 2 -2 或Cn H2n ,m小於8,且n小於8。在一或更多實施例中,硼源從以下一或更多者選擇:硼烷(BH3 )、乙硼烷(B2 H6 )、三硼烷(B3 H5 )、四硼烷(B4 H10 )、五硼烷9(B5 H9 )、五硼烷11(B5 H11 )、烷基硼烷,包括但非限於四甲基二硼烷((CH32 BH)、甲基二硼烷(CH3 B2 H5 )或類似者。
在一或更多實施例中,烴基碳源氣體從以下一或更多者選擇:Ca H2a+2 、Cb H2b 、Cb H2b-2 ,其中a為1或更大的數字,且b為2或更大的數字。在一或更多實施例中,烴基碳源氣體從以下一或更多者選擇:苯(C6 H6 )、甲烷(CH4 )、乙烷(C2 H6 )、丙烷(C3 H8 )、丁烷(C4 H10 )、異丁烷(C4 H10 )、戊烷(C5 H12 )、異戊烷(C5 H12 ),新戊烷(C5 H12 )、乙烯(C2 H4 )、丙烯(C3 H6 )、異丙烯(C3 H6 )、丁烯(C4 H8 )、異丁烯(C4 H8 )、戊烯(C5 H10 )、異戊烯(C5 H10 )、新戊烯(C5 H10 )、乙炔(C2 H2 )、丙炔(C3 H4 )、異丙烯(C3 H4 )、丁二烯(C4 H6 )、異丁二烯(C4 H6 )、異戊二烯(C5 H8 )及類似者。
在一或更多實施例中,氮源氣體選自以下一或更多者:氨(NH3 )、R1 NH2 、R1 R2 NH或R1 R2 R3 N,其中R1 、R2 及R3 獨立選擇自氫(H)、甲基(CH3 )、乙基(C2 H5 )、丙基(C3 H7 )、Cn H2n+2 、Cn H2n 2 -2 或Cn H2n ,n小於8。
在一或更多實施例中,含硼前驅物具有(R1 R2 R3 )NB(R1 R2 R3 )的通式,其中R1 、R2 、R3 獨立選擇自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第三丁基、正戊基、異戊基、第三戊基、正己基、異庚基、第三庚基、正辛基、異辛基、第三辛基、異辛基、第三辛基、芐基、苯基或類似者。
在一或更多實施例中,含硼前驅物選自烷基胺硼烷。不意圖受理論限制,考量特定選擇的含硼前驅物能夠調整襯墊118的共形度。
在某些實施例中,非共形襯墊118為連續的。在某些實施例中,非共形襯墊118在開口110的至少一個側壁112上比開口110的底部表面114更厚。在某些實施例中,在開口110的至少一個側壁112上的厚度為在開口110的底部表面114上的非共形襯墊118之厚度大於或等於約百分之100,大於或等於約百分之110,大於或等於約百分之120,大於或等於約百分之125,大於或等於約百分之150,大於或等於約百分之200。在某些實施例中,非共形襯墊118在一個側壁上具有約1nm至約50nm之範圍的厚度。在某些實施例中,非共形襯墊118在開口110的相對側壁112上具有厚度,而包含開口的總寬度的小於或等於約50%、小於或等於約30%、小於或等於約25%、小於或等於約20%或小於或等於約10%。
在一或更多實施例中,在開口110的底部表面上非共形襯墊的量具有的厚度小於在開口110的至少一個側壁上非共形襯墊的厚度。如此處所使用,「實質上無」或「非常薄」膜輪廓代表沉積於特徵的底部表面上非共形襯墊的量。因此,在一或更多實施例中,沉積於特徵的底部表面上非共形襯墊的量為沉積於開口110的至少一側上非共形襯墊的量小於約90%、95%、98%、99%或99.5%。
如此處所使用,非共形的襯墊代表其中整個厚度為不同的襯墊(例如,在開口110的側壁的頂部、中間及底部上,及底部表面上)。非共形的襯墊在厚度上變化大於或等於約11%,或約15%,或約20%,或約30%,或約40%,或約50%,或更大。
參照第7圖,從開口110的底部表面114蝕刻非共形襯墊118以暴露膜堆疊150。在一或更多實施例中,從底部表面114的此蝕刻可稱為「底部衝擊」。在一或更多實施例中,蝕刻可藉由技藝人士已知的任何蝕刻處理來實行。在某些實施例中,蝕刻包含反應離子蝕刻(RIE)。在一或更多實施例中,參照第7圖,當從開口110的底部表面114蝕刻非共形襯墊118時,從開口110的底部表面114移除實質上所有的非共形襯墊118,暴露在開口110的底部表面114上的膜堆疊150,同時部分的非共形襯墊118保留在開口110的至少一個側壁112上。在某些實施例中,小於約50%的非共形襯墊118保留在開口110的至少一個側壁112上,包括小於約40%,小於約30%,小於約20%,或小於約10%。在一或更多實施例中,當從開口110的底部表面114蝕刻非共形襯墊118時,某些非共形襯墊118可保留在底部表面114上。在其他實施例中,未圖示,從開口110的底部表面114完全移除非共形襯墊。
參照第8圖,相對於非共形襯墊118選擇性蝕刻膜堆疊150至第二深度D2 的深度,以形成孔洞120。蝕刻膜堆疊150延伸開口110的總深度,以形成具有至少一個側壁122及孔洞底部表面124的孔洞120。在一或更多實施例中,孔洞120延伸通過膜堆疊150的長度至基板102中。在其他實施例中,第一深度D1 及第二深度D2 的總和小於堆疊厚度T1 。因此,在一或更多實施例中,如第8圖圖示的蝕刻處理不會蝕刻整個膜堆疊150至基板102。
在一或更多實施例中,第二深度D2 具有約0.5µM至約10µM之範圍,包括但非限於約1µM、約2µM、約3µM、約4µM、約5µM、約6µM、約7µM、約8µM、約9µM或約10µM。
在一或更多實施例中,於蝕刻期間從開口110的至少一個側壁112移除非共形襯墊118之部分,以形成孔洞120。在一或更多實施例中,孔洞120包含記憶體孔洞或字元線縫。在孔洞120包含記憶體孔洞或字元線縫的此等實施例中,電子裝置100包含記憶體裝置或邏輯裝置,例如NAND、VNAND、DRAM或類似者。
如此處所使用,「3D NAND」一詞代表電子(固態)非揮發性電腦儲存記憶體之類型,其中記憶體單元以多層堆疊。3D NAND記憶體大致包括複數個記憶體單元,而包括浮動閘極電晶體。傳統上,3D NAND記憶體單元包括在位元線四周以三維安排的複數個NAND記憶體結構。
如此處所使用,「動態隨機存取記憶體」或「DRAM」代表藉由在電容器上儲存電荷封包(即,二進制之一)或無電荷(即,二進制之零)而儲存基準位元之記憶體單元。電荷透過存取電晶體閘入電容器上,且藉由開啟相同的電晶體且檢視藉由將電荷封包轉儲至電晶體輸出的互連線上建立的電壓攝動來感測。因此,單一DRAM單元以一個電晶體及一個電容器製成。
如此處所使用,「選擇性蝕刻」一詞或類似者意味著目標材料蝕刻至比其他材料更大的程度。在某些實施例中,「選擇性」意味著目標材料以大於或等於移除速率的約10x、15x、20x、25x、30x、35x、40x、45x或50x的速率從非選擇的表面移除。不受理論限制,相信非共形襯墊118在蝕刻期間保護開口110的側壁112,而能夠選擇性蝕刻膜堆疊150。
在一或更多實施例中,如第9圖中圖示,從至少一個側壁112移除或剝離非共形襯墊118。如第9圖中圖示,在一或更多實施例中,當移除非共形襯墊118時,亦移除圖案化硬遮罩108。在某些實施例中,藉由在氧化環境中包含退火的處理移除非共形襯墊118。在某些實施例中,氧化環境包含以下一或更多者:O2 、O3 、H2 O、H2 O2 、CO、CO2 、N2 O、NO2 或NO。在某些實施例中,以大於或等於約450°C的溫度實行退火,例如大於或等於約500°C,大於或等於約600°C,大於或等於約750°C,大於或等於約1000°C,大於或等於約1100°C,或大於或等於約1200°C。在某些實施例中,藉由包含氧電漿灰燼的處理移除非共形襯墊118。在某些實施例中,氧電漿灰燼以約300°C至約400°C之範圍的溫度實行。
不受理論限制,相信對於包含硼的非共形襯墊,可藉由蒸氣退火處理移除硼。再者,對於包含碳的襯墊,可藉由氧電漿灰燼處理移除碳。
在一或更多實施例中,於任何高深寬比(AR)蝕刻處理期間可使用非共形襯墊118,其中考量臨界尺寸炸裂。隨著電子裝置上記憶體或字元線縫的深寬比增加(例如,深寬比>20),具有弓曲及差量臨界尺寸(CD)減少的蝕刻處理可遭遇問題。在一或更多實施例中,高度選擇性非共形襯墊118保護特徵的至少一個側壁112、122,使得相較於不具任何襯墊的特徵,弓曲CD大幅減少。在特徵底部114處實質上無或非常薄的膜輪廓使其易於擊穿如第8圖中圖示用於第二蝕刻。
可實施本揭露案的一或更多實施例之沉積腔室可包括化學氣相沉積(CVD)腔室、高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿輔助的化學氣相沉積(PECVD)腔室、次大氣化學氣相沉積(SACVD)腔室及熱化學氣相沉積腔室,及其他類型的腔室等等。可實施本發明的實施例之CVD系統的具體範例包括從美國加州聖克拉拉市的應用材料公司可取得的CENTURA ULTIMA® HDP-CVD腔室/系統及PRODUCER® PECVD腔室/系統。
空間相關的詞彙,例如「之下」、「下方」、「下部」、「上方」、「上部」及類似者在此處可使用以易於說明書說明如圖式中圖示的一個元件或特徵對另一元件或特徵的關係。應理解空間相關的詞彙意圖包含除了在圖式中描繪的定向之外,在使用或操作中裝置的不同定向,舉例而言,若圖式中的裝置倒轉,描述為在其他元件或特徵「下方」或「之下」的元件將接著定向在其他元件或特徵「上方」。因此,「下方」的範例詞彙可包含上方及下方的定向兩者。裝置可另外定向(旋轉90度或其他定向),且此處所使用空間相關的說明應相對應解釋。
「一」及「一者」及「該」及類似的詞彙的使用在說明此處所討論的材料及方法的上下文中(特別為以下請求項的上下文中)考量覆蓋單一及複數兩者,除非此處另外指示或藉由上下文清楚限定。此處值的範圍的記載僅意圖供以作為代表個別分開的值落入範圍之中的速記方法,除非此處另外指示,且各個分開的值併入說明書中如其在此處個別記載。此處所述的所有方法可以任何適合的順序實行,除非此處另外指示或者藉由上下文清楚限定。任何及所有範例或此處所提供的範例用詞(例如,「例如」)之使用意圖僅較佳顯現材料及方法,且非限制其範疇,除非另外主張。說明書中的用詞不應考量為指示任何非主張的元件對執行所揭露材料及方法為關鍵的。
此說明書全篇所稱「一個實施例」、「某實施例」、「一或更多實施例」或「一實施例」意味著與實施例連接說明的特定特徵、結構、材料或特性包括在本揭露案的至少一個實施例中。因此,在本說明書全篇各處中詞彙的存在,例如「在一或更多實施例中」、「在某實施例中」、「在一個實施例中」或「在一實施例中」,並非必須代表本揭露案的相同實施例。在一或更多實施例中,特定特徵、結構、材料或特性以任何適合的方式結合。
儘管此處已參考特定實施例說明本揭露案,應理解此等實施例僅為原理之說明及本揭露案之應用。可對本揭露案之方法及設備作成各種修改及改變對本領域中技藝人士將為顯而易見的,而不會悖離本揭露案之範疇。因此,本揭露案意圖包括在隨附申請專利範圍及其均等之範疇之中的修改及改變。
4:氧化層 6:氮化層 10:電子裝置 15:堆疊 20:狹縫 22:弓曲臨界尺寸 50:方法 55:操作 60:操作 65:操作 70:操作 75:操作 80:操作 85:操作 100:裝置 102:基板 104:氮化材料 106:氧化材料 108:圖案化硬遮罩 110:開口 112:側壁 114:底部表面 116:開口 118:非共形襯墊 120:孔洞 122:側壁 124:底部表面 150:膜堆疊
以此方式可詳細理解本揭露案以上所載之特徵,以上簡要概述的本揭露案的更特定說明可藉由參考實施例而獲得,某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示本揭露案的通常實施例,且因此不應考量為其範疇之限制,因為本揭露案認可其他均等效果的實施例。此處所述的實施例以範例之方式圖示,且並非限制於隨附圖式之圖中,其中類似的元件符號代表類似的元件。
第1圖根據先前技術,圖示範例電子裝置;
第2圖根據本揭露案的一或更多實施例,為形成電子裝置之方法的流程圖;
第3圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第4圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第5A圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第5B圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第6圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第7圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第8圖根據本揭露案的一或更多實施例,圖示範例電子裝置;
第9圖根據本揭露案的一或更多實施例,圖示範例電子裝置。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:裝置
102:基板
104:氮化材料
106:氧化材料
120:孔洞
122:側壁
124:底部表面
150:膜堆疊

Claims (18)

  1. 一種形成一電子裝置之方法,包含以下步驟:在一基板上形成一膜堆疊,該膜堆疊包含一氧化材料及一氮化材料之複數個交替層,且該膜堆疊具有一堆疊厚度;蝕刻該膜堆疊至一第一深度,以形成具有至少一個側壁及一底部的一開口,該第一深度小於該堆疊厚度;在該開口的該至少一個側壁及該底部上沉積一非共形襯墊,在該開口的該底部上的該非共形襯墊具有一厚度,該厚度小於在該開口的該至少一個側壁上該非共形襯墊的一厚度;從該開口的該底部蝕刻該非共形襯墊;相對於該非共形襯墊選擇性蝕刻該膜堆疊至一第二深度,以形成一孔洞;及在一氧化環境中退火該膜堆疊,以移除該非共形襯墊。
  2. 如請求項1所述之方法,其中該堆疊厚度在約1μM至約10μM之一範圍中。
  3. 如請求項1所述之方法,進一步包含以下步驟:在蝕刻之前於該膜堆疊上形成一圖案化硬遮罩。
  4. 如請求項3所述之方法,其中在該圖案化硬遮罩中的開口暴露待蝕刻的該膜堆疊之部分。
  5. 如請求項4所述之方法,其中在該圖案化硬 遮罩中的該等開口具有在約1nm至約3000nm之一範圍中的一寬度。
  6. 如請求項1所述之方法,其中該非共形襯墊包含以下一或更多者:硼、碳或氮。
  7. 如請求項1所述之方法,其中該非共形襯墊藉由化學氣相沉積來沉積。
  8. 如請求項1所述之方法,其中該非共形襯墊藉由將該基板暴露至一含硼前驅物及一反應物而形成。
  9. 如請求項1所述之方法,其中該孔洞包含以下一或更多者:一記憶體孔洞或一字元線縫。
  10. 如請求項1所述之方法,其中該第二深度在約1μM至約10μM之一範圍中。
  11. 如請求項1所述之方法,其中該孔洞具有約50nm的一減少的弓曲臨界尺寸。
  12. 如請求項1所述之方法,其中該非共形襯墊具有在約1nm至約50nm之一範圍中的一厚度。
  13. 如請求項1所述之方法,其中該退火在大於或等於約500℃的一溫度下實行。
  14. 一種形成一電子裝置之方法,包含以下步驟:在一基板上形成一膜堆疊,該膜堆疊包含一氧化材料及一氮化材料之複數個交替層,且該膜堆疊具有一堆疊厚度;在該膜堆疊上形成一圖案化硬遮罩; 藉由該硬遮罩蝕刻該膜堆疊至一第一深度,以形成具有至少一個側壁及一底部表面的一開口,該第一深度小於該堆疊厚度;藉由原子層沉積,在該開口的該至少一個側壁及該底部表面上沉積一非共形襯墊,在該開口的該底部表面上的該非共形襯墊具有一厚度,該厚度小於在該開口的該至少一個側壁上該非共形襯墊的一厚度,該非共形襯墊包含以下一或更多者:硼、氮或碳;從該開口的該底部表面蝕刻該非共形襯墊;相對於該非共形襯墊選擇性蝕刻該膜堆疊至一第二深度,以形成一孔洞;及在一氧化環境中退火該基板,以移除該非共形襯墊。
  15. 如請求項14所述之方法,其中該非共形襯墊藉由將該基板暴露至一含硼前驅物及一反應物而形成。
  16. 如請求項15所述之方法,其中該非共形襯墊具有在約1nm至約50nm之一範圍中的一厚度。
  17. 如請求項14所述之方法,其中該退火在大於或等於約400℃的一溫度下實行。
  18. 一種電子裝置,包含:一膜堆疊,在一基板上,該膜堆疊包含一氧化材料及一氮化材料之複數個交替層,且該膜堆疊具有一堆疊厚度;一圖案化硬遮罩,在該膜堆疊上; 一第一深度的一開口,形成於該膜堆疊中,該開口具有至少一個側壁及一底部,該第一深度小於該堆疊厚度;及一非共形襯墊,形成於該開口的該至少一個側壁及該底部表面上,該非共形襯墊包含碳化硼(BC)。
TW109127021A 2019-08-15 2020-08-10 非共形膜的選擇性蝕刻臨界尺寸控制 TWI819233B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962887078P 2019-08-15 2019-08-15
US62/887,078 2019-08-15

Publications (2)

Publication Number Publication Date
TW202113975A TW202113975A (zh) 2021-04-01
TWI819233B true TWI819233B (zh) 2023-10-21

Family

ID=74567164

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109127021A TWI819233B (zh) 2019-08-15 2020-08-10 非共形膜的選擇性蝕刻臨界尺寸控制

Country Status (6)

Country Link
US (1) US11702751B2 (zh)
JP (1) JP2022544266A (zh)
KR (1) KR20220037521A (zh)
CN (1) CN114450773A (zh)
TW (1) TWI819233B (zh)
WO (1) WO2021030310A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11935751B2 (en) * 2021-05-25 2024-03-19 Applied Materials, Inc. Boron nitride for mask patterning
WO2023205570A1 (en) * 2022-04-21 2023-10-26 Lam Research Corporation Nonconformal oxide film deposition using carbon-containing inhibitor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084046B2 (en) * 2001-11-29 2006-08-01 Shin-Etsu Handotai Co., Ltd. Method of fabricating SOI wafer
KR100832944B1 (ko) * 2000-09-21 2008-05-27 신에쯔 한도타이 가부시키가이샤 어닐 웨이퍼의 제조방법 및 어닐 웨이퍼
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US20140273462A1 (en) * 2013-03-15 2014-09-18 Micron Technology, Inc. Methods of Fabricating Integrated Structures, and Methods of Forming Vertically-Stacked Memory Cells
WO2015094667A1 (en) * 2013-12-16 2015-06-25 Applied Materials, Inc. Air gap structure integration using a processing system
US20160163557A1 (en) * 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5411171B2 (ja) 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
JP2016157893A (ja) 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US20170170065A1 (en) 2015-12-15 2017-06-15 Tokyo Electron Limited Carbon film forming method, carbon film forming apparatus, and storage medium
JP2017212294A (ja) 2016-05-24 2017-11-30 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
JP6632470B2 (ja) 2016-05-24 2020-01-22 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
SG11202100018XA (en) * 2018-07-27 2021-02-25 Applied Materials Inc 3d nand etch

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100832944B1 (ko) * 2000-09-21 2008-05-27 신에쯔 한도타이 가부시키가이샤 어닐 웨이퍼의 제조방법 및 어닐 웨이퍼
US7084046B2 (en) * 2001-11-29 2006-08-01 Shin-Etsu Handotai Co., Ltd. Method of fabricating SOI wafer
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US20140273462A1 (en) * 2013-03-15 2014-09-18 Micron Technology, Inc. Methods of Fabricating Integrated Structures, and Methods of Forming Vertically-Stacked Memory Cells
WO2015094667A1 (en) * 2013-12-16 2015-06-25 Applied Materials, Inc. Air gap structure integration using a processing system
US20160163557A1 (en) * 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Also Published As

Publication number Publication date
WO2021030310A1 (en) 2021-02-18
TW202113975A (zh) 2021-04-01
JP2022544266A (ja) 2022-10-17
US20210047733A1 (en) 2021-02-18
KR20220037521A (ko) 2022-03-24
CN114450773A (zh) 2022-05-06
US11702751B2 (en) 2023-07-18

Similar Documents

Publication Publication Date Title
US11050020B2 (en) Methods of forming devices including multi-portion liners
KR102484303B1 (ko) 3d-nand 디바이스들에서의 워드라인 분리를 위한 방법들
TWI825224B (zh) 減少dram字線中的閘極誘發的汲極洩漏
US8889560B2 (en) Methods of forming fine patterns for semiconductor device
TWI815325B (zh) 3d nand蝕刻
TWI819233B (zh) 非共形膜的選擇性蝕刻臨界尺寸控制
JP2008135714A (ja) 容量素子を有する半導体装置及びその製造方法
CN102163550B (zh) 半导体元件的制造方法
CN115643762A (zh) 具有不同微结构沟道子区的微电子装置及相关方法和系统
CN107731750B (zh) 超高深宽比sono刻蚀工艺
KR20220129606A (ko) 실리콘 카바이드 재료를 포함하는 전자 디바이스 및 관련 방법 및 시스템
US20220351982A1 (en) Processes to deposit amorphous-silicon etch protection liner
JP7362911B2 (ja) 選択的自己制限式タングステンエッチングプロセス
TW202410405A (zh) 用於3d記憶體之直接字元線觸點與製造方法
TW202401756A (zh) 用於小間距填充的支撐層
US9530784B1 (en) Memory device and method for fabricating the same
TW202411450A (zh) 氮化鑭作為dram鉬襯墊
CN112614855A (zh) 半导体刻蚀孔内膜层及三维存储器结构的制备方法
JP2022552906A (ja) 金属酸化物材料を含む電子デバイス並びに関連する方法及びシステム
CN112103296A (zh) 半导体结构的制造方法
CN106298787A (zh) 存储元件及其制造方法