KR101659095B1 - 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 - Google Patents

측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 Download PDF

Info

Publication number
KR101659095B1
KR101659095B1 KR1020107017440A KR20107017440A KR101659095B1 KR 101659095 B1 KR101659095 B1 KR 101659095B1 KR 1020107017440 A KR1020107017440 A KR 1020107017440A KR 20107017440 A KR20107017440 A KR 20107017440A KR 101659095 B1 KR101659095 B1 KR 101659095B1
Authority
KR
South Korea
Prior art keywords
region
bellows
arm unit
opening
chamber
Prior art date
Application number
KR1020107017440A
Other languages
English (en)
Other versions
KR20100119762A (ko
Inventor
제임스 이 테판
스코트 제프리 스티브노트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100119762A publication Critical patent/KR20100119762A/ko
Application granted granted Critical
Publication of KR101659095B1 publication Critical patent/KR101659095B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 처리 챔버는 캔틸레버 어셈블리, 및 대기 로드를 소멸시키도록 구성되는 적어도 하나의 진공 분리 부재를 포함한다. 챔버는, 내부 영역을 둘러싸고 개구부가 형성된 벽을 포함한다. 캔틸레버 어셈블리는 챔버 내에 기판을 지지하기 위한 기판 지지부를 포함한다. 캔틸레버 어셈블리는 일부가 챔버 외부에 위치되도록 개구부를 통해 확장된다. 챔버는 벽에 대해 캔틸레버 어셈블리를 이동시키도록 동작하는 작동 메커니즘을 포함한다.

Description

측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 RF 플라즈마 반응기{ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL}
본 출원은 2008 년 2 월 8 일 출원되고 그 전체가 본 명세서에 참조로 포함되고 발명의 명칭이 "ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL" 인 미국 가특허출원 제 61/006,985 호에 대해 35 U.S.C.§119 하의 우선권을 주장한다.
다음 세대의 디바이스 제조에서, 감소하는 피쳐 사이즈 및 새로운 재료의 구현은 플라즈마 처리 장비에 대해 새로운 요건을 요구하고 있다. 더 작은 디바이스 피쳐, 더 큰 기판 사이즈 및 새로운 처리 기술들 (듀얼 다마신 에칭과 같은 멀티스텝 레시피) 은 더 양호한 디바이스 수율을 위해 웨이퍼 전체에 걸쳐 양호한 균일성을 유지해야 하는 시도를 증가시키고 있다.
플라즈마 처리 장치의 일 실시형태는, 내부 영역을 둘러싸고 개구부를 갖는 측벽을 포함하는 챔버; 측벽의 개구부를 통해 연장되고 내부 영역 외부에 위치된 외곽부를 갖는 암 유닛, 및 내부 영역 내에 배치되고 암 유닛 상에 있는 기판 지지부를 포함하는 캔틸레버 어셈블리; 암 유닛의 외곽부에 커플링되고 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및 암 유닛과 측벽 사이에 진공 밀봉을 제공하는 벨로우 장치를 포함한다.
플라즈마 처리 장치의 다른 실시예에 따르면, 암 유닛은 내부 캐비티를 포함하고, 챔버는 내부 캐비티 내에 위치되고, RF 전원에 커플링된 일단을 가져서 일단을 통해 RF 전원으로부터 RF 전력을 전송하도록 동작하는 RF 튜브 및 RF 튜브의 타단에 커플링되고, RF 전력을 집전하여 RF 전력을 기판 지지부로 전송하도록 동작하는 RF 도전체를 더 포함한다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, RF 전원은 암 유닛의 외곽부 상에 탑재되어, RF 전원은 작동 메커니즘에 의해 캔틸레버 어셈블리와 함께 이동된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 개구부를 둘러싸는 측벽 상에 비접촉 입자 밀봉을 더 포함하고, 비접촉 입자 밀봉은 암 유닛으로부터 수직으로 연장되는 고정형 판을 포함하고, 측벽은 고정형 판을 수용하는 슬롯을 포함하여, 고정형 판이 슬롯에 접촉하지 않고, 고정형 판의 외부가 암 유닛의 모든 수직 위치에서 슬롯 내에 유지된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 암 유닛이 최상위 위치로 이동하는 경우, 벨로우의 상부는 압축되고 벨로우의 하부는 팽창되고, 암 유닛이 최하위 위치로 이동하는 경우, 벨로우의 상부는 팽창되고 벨로우의 하부는 압축된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 벨로우는 이동가능한 벨로우 실드판 및 고정형 벨로우 실드를 포함하고, 이동가능한 벨로우 실드판은 암 유닛의 제 2 말단으로부터 연장되고, 고정형 벨로우 실드는 측벽 상에 탑재된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 상부 전극 어셈블리는 갭에 처리 가스를 공급하기 위한 적어도 하나의 배플 (baffle) 을 포함하고, RF 전원은 하부 전극 어셈블리에 RF 전력을 공급하여 처리 가스를 여기시켜 플라즈마를 생성하도록 동작할 수 있다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 기판 지지부는 RF 구동 하부 전극을 포함하고, 암 유닛은 제 2 말단에 하우징을 포함하고, 하우징은 전극에 RF 매칭을 제공하는 회로를 포함한다. 암 유닛은 기판 지지부에 탑재된 기판에 배면 냉각제를 공급하도록 동작할 수 있는 적어도 하나의 가스 라인을 포함한다. 지지 암은 기판 지지부에 위치된 센서로부터의 신호를 전송하도록 동작할 수 있는 적어도 하나의 전기 접속부를 포함한다. 그리고/또는 지지 암은 기판 지지부 내에 열전달액을 순환시키도록 동작할 수 있는 유체 통로를 포함한다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 플라즈마 처리 장치는 챔버 외부에 위치된 이동가능한 지지판을 더 포함하고, 이동가능한 지지판은 지지 암의 일단에 부착되고 지지 암의 서비스 개구부에 수평으로 정렬된 복수의 서비스 개구부를 갖고, 이동가능한 지지판은 선형 가이드를 따라 챔버의 측벽의 외부면을 따라 슬라이딩하는 이동가능한 환형판에 부착되고, 환형판은 벨로우가 위치되는 지지 암 주위에 외곽 공간을 정의한다.
기판을 처리하기 위한 플라즈마 처리 챔버의 캔틸레버 어셈블리를 위한 측방향 벨로우 유닛의 일 실시형태는 측벽이 챔버의 내부를, 측벽의 개구부를 통해 유체 소통되는 제 1 영역 및 제 2 영역으로 분리시키고, 측방향 벨로우 유닛은 챔버의 측벽 상에 탑재되는 고정형 환형판으로서, 환형판의 개구부는 측벽의 개구부를 둘러싸는, 고정형 환형판, 제 1 영역 및 상기 제 2 영역의 외부에서 암 유닛의 일단에 부착되는 이동가능한 판으로서, 암 유닛은 측벽의 개구부를 통해 수평으로 확장되어 제 1 말단은 제 1 영역에 있고 제 2 말단은 벨로우에 의해 정의되는 제 2 영역에 있도록 구성되고, 제 1 말단의 상부 상에 기판 지지부가 위치되는, 이동가능한 판 및 고정형 환형판과 이동가능한 판 사이에 연장되는 벨로우로서, 고정형 환형판에서, (a) 암 유닛이 최상위 위치로 이동하는 경우, 벨로우의 상부는 압축되고 벨로우의 하부는 팽창되고, (b) 암 유닛이 최하위 위치로 이동하는 경우, 벨로우의 상부는 팽창되고 벨로우의 하부는 압축되는, 벨로우를 포함한다.
측방향 벨로우 유닛의 다른 실시예에 따르면, 벨로우는 테이퍼링되고, 챔버의 측벽에 밀봉된 말단에서 더 큰 직경을 갖는다.
도 1a 내지 도 1c 는, 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 한정된 RF 플라즈마 반응기의 일 실시형태를 도시한다.
도 2 는, 하부 전극이 조정가능한 갭 플라즈마 반응기 챔버에 대해 수직으로 변환될 수 있게 하는 캔틸레버가 탑재된 RF 바이어스 하우징의 일 실시형태를 도시한다.
도 3 은 도 1a 내지 도 1c 에 도시된 측방향 벨로우의 일 실시형태의 부분 단면도를 도시한다.
도 4 는 이동가능한 벨로우 실드판 및 고정형 벨로우 실드의 세부사항을 도시하는, 도 3 의 박스 Q 의 세부 확대도를 도시한다.
도 5a 및 도 5b 는, 하부 전극이 중간 위치 (중간 갭) 에 있는 경우 래비린스 밀봉의 일 실시형태에 대한 부분 단면도를 도시한다.
도 6a 및 도 6b 는, 하부 전극이 로우 위치 (큰 갭) 에 있는 경우 도 5a 및 도 5b 의 실시형태에 대한 부분 단면도를 도시한다.
도 1a 내지 도 1c 는, 조정가능한 갭이 용량적으로 커플링되는 한정된 RF 플라즈마 반응기의 일 실시형태를 도시한다. 도시된 바와 같이, 진공 챔버 (602) 는, 하부 전극 (606) 을 하우징하는 내부 공간을 둘러싼 챔버 하우징 (604) 을 포함한다. 챔버 (602) 의 상부에서, 상부 전극 (608) 은 하부 전극 (606) 으로부터 수직방향으로 이격되어 위치된다. 상부 전극 (608) 및 하부 전극 (606) 의 평탄한 표면은 실질적으로 평행하고, 전극 사이의 수직 방향에 대해 수직한다. 바람직하게는, 상부 전극 (608) 및 하부 전극 (606) 은 원형이고 수직축에 대해 동축이다. 상부 전극 (608) 의 하부 표면은 하부 전극 (606) 의 상부 표면과 대면한다. 이격되어 대면하는 전극 표면들은 그 사이에 조정가능한 갭 (610) 을 정의한다. 동작 동안, 하부 전극 (606) 에는 RF 전원 (매칭) (620) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (622), RF 스트랩 (624) 및 RF 전력 부재 (626) 를 통해 하부 전극 (606) 에 공급된다. 접지된 실드 (636) 는 RF 전력 부재 (626) 를 둘러싸서, 하부 전극 (606) 에 더 균일한 RF 필드를 제공할 수도 있다. 공동 소유되어 계류중이고 그 전체가 본 명세서에 참조로 포함된 미국 특허 출원 공보 제 2008/0171444 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (682) 를 통해 삽입되고, 처리를 위해 하부 전극 (606) 상의 갭 (610) 에서 지지되고, 처리 가스가 갭 (610) 에 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (608) 은 전력공급되거나 접지될 수 있다.
도 1a 내지 도 1c 에 도시된 실시형태에서, 하부 전극 (606) 은 하부 전극 지지판 (616) 상에 지지된다. 하부 전극 (606) 과 하부 전극 지지판 (616) 사이에 개재되는 절연링 (614) 은 하부 전극 (606) 을 지지판 (616) 으로부터 절연시킨다.
RF 바이어스 하우징 (630) 은 RF 바이어스 하우징 보울 (632) 상에서 하부 전극 (606) 을 지지한다. 보울 (632) 은 챔버 벽 판 (618) 의 개구부를 통해 RF 바이어스 하우징 (630) 의 암 (634) 에 의해 도관 지지판 (638) 에 연결된다. 바람직한 실시형태에서, RF 바이어스 하우징 보울 (632) 및 RF 바이어스 하우징 암 (634) 은 하나의 컴포넌트로서 일체형으로 형성되지만, 암 (634) 및 보울 (632) 은 또한 서로 결속되거나 결합되는 2 개의 별개의 컴포넌트일 수 있다.
RF 바이어스 하우징 암 (634) 은 진공 챔버 (602) 의 외부로부터 하부 전극 (606) 의 배면 상의 공간에서 진공 챔버 (602) 의 내부로, 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어용 케이블, 전기 모니터링 및 작동 신호와 같은 RF 전력 및 퍼실러티를 통과시키기 위한 하나 이상의 공동 (hollow) 통로를 포함한다. RF 공급 도관 (622) 은 RF 바이어스 하우징 암 (634) 으로부터 절연되고, RF 바이어스 하우징 암 (634) 은 RF 전력에 대해 RF 전원 (620) 으로의 리턴 경로를 제공한다. 퍼실러티 도관 (640) 은 퍼실러티 컴포넌트들을 위한 통로를 제공한다. 퍼실러티 컴포넌트들에 대한 자세한 세부사항은 미국 특허 제 5,948,704 호, 및 공동소유된 계류중인 미국 특허 출원 공보 제 2008/0171444 호에 개시되어 있으며, 설명의 단순화를 위해 여기서는 나타내지 않는다. 갭 (610) 은 바람직하게는 한정 링 어셈블리 (미도시) 에 의해 둘러싸이고, 그 세부사항은 본 명세서에 참조로서 통합되고 공동 소유된 미국 공개 특허 공보 제 2007/0284045 호에서 공통으로 발견될 수 있다.
도관 지지판 (638) 은 작동 메커니즘 (642) 에 부착된다. 작동 메커니즘의 세부사항은 본 명에서에 참조로서 통합되고 공동 소유되고 계류중인 미국 특허 공보 제 2008/0171444 호에 개시되어 있다. 서보 미케니컬 모터, 스테퍼 모터 등과 같은 작동 메커니즘 (642) 은, 예를 들어, 볼 스크류와 그 볼 스크류를 회전시키기 위한 모터와 같은 스크류 기어 (646) 에 의해 수직 선형 베어링 (644) 에 부착된다. 갭 (610) 의 사이즈를 조정하기 위한 동작 동안, 작동 메커니즘 (642) 은 수직 선형 베어링 (644) 을 따라 이동한다. 도 1a 는 작동 메커니즘 (642) 이 선형 베어링 (644) 상의 하이 위치에 있어서 작은 갭 (610a) 이 형성되는 구성을 도시한다. 도 1b 는 작동 메커니즘 (642) 이 선형 베어링 (644) 상의 중간 위치에 있는 구성을 도시한다. 도시된 바와 같이, 하부 전극 (606), RF 바이어스 하우징 (630), 도관 지지판 (638), RF 전원 (620) 모두는 챔버 하우징 (604) 및 상부 전극 (608) 에 대해 더 아래로 이동하여 중간 사이즈의 갭 (610b) 을 형성한다.
도 1c 는 작동 메커니즘 (642) 이 선형 베어링 상의 로우 위치에 있는 큰 갭 (610c) 을 도시한다. 바람직하게는, 상부 전극 및 하부 전극 (608, 606) 은 갭 조정 동안 동축으로 유지되고, 갭을 통한 상부 전극과 하부 전극의 대면하는 표면은 평행하게 유지된다.
이 실시형태는, 예를 들어, 300 mm 웨이퍼 또는 평판 디스플레이와 같은 큰 직경의 기판을 통해 균일한 에칭을 유지하기 위해, 멀티-스텝 처리 레시피 (BARC, HARC 및 STRIP 등) 동안 CCP 챔버 (602) 내의 하부 전극 (606) 과 상부 전극 (608) 사이의 갭 (610) 이 조정될 수 있게 한다. 더 상세하게는, 이 실시형태는 하부 전극 (606) 과 상부 전극 (608) 사이에 조정가능한 갭을 제공하는데 필요한 선형 운동을 용이하게 하는 기계적 구성과 관련된다.
도 1a 는 챔버 벽 판 (618) 의 계단형 플랜지 (628) 에 대한 말단 및 도관 지지판 (638) 에 대한 근접 말단에서 밀봉되어 측방향으로 휘어진 벨로우 (650) 를 도시한다. 계단형 플랜지의 내부 직경은, RF 바이어스 하우징 암 (634) 이 관통하는 챔버 벽 판 (618) 내의 개구부 (612) 를 정의한다.
측방향으로 휘어진 벨로우 (650) 는 RF 바이어스 하우징 (630), 도관 지지판 (638) 및 작동 메커니즘 (642) 의 수직 이동을 허용하면서 진공 밀봉을 제공한다. RF 바이어스 하우징 (630), 도관 지지판 (638) 및 작동 메커니즘 (642) 을 캔틸레버 어셈블리라고 할 수 있다. 바람직하게는, RF 전원 (620) 은 캔틸레버 어셈블리와 함께 이동하고, 도관 지지판 (638) 에 부착될 수 있다. 도 1b 는 캔틸레버 어셈블리가 중간 위치에 있는 경우 중립 위치에 있는 벨로우 (650) 를 나타낸다. 도 1c 는 캔틸레버 어셈블리가 로우 위치에 있는 경우 측방향으로 휘어진 벨로우 (650) 를 나타낸다.
래비린스 밀봉 (648) 은 벨로우 (650) 와 플라즈마 처리 챔버 하우징 (604) 의 내부 사이에 입자 배리어를 제공한다. 고정형 실드 (656) 는 챔버 벽 판 (618) 에서 챔버 하우징 (604) 의 내부벽 안에 이동불가능하게 부착되어, 캔틸레버 어셈블리의 수직 이동을 수용하기 위해 이동가능한 실드판 (658) 이 수직으로 이동하는 래비린스 그루브 (660; 슬롯) 를 제공한다. 이동가능한 실드판 (658) 의 외곽부는 하부 전극 (606) 의 모든 수직 위치에서 슬롯 내에 남는다.
도시된 실시형태에서, 래비린스 밀봉 (648) 은, 래비린스 그루브 (660) 를 정의하는 챔버 벽 판 (618) 내의 개구부 (612) 주위에서 챔버 벽 판 (618) 의 내부 표면에 부착된 고정형 실드 (656) 를 포함한다. 이동가능한 실드판 (658) 은 RF 바이어스 하우징 암 (634) 에 부착되어 그로부터 방사상으로 확장되고, 암 (634) 은 챔버 벽 판 (618) 내의 개구부 (612) 를 관통한다. 이동가능한 실드판 (658) 은 제 1 갭 (도 4 의 채널 "B") 만큼 고정형 실드 (656) 로부터 이격되고 제 2 갭 (도 4 의 채널 "C") 만큼 챔버 벽 판 (618) 의 내부 표면으로부터 이격되어 래비린스 그루브 (660) 로 확장되어, 캔틸레버 어셈블리가 수직으로 이동할 수 있게 한다. 래비린스 밀봉 (648) 은 벨로우 (650) 로부터 쪼개진 입자의 이동이 진공 챔버 내부 (605; 도 2) 로 진입하는 것을 차단하고, 후속적으로 쪼개지는 퇴적물을 형성할 수 있는, 처리 가스 플라즈마로부터의 라디칼이 벨로우 (650) 로 이동하는 것을 차단한다.
도 1a 는, 캔틸레버 어셈블리가 하이 위치 (작은 갭 (610a)) 에 있는 경우, RF 바이어스 하우징 암 (634) 위의 래비린스 그루브 (660) 내에서 더 상위의 위치에 있는 이동가능한 실드판 (658) 을 도시한다. 도 1c 는, 캔틸레버 어셈블리가 로우 위치 (큰 갭 (610c)) 에 있는 경우, RF 바이어스 하우징 암 (634) 위의 래비린스 그루브 (660) 내에서 더 하위의 위치에 있는 이동가능한 실드판 (658) 을 도시한다. 도 1b 는, 캔틸레버 어셈블리가 중간 위치 (중간 갭 (610b)) 에 있는 경우, 래비린스 그루브 (660) 내에서 중립 또는 중간 위치에 있는 이동가능한 실드판 (658) 을 도시한다. 래비린스 밀봉 (648) 은 RF 바이어스 하우징 암 (634) 을 중심으로 대칭인 것으로 도시되어 있지만, 다른 실시형태에서, 래비린스 밀봉 (648) 은 RF 바이어스 암 (634) 을 중심으로 비대칭일 수도 있다.
도 2 는, 조정가능한 갭이 용량적으로 커플링된 한정된 RF 플라즈마 반응기 내의 캔틸레버 어셈블리의 컴포넌트들에 대한 일 실시형태를 도시한다. 컴포넌트들은 설명의 용이함을 위해 다른 컴포넌트없이 부분적으로 절단되어 도시되어 있다. 이 도면에서, RF 바이어스 하우징 (630) 은 챔버 외부에 위치된 도관 지지판 (638) 에 의해 진공 챔버 (602) 내부에서 지지된다. RF 바이어스 하우징 암 (634) 의 근접 말단은 도관 지지판 (638) 에 부착된다. 도관 지지판 (638) 내의 서비스 개구부는, RF 바이어스 하우징 암 (634) 의 내부를 통해 축방향으로 하부 전극 (606) 뒤의 공간까지 통과하는 퍼실러티 도관 (640) 및 RF 공급 도관 (622) 으로의 액세스를 가능하게 한다. RF 공급 도관 (622) 및 퍼실러티 도관 (640) 은 대기압과 같은 제 1 압력이고, 진공 챔버 (602) 의 내부는 진공 포털 (680) 을 통한 진공 펌프로의 연결에 의해 감소된 압력과 같은 제 2 압력이다. 벨로우 (650) 는 캔틸레버 어셈블리의 수직 이동을 허용하면서 진공 밀봉을 제공한다.
도관 지지판 (638) 은, 선형 베어링 (644) 을 따라 진공 챔버 (602) 에 대해 상하로 수직으로 이동하는 작동 메커니즘 (642) 에 부착된다. 선형 베어링 (644) 은, 진공 챔버 (602) 의 측벽을 제공하는 챔버 벽 판 (618) 에 부착된다. 챔버 벽 판 (618) 은 작동 메커니즘 (642) 의 동작 동안 이동하지 않지만, 진공 챔버 (602) 에 릴리스가능하게 부착되어, RF 바이어스 하우징 (630) 및 하부 전극 어셈블리의 진공 챔버 (602) 로의 탈부착을 용이하게 할 수도 있다. 작동 메커니즘 (642) 이 진공 챔버 (602) 에 대해 수직으로 이동하는 경우, 도관 지지판 (638) 및 RF 바이어스 하우징 (630) 또한 도 2 의 화살표 A-A' 에 의해 표시된 방향으로 수직으로 이동한다.
챔버 벽 판 (618) 은 챔버 하우징 (604) 으로의 개구부를 형성하는 계단형 플랜지 (628) 를 갖는다. RF 바이어스 하우징 암 (634) 은 그 계단형 플랜지 (628) 의 내부 직경에 의해 정의된 개구부 (612) 를 통해 챔버 하우징 (604) 의 내부로 통과한다. 개구부 (612) 를 정의하는 계단형 플랜지 (628) 의 내부 직경은 RF 바이어스 하우징 암 (634) 의 바깥쪽 횡단 치수보다 커서, 암 (634) 이 수직 방향 A-A' 으로 이동할 수 있게 한다. RF 바이어스 하우징 암 (634) 의 근접 말단은, RF 바이어스 하우징 암 (634) 이 챔버 벽 판 (618) 에 대해 수직으로 이동할 수도 있게 하는 방식으로 도관 지지판 (638) 에 부착되어 도관 지지판 (638) 을 밀봉한다. 벨로우 (650) 는, 이하 도 3 을 참조하여 상세히 설명하는 바와 같이, RF 바이어스 하우징 암 (634) 의 근접 말단을 챔버 벽 판 (618) 에 밀봉하는 진공 밀봉을 생성한다.
도 3 은 RF 바이어스 하우징 암 (634) 의 근접 말단과 챔버 벽 판 (618) 사이에 가로방향으로 이동가능한 진공 밀봉을 형성하는 벨로우 (650) 를 나타낸다. 벨로우 (650) 의 아코디언 형상은 여기에 도시하지 않는다. 벨로우 (650) 의 세부사항은 공동 소유되고 계류된 미국 특허 공개 공보 제 2008/0171444 호에 더 상세히 기술되어 있다. 벨로우 (650) 의 근접 말단 (650a) 은, 그 근접 말단 (650a) 의 더 작은 직경의 말단을 클램프 에지 (654) 와 도관 지지판 (638) 사이에 개재시키는 O-링에 의해 RF 바이어스 하우징 암 (634) 의 클램프 에지 (654) 아래에 클램핑된다. 벨로우 (650) 의 더 큰 직경의 말단은, 계단형 플랜지 (628) 의 내부 직경에 인접한 개구부 (612) 의 주변부 주위에서 챔버 벽 판 (618) 의 외부 벽에 대해 밀봉을 형성하는 클램프 링 (652) 아래에 클램핑된다. 클램프 링 (652) 은 바람직하게는 챔버 벽 판 (618) 에 결속된다.
벨로우 (650) 는 래비린스 밀봉 (648; 도 3 및 도 4 의 박스 "Q" 참조) 에 의해 진공 챔버 (602) 내부로부터 실질적으로 분리된다. 이동가능한 실드판 (658) 이 RF 바이어스 하우징 암 (634) 으로부터 방사상으로 확장되어 캔틸레버 어셈블리에 의해 수직으로 이동한다. 챔버 벽 판 (618) 의 내부 표면 상의 계단형 플랜지 (628) 의 내부 직경의 주변부 주위의 리세스는 챔버 벽 판 (618) 의 내부 벽과 고정형 실드 (656) 사이에 래비린스 그루브 (660) 를 정의하는 고정형 실드 (656) 에 의해 커버된다. 이동가능한 실드 판 (658) 은, 래비린스 그루브 (660) 의 벽으로부터 이격되어 래비린스 그루브 내에 위치되도록, 그 이동가능한 실드판 (658) 의 일측 상의 갭에 의해 래비린스 그루브 (660) 로 확장된다. 따라서, 이동가능한 실드판 (658) 은 래비린스 그루브 (660) 를 정의하는 임의의 표면과 접촉하지 않으면서 래비린스 그루브 (660) 내에서 수직으로 이동할 수 있다. 도 4 에 도시된 바와 같이, 래비린스 그루브 (660) 의 이러한 포지셔닝은 고정형 실드판 (656) 과 이동가능한 실드판 (658) 사이의 환형 채널 "B" 및 이동가능한 실드판 (658) 과 챔버 벽 판 (618) 의 표면 사이의 제 1 채널 "C" 를 생성한다.
래비린스 밀봉 (648) 은 진공 처리 조건하에서 측방향 벨로우의 내부 (686) 와 진공 챔버 내부 (605) 사이로의 입자 이동을 실질적으로 차단한다. 바람직하게는, 래비린스 그루브 (660) 내의 채널 "B" 및 "C" 의 두께 대 이동가능한 실드판 (658) 이 래비린스 그루브 (660) 로 돌출된 두께의 비율은 약 1:8 내지 약 1:50 의 범위이다. 예를 들어, "B" 및 "C" 채널 두께는, 일측에서는 이동가능한 실드판 (658) 과 챔버 벽 판 (618) 사이의 갭 및 다른 측에서는 이동가능한 실드판 (658) 과 고정형 실드판 (656) 사이의 갭의 사이즈이다.
캔틸레버 어셈블리가 중간 또는 중립 위치 (중간 갭 (610b)) 에 있는 경우 래비린스 밀봉 (648) 의 실시형태에 대해, 도 5a 는 길이방향 단면을 도시하고, 도 5b 는 진공 챔버 (602) 의 내부로부터의 가로방향 도면을 도시한다. RF 바이어스 하우징 암 (634) 은 계단형 플랜지 (628) 의 내부 직경에 의해 정의된 챔버 벽 판 (618) 내의 개구부를 통과한다. 이동가능한 실드판 (658) 은 래비린스 그루브 (660) 보다 더 협소하여, 이동가능한 실드판 (658) 의 외부 에지는 벨로우 (650) 의 내부 (686) 와 진공 챔버 내부 (605) 사이에 비접촉 입자 밀봉을 형성하도록 래비린스 그루브 (660) 를 관통한다. 이동가능한 실드판 (658) 은 볼트 (692) 에 의해 RF 바이어스 하우징 암 (634) 에 결속될 수도 있고, 제거가능한 접착제 등에 의해 부착될 수도 있다. 고정형 실드 (656) 는 볼트 (690) 에 의해 챔버 벽 판 (618) 의 내부 표면에 결속될 수도 있고, 접착제 또는 다른 탈착가능 조인트 등에 의해 부착될 수도 있다.
캔틸레버 어셈블리가 로우 위치 (큰 갭 (610c)) 에 있는 경우 도 5a 및 도 5b 에 도시된 래비린스 밀봉 (648) 의 실시형태에 대해, 도 6a 는 길이방향 단면을 도시하고, 도 6b 는 진공 챔버 (602) 의 내부로부터의 가로방향 도면을 도시한다. 도시된 바와 같이, 고정형 실드 (656) 는 다수의 부분들로 구성되어, 고정형 실드 (656) 의 인스톨 및 제거와, 이동가능한 실드판 (658) 의 인스톨 및 제거를 허용할 수도 있다. 예를 들어, 고정형 실드판 (656) 은 하부 고정형 실드부 (657) 및 상부 고정형 실드부 (659) 를 포함한다.
본 발명을 특정 실시형태를 참조하여 상세히 설명했으나, 첨부된 청구항의 범주를 벗어나지 않으면서 다양한 변경예 및 변형예가 행해질 수 있고, 균등물이 이용될 수 있음은 당업자에게 자명할 것이다.

Claims (19)

  1. 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
    상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
    상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
    상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
    상기 암 유닛은 내부 캐비티를 포함하고,
    상기 챔버는,
    상기 내부 캐비티 내에 위치되고, RF 전원에 커플링된 일단을 가져서 상기 일단을 통해 상기 RF 전원으로부터 RF 전력을 전송하도록 동작하는 RF 튜브; 및
    상기 RF 튜브의 타단에 커플링되고, 상기 RF 전력을 집전하고, 상기 RF 전력을 상기 기판 지지부로 전송하도록 동작하는 RF 도전체를 더 포함하는, 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 기판 지지부는 기판을 지지하도록 구성된 상단면을 갖는 하부 전극 어셈블리를 포함하고,
    상기 챔버는, 상기 기판 지지부의 상단면으로부터 이격되어 대면하는 하단면을 가져서, 상기 상단면과 상기 하단면 사이에 갭을 형성하는 상부 전극 어셈블리를 더 포함하고,
    상기 하부 전극 어셈블리는 상기 암 유닛에 위치된 무선 주파수 (RF) 전송 부재를 통해 RF 전원에 커플링되고,
    상기 작동 메커니즘은 상기 기판 지지부를 상기 상부 전극 어셈블리에 대해 다양한 높이로 이동시키도록 동작할 수 있어서 기판의 플라즈마 처리 동안 상기 갭의 사이즈를 조정할 수 있는, 플라즈마 처리 장치.
  3. 제 2 항에 있어서,
    상기 하부 전극 어셈블리는 플라즈마 처리 동안 상기 기판을 제 위치에 클램핑하도록 동작할 수 있는 정전 척을 포함하는, 플라즈마 처리 장치.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 RF 전원은 상기 암 유닛의 외곽부 상에 탑재되어, 상기 RF 전원은 상기 작동 메커니즘에 의해 상기 캔틸레버 어셈블리와 함께 이동되는, 플라즈마 처리 장치.
  6. 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
    상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
    상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘;
    상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치; 및
    상기 개구부를 둘러싸는 측벽 상에 비접촉 입자 밀봉을 포함하고, 상기 비접촉 입자 밀봉은 상기 암 유닛으로부터 수직으로 연장되는 고정형 판을 포함하고, 상기 측벽은 상기 고정형 판을 수용하는 슬롯을 포함하여, 상기 고정형 판이 상기 슬롯에 접촉하지 않고, 상기 고정형 판의 외부가 상기 암 유닛의 모든 수직 위치에서 상기 슬롯 내에 유지되는, 플라즈마 처리 장치.
  7. 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
    상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
    상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
    상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
    (a) 상기 암 유닛이 최상위 위치로 이동하는 경우, 상기 벨로우의 상부는 압축되고 상기 벨로우의 하부는 팽창되고,
    (b) 상기 암 유닛이 최하위 위치로 이동하는 경우, 상기 벨로우의 상부는 팽창되고 상기 벨로우의 하부는 압축되는, 플라즈마 처리 장치.
  8. 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
    상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
    상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
    상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
    상기 벨로우는 이동가능한 벨로우 실드판 및 고정형 벨로우 실드를 포함하고, 상기 이동가능한 벨로우 실드판은 상기 암 유닛의 제 2 말단으로부터 연장되고, 상기 고정형 벨로우 실드는 상기 측벽 상에 탑재되는, 플라즈마 처리 장치.
  9. 제 1 항에 있어서,
    상기 작동 메커니즘은
    수직 선형 베어링;
    상기 암 유닛에 회전가능하게 고정되고, 회전하는 경우, 상기 암 유닛을 이동시키도록 동작하는 볼 스크류; 및
    상기 볼 스크류를 회전시키는 모터를 포함하는, 플라즈마 처리 장치.
  10. 제 2 항에 있어서,
    상기 상부 전극 어셈블리는 상기 갭에 처리 가스를 공급하기 위한 적어도 하나의 배플 (baffle) 을 포함하고,
    상기 RF 전원은 상기 하부 전극 어셈블리에 RF 전력을 공급하여 상기 처리 가스를 여기시켜 플라즈마를 생성하도록 동작할 수 있는, 플라즈마 처리 장치.
  11. 제 2 항에 있어서,
    상기 갭을 둘러싸고 그에 의해 상기 플라즈마를 상기 갭에 한정하도록 구성된 적어도 하나의 한정 링을 포함하는 한정 링 어셈블리를 더 포함하는, 플라즈마 처리 장치.
  12. 제 1 항에 있어서,
    상기 벨로우는 일단이 상기 측벽의 외부에 밀봉된 측방향으로 휘어진 벨로우이고, 상기 벨로우의 내부는 상기 제 2 영역을 정의하는, 플라즈마 처리 장치.
  13. 제 1 항에 있어서,
    상기 제 2 영역의 외부에 위치된 암의 제 2 말단 상에 RF 소스가 지지되는, 플라즈마 처리 장치.
  14. 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
    상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
    상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
    상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
    상기 기판 지지부는 기판을 지지하도록 구성된 상단면을 갖는 하부 전극 어셈블리를 포함하고,
    상기 챔버는, 상기 기판 지지부의 상단면으로부터 이격되어 대면하는 하단면을 가져서, 상기 상단면과 상기 하단면 사이에 갭을 형성하는 상부 전극 어셈블리를 더 포함하고,
    상기 하부 전극 어셈블리는 상기 암 유닛에 위치된 무선 주파수 (RF) 전송 부재를 통해 RF 전원에 커플링되고,
    상기 작동 메커니즘은 상기 기판 지지부를 상기 상부 전극 어셈블리에 대해 다양한 높이로 이동시키도록 동작할 수 있어서 기판의 플라즈마 처리 동안 상기 갭의 사이즈를 조정할 수 있으며,
    (a) 상기 기판 지지부는 RF 구동 하부 전극을 포함하고, 상기 암 유닛은 제 2 말단에 하우징을 포함하고, 상기 하우징은 상기 전극에 RF 매칭을 제공하는 회로를 포함하고;
    (b) 상기 암 유닛은 상기 기판 지지부에 탑재된 기판에 배면 냉각제를 공급하도록 동작할 수 있는 적어도 하나의 가스 라인을 포함하고,
    (c) 지지 암은 상기 기판 지지부에 위치된 센서로부터의 신호를 전송하도록 동작할 수 있는 적어도 하나의 전기 접속부를 포함하고, 그리고/또는
    (d) 상기 지지 암은 상기 기판 지지부 내에 열전달액을 순환시키도록 동작할 수 있는 유체 통로를 포함하는, 플라즈마 처리 장치.
  15. 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
    상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
    상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘;
    상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치; 및
    상기 챔버 외부에 위치된 이동가능한 지지판을 포함하고, 상기 이동가능한 지지판은 지지 암의 일단에 부착되고 상기 지지 암의 서비스 개구부에 수평으로 정렬된 복수의 서비스 개구부를 갖고, 상기 이동가능한 지지판은 선형 가이드를 따라 상기 챔버의 측벽의 외부면을 따라 슬라이딩하는 이동가능한 환형판에 부착되고, 상기 환형판은 상기 벨로우가 위치되는 상기 지지 암 주위에 외곽 공간을 정의하는, 플라즈마 처리 장치.
  16. 반도체 기판을 처리하는 방법으로서,
    제 2 항에 기재된 플라즈마 처리 장치의 기판 지지부 상에 반도체 기판을 지지하는 단계;
    상기 상부 전극 어셈블리와 상기 하부 전극 어셈블리 사이의 공간에 플라즈마를 발생시키는 단계;
    상기 작동 메커니즘을 통해 상기 캔틸레버 어셈블리를 이동시킴으로써 상기 갭을 조정하는 단계; 및
    상기 반도체 기판을 상기 플라즈마로 처리하는 단계를 포함하는, 반도체 기판을 처리하는 방법.
  17. 제 16 항에 있어서,
    상기 처리는 플라즈마 에칭을 포함하는, 반도체 기판을 처리하는 방법.
  18. 기판을 처리하기 위한 플라즈마 처리 챔버의 캔틸레버 어셈블리를 위한 측방향 벨로우 유닛으로서,
    측벽이 상기 챔버의 내부를, 상기 측벽의 개구부를 통해 유체 소통되는 제 1 영역 및 제 2 영역으로 분리시키고,
    상기 측방향 벨로우 유닛은,
    상기 챔버의 측벽 상에 탑재되는 고정형 환형판으로서, 상기 환형판의 개구부는 상기 측벽의 개구부를 둘러싸는, 상기 고정형 환형판;
    상기 제 1 영역 및 상기 제 2 영역의 외부에서 암 유닛의 일단에 부착되는 이동가능한 판으로서, 상기 암 유닛은 상기 측벽의 개구부를 통해 수평으로 확장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 벨로우에 의해 정의되는 제 2 영역에 있도록 구성되고, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 이동가능한 판; 및
    상기 고정형 환형판과 상기 이동가능한 판 사이에 연장되는 벨로우로서, 상기 고정형 환형판에서, (a) 상기 암 유닛이 최상위 위치로 이동하는 경우, 상기 벨로우의 상부는 압축되고 상기 벨로우의 하부는 팽창되고, (b) 상기 암 유닛이 최하위 위치로 이동하는 경우, 상기 벨로우의 상부는 팽창되고 상기 벨로우의 하부는 압축되는, 상기 벨로우를 포함하는, 측방향 벨로우 유닛.
  19. 제 18 항에 있어서,
    상기 벨로우는 테이퍼링되고, 상기 챔버의 측벽에 밀봉된 말단에서 더 큰 직경을 갖는, 측방향 벨로우 유닛.
KR1020107017440A 2008-02-08 2009-02-06 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 KR101659095B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US698508P 2008-02-08 2008-02-08
US61/006,985 2008-02-08
PCT/US2009/000784 WO2009099660A2 (en) 2008-02-08 2009-02-06 Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal

Publications (2)

Publication Number Publication Date
KR20100119762A KR20100119762A (ko) 2010-11-10
KR101659095B1 true KR101659095B1 (ko) 2016-09-22

Family

ID=40938008

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107017440A KR101659095B1 (ko) 2008-02-08 2009-02-06 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기

Country Status (7)

Country Link
US (2) US8552334B2 (ko)
JP (1) JP5759177B2 (ko)
KR (1) KR101659095B1 (ko)
CN (1) CN102084468B (ko)
SG (1) SG188140A1 (ko)
TW (1) TWI447833B (ko)
WO (1) WO2009099660A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020053330A (ja) * 2018-09-28 2020-04-02 芝浦メカトロニクス株式会社 プラズマ処理装置

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5770740B2 (ja) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5422416B2 (ja) * 2010-01-28 2014-02-19 株式会社日立製作所 試料搬送装置
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
TWI594667B (zh) * 2011-10-05 2017-08-01 應用材料股份有限公司 對稱電漿處理腔室
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078346A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return for symmetric rf delivery
WO2013078152A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return with rf strap input
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
CN110690098A (zh) 2014-02-06 2020-01-14 应用材料公司 基板支撑组件以及用于处理基板的设备
US20150243483A1 (en) * 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
KR102392065B1 (ko) * 2014-04-09 2022-04-27 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6541374B2 (ja) * 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
DE102014220220B4 (de) * 2014-10-07 2018-05-30 Carl Zeiss Smt Gmbh Vakuum-Lineardurchführung und Vakuum-System damit
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9773649B2 (en) 2014-11-17 2017-09-26 Lam Research Corporation Dry development and image transfer of si-containing self-assembled block copolymers
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11333246B2 (en) 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN107785284B (zh) * 2016-08-25 2020-06-19 北京北方华创微电子装备有限公司 开盖机构和半导体加工设备
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
CN107622943A (zh) * 2017-10-13 2018-01-23 德淮半导体有限公司 半导体刻蚀机台
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10934862B2 (en) 2018-08-22 2021-03-02 Rolls-Royce Plc Turbine wheel assembly
US10927957B2 (en) 2018-08-22 2021-02-23 Rolls-Royce North American Technologies Inc. Deflection seal system
JP6833784B2 (ja) * 2018-09-28 2021-02-24 芝浦メカトロニクス株式会社 プラズマ処理装置
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020117594A1 (en) 2018-12-04 2020-06-11 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7198694B2 (ja) * 2019-03-18 2023-01-04 東京エレクトロン株式会社 基板リフト機構、基板支持器、及び基板処理装置
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN111725111B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
CN112108720B (zh) * 2020-10-18 2021-12-17 浙江启程汽车部件有限公司 一种波纹管预装配前覆层剥离设备
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
TWI815519B (zh) * 2022-06-24 2023-09-11 樂華科技股份有限公司 智慧晶圓傳送設備及其方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003188094A (ja) * 2001-10-19 2003-07-04 Asml Us Inc リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH043927A (ja) * 1990-04-20 1992-01-08 Mitsubishi Electric Corp 半導体処理装置
JPH04343222A (ja) * 1991-05-21 1992-11-30 Mitsubishi Electric Corp プラズマ処理装置
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
KR19980027492A (ko) * 1996-10-16 1998-07-15 김광호 서셉터의 평형도를 향상시키기 위한 절연막 증착 설비
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR20020031219A (ko) * 2000-10-23 2002-05-01 윤종용 웨이퍼 가공장치의 서셉터 접지구조
US20030154922A1 (en) * 2002-02-19 2003-08-21 Nathan House C-chuck insulator strip
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003188094A (ja) * 2001-10-19 2003-07-04 Asml Us Inc リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020053330A (ja) * 2018-09-28 2020-04-02 芝浦メカトロニクス株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
TW200943457A (en) 2009-10-16
CN102084468B (zh) 2014-10-29
US20090200268A1 (en) 2009-08-13
US8552334B2 (en) 2013-10-08
WO2009099660A3 (en) 2009-10-01
WO2009099660A2 (en) 2009-08-13
KR20100119762A (ko) 2010-11-10
JP2011511474A (ja) 2011-04-07
JP5759177B2 (ja) 2015-08-05
TWI447833B (zh) 2014-08-01
US8735765B2 (en) 2014-05-27
US20130340938A1 (en) 2013-12-26
SG188140A1 (en) 2013-03-28
CN102084468A (zh) 2011-06-01

Similar Documents

Publication Publication Date Title
KR101659095B1 (ko) 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP5090468B2 (ja) 容量結合型高周波プラズマ反応器における電極間隙を調整する装置
JP2011511474A5 (ko)
KR100429581B1 (ko) 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버
KR101826376B1 (ko) 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트
US11515168B2 (en) Capacitively coupled plasma etching apparatus
EP2390897A2 (en) Plasma processing apparatus
JP2010245564A (ja) 処理装置
US11551916B2 (en) Sheath and temperature control of a process kit in a substrate processing chamber
CN113474876A (zh) 等离子体处理腔室中用于高偏压射频(rf)功率应用的静电卡盘
KR20220037498A (ko) 프로세스 키트의 시스 및 온도 제어
US11424096B2 (en) Temperature controlled secondary electrode for ion control at substrate edge
CN114695065A (zh) 半导体加工设备
KR20220001225U (ko) 전기 화학적 표면 처리 장치
CN111863578B (zh) 一种等离子体处理设备
US20220028720A1 (en) Lift pin interface in a substrate support
US11881375B2 (en) Common substrate and shadow ring lift apparatus
KR101555208B1 (ko) 플라즈마 처리 장치 및 이에 이용되는 개폐 기구
KR20230092634A (ko) 기판 처리 장치
WO2024044224A1 (en) Gas cooled high power connection rod
KR20230092685A (ko) 포커스 링을 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant