TWI447833B - 包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器 - Google Patents

包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器 Download PDF

Info

Publication number
TWI447833B
TWI447833B TW098104110A TW98104110A TWI447833B TW I447833 B TWI447833 B TW I447833B TW 098104110 A TW098104110 A TW 098104110A TW 98104110 A TW98104110 A TW 98104110A TW I447833 B TWI447833 B TW I447833B
Authority
TW
Taiwan
Prior art keywords
bellows
arm unit
plasma processing
processing apparatus
side wall
Prior art date
Application number
TW098104110A
Other languages
English (en)
Other versions
TW200943457A (en
Inventor
James E Tappan
Scott Jeffery Stevenot
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200943457A publication Critical patent/TW200943457A/zh
Application granted granted Critical
Publication of TWI447833B publication Critical patent/TWI447833B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Description

包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器 【交叉參考之相關申請案】
本申請案依照美國專利法第35條第119款,主張美國臨時專利申請案第61/006,985號的優先權,該優先權案的標題為「包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器(ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL)」,並且申請於2008年2月8日,其整體內容藉由參考文獻方式合併於此。
本發明係關於可調間隙電容耦合式射頻電漿反應器,尤有關於包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器。
在下一個元件製造世代中,逐漸縮小之特徵部尺寸及新材料之施行,在電漿處理設備上賦予了新的需求。較小之元件特徵部、較大之基板尺寸及新的處理技術(例如雙鑲嵌蝕刻之多步驟處方)已增加橫跨晶圓維持良好均勻度以獲得較佳元件良率之挑戰。
一電漿處理裝置之實施例包含一腔室,腔室包含圍繞內部區域之側壁並在側壁中具有開口;一懸臂組件,包含一延伸經過該側壁開口之臂單元並具有設置在該內部區域之外之外部分,及一位於該臂單元之上並配置在該內部區域內之基板支座;一致動機構,其耦合至該臂單元之外部分並操作於在垂直方向上移動該懸臂組件,及一伸縮囊裝置,在該臂單元及該側壁之間提供一真空密封部。
圖1A至1C例示一可調間隙電容耦合式限制射頻電漿反應器600之一實施例。如例示,一真空室602包含一腔室外罩604,其圍繞出一遮蔽下部電極606之內部空間。在腔室602之上部分中,一上部電極608與下部電極606垂直隔開。上部及下部電極608、606之平面實質上平行並與電極之間的垂直方向正交。上部及下部電極608、606較佳地呈圓形且關於垂直軸為共軸。上部電極608之下部表面面對下部電極606之上部表面,隔開之對向電極表面定義其間之可調間隙610。在操作期間,下部電極606藉由射頻(RF)功率供應器(匹配器)620而被供應RF功率。RF功率經過RF供應導管622、RF帶624及RF功率構件626而供應至下部電極606。接地護罩636可圍繞RF功率構件626,以提供一更均勻之RF場至下部電極606。如共同擁有之審查中美國專利申請案第2008/0171444號所說明,其整體內容藉由參考文獻方式合併於此,經由晶圓埠口682將晶圓插入並支撐於下部電極606上之間隙610中以進行處理,將一處理氣體供應至間隙610並藉由RF功率將其激發成電漿狀態。可對上部電極608供應電力或接地。
在顯示於圖1A-1C之實施例中,下部電極606被支撐在一下部電極支撐板616上;一絕緣環614插入於下部電極606及下部電極支撐板616之間,以使下部電極606與支撐板616絕緣。
一RF偏壓外罩630支撐下部電極606於一RF偏壓外罩碗632上。藉由RF偏壓外罩630之一臂634,碗632經由在腔室壁板618中之一開口連接至一導管支撐板638。在一較佳實施例中,RF偏壓外罩碗632與RF偏壓外罩臂634結合形成為一個元件,然而,臂634及碗632亦可為被栓綁或連結在一起之兩獨立元件。
RF偏壓外罩臂634包含一個或更多中空通路,用以使例如氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制之電纜、電力監控或驅動信號之RF功率或設施,自真空室602之外穿過至真空室602之內之一位於下部電極606之背側之空間。RF供應導管622與RF偏壓外罩臂634絕緣,RF偏壓外罩臂634提供一RF功率之返回路徑至RF功率供應器620。設施導管640提供設施元件之通道。該設施元件之進一步細節已在美國專利第5,948,704號及共同擁有之審查中之美國專利申請案第2008/0171444號中說明,而為了說明之簡要在此將不顯示。間隙610較佳地以一限制環組件圍繞(未顯示),其細節可在公開之共同擁有之美國專利申請案第2007/028045號中尋得,其藉由參考文獻方式合併於此。
導管支撐板638附接至一致動機構642。致動機構之細節已在共同擁有之審查中之美國專利申請案第2008/0171444號中說明,其藉由參考文獻方式合併於此。例如,藉由例如滾珠螺桿之螺旋齒輪646及用以轉動滾珠螺桿之馬達,將例如伺服機械馬達、步進馬達或相似物之致動機構642附接至一垂直線性軸承644。在調整間隙610之尺寸期間,致動機構642沿著垂直線性軸承644移動。圖1A例示當致動機構642在線性軸承644上之高位置(其導致一小間隙610a)時之裝置;圖1B例示當致動機構642在線性軸承644上之中間位置時之裝置;如顯示,下部電極606、RF偏壓外罩630、導管支撐板638、RF功率供應器620皆移動至相對於腔室外罩604及上部電極608更低之位置,導致一中等尺寸間隙610b。
圖1C例示當致動機構642在線性軸承之低位置時之大間隙610c。較佳地,在間隙調整期間,上部及下部電極608、606保持共軸且上部及下部電極橫跨間隙之相對表面係保持平行。
例如,為了維持橫跨例如300mm晶圓或平面顯示器之大直徑基板之均勻蝕刻,此實施例允許電容耦合式電漿(CCP,capacitively coupled plasma)室602中之下部及上部電極606、608之間的間隙610,在多步驟製程處方(底部抗反射塗層(BARC,bottom anti reflective coating)、高深寬比接觸窗(HARC,high-aspect-ratio contacts)、及剝除(STRIP)等等)期間可加以調整。特別地,此實施例屬於一機械裝置,其用以促進提供下部及上部電極606、608之間的可調間隙所需之線性移動。
圖1A例示橫向偏斜伸縮囊650,其在近端密封至導管支撐板638且在末端密封至腔室壁板618之一階梯凸緣628。階梯凸緣之內徑定義腔室壁板618中之開口612,RF偏壓外罩臂634通過該開口612。
當允許RF偏壓外罩630、導管支撐板638及致動機構642之垂直移動時,橫向偏斜伸縮囊650提供一真空密封部。RF偏壓外罩630、導管支撐板638及致動機構642可視為懸臂組件。較佳地,RF功率供應器620與懸臂組件一起移動並可附接至導管支撐板638。圖1B顯示當懸臂組件位於一中央位置時,伸縮囊650在一中間位置。圖1C顯示當懸臂組件位於一低位置時,伸縮囊650橫向偏斜。
一曲徑軸封648在該伸縮囊650及電漿處理室外罩604之內部之間提供一粒子阻隔。一固定護罩656不可移動地在腔室壁板618上附接至腔室外罩604之內側內部壁,以便提供一曲徑溝槽660(狹縫),在其中一可移動護罩板658垂直地移動以適應懸臂組件之垂直移動。可移動護罩板658之外部分在下部電極606之所有垂直位置皆保持在溝槽內。
在實施例中顯示,曲徑軸封648包含一固定護罩656,其在腔室壁板618中之開口612之一圓周上附接至腔室壁板618之一內部表面,而定義一曲徑溝槽660。該可移動護罩板658附接並自該RF偏壓外罩臂634放射狀延伸,在該處該臂634通過在腔室壁板618中之開口612。可移動護罩板658延伸至曲徑溝槽660內,而以一第一間隙(圖4中之通道”B”)與固定護罩656相間隔,及以第二間隙(圖4中之通道”C”)與腔室壁板618之內部表面相間隔,因而允許懸臂組件垂直移動。曲徑軸封648阻擋自伸縮囊650剝落之粒子免於遷移進入真空室內部605(圖2),並阻擋來自處理氣體電漿之自由基免於遷移至伸縮囊650,在該處該自由基會形成沉積物,該沉積物隨後即剝落。
圖1A顯示當懸臂組件在高位置時(小間隙610a),可移動護罩板658位於該RF偏壓外罩臂634之上之曲徑溝槽660中之高位置。圖1C顯示當懸臂組件在低位置時(大間隙610c),可移動護罩板658位於RF偏壓外罩臂634之上之曲徑溝槽660中之低位置。圖1B顯示當懸臂組件在中間位置時(中等間隙610b),可移動護罩板658位於曲徑溝槽660內之中間或中央位置。儘管曲徑軸封648顯示為對稱於RF偏壓護罩臂634,但在其他實施例中曲徑軸封648可不對稱於RF偏壓護罩臂634。
圖2顯示在一可調間隙電容耦合式限制射頻電漿反應器中之懸臂組件之元件之一實施例。為了容易說明,元件以部分切開且無其他元件之方式顯示。在例示中,RF偏壓外罩630由設置在腔室外側之導管支撐板638而被支撐於真空室602內側。RF偏壓護罩臂634之近端附接至導管支撐板638。導管支撐板638中之維修開口,允許接近軸向通過RF偏壓外罩臂634之內部而至下部電極606背面之空間之設施導管640及RF供應導管622。RF供應導管622及設施導管640係處於例如大氣壓之第一壓力下,而真空室602之內部係處於第二壓力,例如藉由經真空口680而連接至一真空泵浦之減低壓力。伸縮囊650提供一真空密封部,同時允許懸臂組件垂直移動。
導管支撐板638附接至沿線性軸承644而相對於真空室602垂直上下移動之致動機構642。線性軸承644附接至提供真空室602之側壁之腔室壁板618。腔室壁板618在該致動機構642操作期間不會移動,但以可釋放之方式附接至真空室602以幫助在真空室602中移出或嵌入RF偏壓外罩630及下部電極組件。當致動機構642相對於真空室602垂直移動時,導管支撐板638、及RF偏壓外罩630亦在圖2中以箭頭A-A'指示之方向上垂直移動。
腔室壁板618具有形成一開口進入腔室外罩604內之階梯凸緣628。RF偏壓外罩臂634經藉由階梯凸緣628之內徑所定義之開口612,而通過進入腔室外罩604之內部。階梯凸緣之內徑所定義之開口612大於RF偏壓外罩臂之橫剖面尺寸,以允許臂634在垂直方向A-A'上移動。RF偏壓外罩臂634之近端附接並密封至導管支撐板638,以在某種程度上使得RF偏壓外罩臂634可相對於腔室壁板618垂直移動。正如將參考圖3而說明者,伸縮囊650產生一真空密封部以密封RF偏壓外罩臂634之近端至腔室壁板618。
圖3顯示伸縮囊650在RF偏壓外罩臂634之近端及腔室壁板618之間形成一可橫向移動之真空密封部。此處未顯示伸縮囊650之手風琴外觀。在共同擁有之審查中之美國專利申請案第2008/0171444號中進一步地說明伸縮囊650之細節。伸縮囊650之近端650a以O型環夾持在RF偏壓外罩臂634之夾持邊緣654之下,以將近端650a之較小直徑端夾在夾持邊緣654及導管支撐板638之間。伸縮囊650之較大直徑端650b被夾在夾持環652下方,以在靠近階梯凸緣628之內徑之開口612周圍附近形成針對腔室壁板618之外壁的密封部。較佳地係將夾持環652栓綁至腔室壁板618。
伸縮囊650隨後以曲徑軸封648與真空室602內部隔離(參照圖3及圖4之方塊”Q”)。可移動護罩板658自RF偏壓外罩臂634放射狀延伸並隨懸臂組件垂直移動。以固定護罩656覆蓋位於腔室壁板618之內部表面上之一圍繞階梯凸緣628之周圍之孔穴,而定義出介於腔室壁板618之內部壁及該固定護罩656之間之曲徑溝槽660。可移動護罩板658以其兩側皆含有間隙之方式延伸進入曲徑溝槽660,使得可移動護罩板658與曲徑溝槽660之內壁相間隔而設置於曲徑溝槽660之內。因此,可移動護罩板658可不與任何定義出曲徑溝槽600之表面作出接觸,而在曲徑溝槽600內垂直移動。如圖4所描述,此等曲徑溝槽600之設置產生一介於固定護罩656及可移動護罩板658之間之環狀通道”B”,及一介於該可移動護罩板658及腔室壁板618之表面之間之第二通道”C”。
在真空處理情況下,曲徑軸封648實質上阻擋橫向伸縮囊內部686與真空室內部605之間之粒子遷移。較佳地,在曲徑溝槽中之通道”B”及”C”之厚度對可移動護罩板658伸入曲徑溝槽660內之深度之比例係在約1:8至約1:50之範圍內。例如,在一側上,”B”及”C”通道厚度為可移動護罩板658與腔室壁板618之間的間隙尺寸;且在另一側上,”B”及”C”通道厚度為可移動護罩板658與固定護罩板656之間的間隙尺寸。
圖5A及圖5B分別例示,當懸臂組件位於一中央或中立位置(中等間隙610b)時,曲徑軸封648之一實施例之真空室602之內部之一縱向橫剖面及一橫剖圖。RF偏壓外罩臂634通過在腔室壁板618中由階梯凸緣628之內徑所定義之開口。可移動護罩板658較曲徑溝槽660為窄,使得可移動護罩板658之外邊緣穿入曲徑溝槽660,而在伸縮囊650之內部686與真空室內部605之間製造出一非接觸式粒子密封部。可移動護罩板658可藉由螺栓692而栓至RF偏壓外罩臂634,或藉由可移除黏著劑或相似物附接至RF偏壓外罩臂634。固定護罩656可藉由螺栓690而栓至腔室壁板618之內部表面,或藉由一黏著劑或其他可分開之接頭或相似物而附接至腔室壁板618之內部表面。
圖6A及圖6B例示,當懸臂組件位於一低位置(大間隙610c)時,顯示在圖5A及5B中之曲徑軸封648之一實施例之真空室602之內部之一縱向橫剖面及一橫剖圖。如描述,固定護罩656可由數個部分所組成,以允許固定護罩656及可移動護罩板658之安裝與移除。例如,固定護罩656包含一下部固定護罩部分657及一上部固定護罩部分659。
雖然本發明已參照其特定實施例詳細敘述,熟習本技藝者應明瞭,在不離開隨附之申請專利範圍之範疇內,可做出許多改變及修改及使用設備。
600...可調間隙電容耦合式限制射頻電漿反應器
602...真空室
604...腔室外罩
605...真空室內部
606...下部電極
608...上部電極
610...可調間隙
610a...小間隙
610b...中等間隙
610c...大間隙
612...開口
614...絕緣環
616...下部電極支撐板
618...腔室壁板
620...射頻(RF)功率供應器(匹配器)
622...RF供應導管
624...RF帶
626...RF功率構件
628...階梯凸緣
630...RF偏壓外罩
632...RF偏壓外罩碗
634...RF偏壓外罩臂
636...接地護罩
638...導管支撐板
640...設施導管
642...致動機構
644...垂直線性軸承
646...螺桿齒輪
648...曲徑軸封
650...橫向偏斜伸縮囊
650a...近端
650b...末端
652...夾持環
654...夾持邊緣
656...固定護罩
657...下部固定護罩部分
658...可移動護罩板
659...上部固定護罩部分
660...曲徑溝槽
680...真空口
682...晶圓埠口
686...橫向伸縮囊內部
690...螺栓
692...螺栓
圖1A-C顯示包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式限制射頻電漿反應器之一實施例。
圖2顯示一與RF偏壓外罩固定之懸臂之實施例,其允許下部電極對於一可調間隙電漿反應器室垂直轉移。
圖3例示顯示在圖1A-C中之一橫向伸縮囊之實施例之部分切開圖。
圖4顯示圖3中之方塊Q之放大詳細圖,其顯示可移動伸縮囊護罩板及固定伸縮囊護罩之細節。
圖5A及5B顯示當下部電極在中間位置時(中等間隙),曲徑軸封之一實施例之局部橫剖面及端部視圖。
圖6A及6B顯示當下部電極在低位置時(大間隙),圖5A及5B之實施例之局部橫剖面及端部視圖。
600...可調間隙電容耦合式限制射頻電漿反應器
602...真空室
604...室外罩
605...真空室內部
606...下部電極
608...上部電極
610...可調間隙
610a...小間隙
612...開口
614...絕緣環
616...下部電極支撐板
618...腔室壁板
620...射頻(RF)功率供應器(匹配器)
622...RF供應導管
624...RF帶
626...RF功率構件
628...階梯凸緣
630...RF偏壓外罩
632...RF偏壓外罩碗
634...RF偏壓外罩臂
636...接地護罩
638...導管支撐板
640...設施導管
642...致動機構
644...垂直線性軸承
646...螺桿齒輪
648...曲徑軸封
650...橫向偏斜伸縮囊
652...夾持環
656...固定護罩
658...可移動護罩板
660...曲徑溝槽
680...真空口
682...晶圓埠口

Claims (19)

  1. 一種電漿處理裝置,包含:一腔室,包含將該腔室之內部分隔成第一及第二區域之一側壁,該側壁具有在其中之開口,該開口提供該第一及第二區域之間之流體交流;一懸臂組件,包含一水平延伸經過該開口之臂單元,使得一第一端位於該第一區域中且一第二端位於該第二區域中,一基板支座被設置在該第一端之上部分上;一致動機構,耦合至該臂單元之該第二端且用以在垂直方向上移動該懸臂組件;及一伸縮囊裝置,其在該臂單元及該側壁之間提供一真空密封部。
  2. 如申請專利範圍第1項之電漿處理裝置,其中:該基板支座包含一下部電極組件,該下部電極組件具有一適於支撐基板之頂部表面;該電漿處理室更包含一上部電極組件,該上部電極組件具有一與該基板支座之該頂部表面相對並隔開以於其間形成間隙之底部表面;該下部電極組件經由設置在該臂單元中之一射頻(RF,radio frequency)傳輸構件而耦合至一射頻(RF)功率供應器;及該致動機構用以移動該基板支座至相對於該上部電極組件之不同高度,以在基板之電漿處理期間調整該間隙之尺寸。
  3. 如申請專利範圍第2項之電漿處理裝置,其中該下部電極組件包含一用以在電漿處理期間,夾持該基板於適當處之靜電夾盤。
  4. 如申請專利範圍第1項之電漿處理裝置,其中:該臂單元包含一內部孔穴;及該電漿處理室更包含:一RF管,其設置在該孔穴中且具有耦合至一RF功率供應器之一端,並用以自該RF功率供應器經由其中傳輸RF功率;及一RF導體,其耦合至該RF管之另一端並用以收集該RF功率然後傳送該RF功率至該基板支座。
  5. 如申請專利範圍第4項之電漿處理裝置,其中該RF功率供應器係固定在該臂單元之一外部分上,使得該RF功率供應器藉由該致動機構隨著該懸臂組件而移動。
  6. 如申請專利範圍第1項之電漿處理裝置,更包含在該側壁上圍繞該開口之一非接觸式粒子密封部,該非接觸式粒子密封部包含自該臂單元垂直延伸之一可移動板,而該側壁包含一容納該可移動板之一狹縫,使得該板不會接觸該狹縫,且在該臂單元之所有垂直位置上,該板之外部分維持在該狹縫中。
  7. 如申請專利範圍第1項之電漿處理裝置,其中(a)當該臂單元移動至最高位置時,該伸縮囊之上部分係壓縮的,而該伸縮囊之下部分係擴張的;及(b)當該臂單元移動至最低位置時,該伸縮囊之上部分係擴張的,而該伸縮囊之下部分係壓縮的。
  8. 如申請專利範圍第1項之電漿處理裝置,其中該伸縮囊包含一可移動伸縮囊護罩板及一固定伸縮囊護罩,該可移動伸縮囊護罩板延伸自該臂單元之該第二端,而該固定伸縮囊護罩固定於該側壁上。
  9. 如申請專利範圍第1項之電漿處理裝置,其中:該致動機構包含一垂直線性軸承,包含:一滾珠螺桿,可轉動地固接至該臂單元,且用以在轉動時移動該臂單元;及一馬達,用以轉動該滾珠螺桿。
  10. 如申請專利範圍第2項之電漿處理裝置,其中:該上部電極組件包含至少一個用以供應處理氣體至該間隙內之擋板;及該RF功率供應器用以供應RF功率至該下部電極組件,以激發該處理氣體產生電漿。
  11. 如申請專利範圍第2項之電漿處理裝置,更包含一限制環組件,該限制環組件包含至少一個限制環,該限制環被配置成圍繞該間隙且因此將該電漿限制在該間隙中。
  12. 如申請專利範圍第1項之電漿處理裝置,其中該伸縮囊係在一端密封至該側壁之一外側之橫向偏斜伸縮囊,該伸縮囊之內部定義出該第二區域。
  13. 如申請專利範圍第1項之電漿處理裝置,其中一RF源被支撐在該臂單元之該第二端位於該第二區域之外側上。
  14. 如申請專利範圍第2項之電漿處理裝置,其中:(a)該基板支座包含一RF驅動之下部電極及該臂單元包含在其該第二端之一外罩,該外罩包含提供一RF匹配給該電極之電路;(b)該臂單元包含至少一個用以供應背側冷卻至固定在該基板支座上之一基板之氣體線路;(c)該臂單元包含至少一用以傳輸來自設置在該基板支座中之一感應器之信號之電力連接;及/或(d)該臂單元包含用以在該基板支座中循環一熱傳導液之一流體通路。
  15. 如申請專利範圍第1項之電漿處理裝置,更包含一位在該腔室外側之一可移動支撐板,該可移動支撐板附接至該臂單元之一端,並具有複數個與在該臂單元中之允許接近導管之維修開口,該可移動支撐板附接至一沿著該腔室之該側壁之該外部表面及一線性軸承滑動之可移動環狀板,該環狀板定義出一圍繞該臂單元之周圍空間,該伸縮囊設置在該空間中。
  16. 一種處理半導體基板的方法,包含:在根據申請專利範圍第2項之該電漿處理裝置中,將一半導體基板支撐在該基板支座上;在介於該上部及下部電極組件之間之該空間中產生電漿;藉由經由該致動機構而移動該懸臂組件來調整該間隙;及以該電漿處理該半導體基板。
  17. 如申請專利範圍第16項之處理半導體基板的方法,其中該處理包含電漿蝕刻。
  18. 一種橫向伸縮囊單元,其用於一處理基板用之電漿處理室之一懸臂組件,其中一側壁將該腔室之內部分隔成透過在該側壁中之開口進行流體交流之第一及第二區域,該橫向伸縮囊單元包含:一固定環狀板,其固定在該腔室之該側壁上,且在該環狀板中具有圍繞該側壁中之該開口的開口;一可移動板,其接附至該第一及第二區域外側之一臂單元之一端,該臂單元被配置成水平延伸經過在該側壁中之該開口,俾使一第一端位於該第一區域中及一第二端位於由該伸縮囊所定義之該第二區域中,一基板支座位於該第一端之上部分上;一伸縮囊,延伸於該固定環狀板及該可移動板之間,其中(a)當該臂單元移動至最高位置時,該伸縮囊之上部分係壓縮的,而該伸縮囊之下部分係擴張的;及(b)當該臂單元移動至最低位置時,該伸縮囊之上部分係擴張的,而該伸縮囊之下部分係壓縮的。
  19. 如申請專利範圍第18項之橫向伸縮囊單元,其中該伸縮囊係尖錐形,並在密封至該腔室之該側壁之端部具有較大之直徑。
TW098104110A 2008-02-08 2009-02-09 包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器 TWI447833B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US698508P 2008-02-08 2008-02-08

Publications (2)

Publication Number Publication Date
TW200943457A TW200943457A (en) 2009-10-16
TWI447833B true TWI447833B (zh) 2014-08-01

Family

ID=40938008

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098104110A TWI447833B (zh) 2008-02-08 2009-02-09 包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器

Country Status (7)

Country Link
US (2) US8552334B2 (zh)
JP (1) JP5759177B2 (zh)
KR (1) KR101659095B1 (zh)
CN (1) CN102084468B (zh)
SG (1) SG188140A1 (zh)
TW (1) TWI447833B (zh)
WO (1) WO2009099660A2 (zh)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5422416B2 (ja) * 2010-01-28 2014-02-19 株式会社日立製作所 試料搬送装置
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
TWI568319B (zh) * 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
JP6276697B2 (ja) * 2011-11-23 2018-02-07 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
WO2013078152A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return with rf strap input
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
CN105408984B (zh) 2014-02-06 2019-12-10 应用材料公司 用于启用轴对称以用于改进的流动传导性和均匀性的在线去耦合等离子体源腔室硬件设计
US20150243483A1 (en) * 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6541374B2 (ja) * 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
DE102014220220B4 (de) * 2014-10-07 2018-05-30 Carl Zeiss Smt Gmbh Vakuum-Lineardurchführung und Vakuum-System damit
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9773649B2 (en) 2014-11-17 2017-09-26 Lam Research Corporation Dry development and image transfer of si-containing self-assembled block copolymers
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN107785284B (zh) * 2016-08-25 2020-06-19 北京北方华创微电子装备有限公司 开盖机构和半导体加工设备
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
CN107622943A (zh) * 2017-10-13 2018-01-23 德淮半导体有限公司 半导体刻蚀机台
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10927957B2 (en) 2018-08-22 2021-02-23 Rolls-Royce North American Technologies Inc. Deflection seal system
US10934862B2 (en) 2018-08-22 2021-03-02 Rolls-Royce Plc Turbine wheel assembly
JP6921796B2 (ja) * 2018-09-28 2021-08-18 芝浦メカトロニクス株式会社 プラズマ処理装置
JP6833784B2 (ja) * 2018-09-28 2021-02-24 芝浦メカトロニクス株式会社 プラズマ処理装置
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020117594A1 (en) 2018-12-04 2020-06-11 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7198694B2 (ja) * 2019-03-18 2023-01-04 東京エレクトロン株式会社 基板リフト機構、基板支持器、及び基板処理装置
CN111725111B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
CN112259429A (zh) * 2020-09-30 2021-01-22 北京北方华创微电子装备有限公司 一种半导体工艺设备
CN112108720B (zh) * 2020-10-18 2021-12-17 浙江启程汽车部件有限公司 一种波纹管预装配前覆层剥离设备
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
TWI815519B (zh) * 2022-06-24 2023-09-11 樂華科技股份有限公司 智慧晶圓傳送設備及其方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030154922A1 (en) * 2002-02-19 2003-08-21 Nathan House C-chuck insulator strip

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH043927A (ja) * 1990-04-20 1992-01-08 Mitsubishi Electric Corp 半導体処理装置
JPH04343222A (ja) * 1991-05-21 1992-11-30 Mitsubishi Electric Corp プラズマ処理装置
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
KR19980027492A (ko) * 1996-10-16 1998-07-15 김광호 서셉터의 평형도를 향상시키기 위한 절연막 증착 설비
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR20020031219A (ko) * 2000-10-23 2002-05-01 윤종용 웨이퍼 가공장치의 서셉터 접지구조
US6778258B2 (en) 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030154922A1 (en) * 2002-02-19 2003-08-21 Nathan House C-chuck insulator strip

Also Published As

Publication number Publication date
TW200943457A (en) 2009-10-16
US8552334B2 (en) 2013-10-08
WO2009099660A2 (en) 2009-08-13
CN102084468A (zh) 2011-06-01
CN102084468B (zh) 2014-10-29
JP5759177B2 (ja) 2015-08-05
WO2009099660A3 (en) 2009-10-01
US20130340938A1 (en) 2013-12-26
KR20100119762A (ko) 2010-11-10
KR101659095B1 (ko) 2016-09-22
US8735765B2 (en) 2014-05-27
SG188140A1 (en) 2013-03-28
US20090200268A1 (en) 2009-08-13
JP2011511474A (ja) 2011-04-07

Similar Documents

Publication Publication Date Title
TWI447833B (zh) 包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器
KR100429581B1 (ko) 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버
JP2011511474A5 (zh)
KR20140096386A (ko) 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US20200194276A1 (en) Capacitively Coupled Plasma Etching Apparatus
EP0566220A2 (en) Magnetic field enhanced plasma etch reactor
JP7381713B2 (ja) プロセスキットのシース及び温度制御
KR20210126131A (ko) 플라즈마 프로세싱 챔버에서의 고 바이어스 라디오 주파수(rf) 전력 인가를 위한 정전 척
KR20190072383A (ko) 플라스마 처리 장치
TWI576910B (zh) 具有用以去耦合離子及自由基控制之源的半導體處理系統
TW202205493A (zh) 一種接地組件及其等離子體處理裝置與工作方法
TWI718674B (zh) 電漿處理裝置
CN114303226A (zh) 用于处理腔室的高传导性下部屏蔽件
US11424096B2 (en) Temperature controlled secondary electrode for ion control at substrate edge
KR100480342B1 (ko) 플라즈마발생소스,진공펌프장치및/또는캔티레버화된기판지지부와같은장비모듈을구비하는고유동진공챔버
JP6235293B2 (ja) プラズマ処理装置
WO2024044224A1 (en) Gas cooled high power connection rod
US20220028720A1 (en) Lift pin interface in a substrate support
US11881375B2 (en) Common substrate and shadow ring lift apparatus
US20230197495A1 (en) Substrate support gap pumping to prevent glow discharge and light-up
TW202412559A (zh) 用於處理基板之設備及用於處理基板之方法
KR20230092634A (ko) 기판 처리 장치
CN113496865A (zh) 基板处理装置